[adms] 01/20: import adms_2.3.1.orig.tar.gz

felix salfelder felix-guest at moszumanska.debian.org
Fri May 9 19:40:32 UTC 2014


This is an automated email from the git hooks/post-receive script.

felix-guest pushed a commit to branch unstable
in repository adms.

commit c3159f1cb9bbd385816c00f5f3ec96c9392373f8
Author: Felix Salfelder <felix at salfelder.org>
Date:   Fri May 9 20:56:24 2014 +0200

    import adms_2.3.1.orig.tar.gz
---
 ABOUT-NLS                   |   226 +
 AUTHORS                     |    14 +
 COPYING                     |   153 +
 ChangeLog                   |     1 +
 INSTALL                     |   365 +
 Makefile.am                 |   114 +
 Makefile.in                 |   739 ++
 NEWS                        |   128 +
 README                      |   120 +
 TODO                        |   105 +
 aclocal.m4                  |  8940 ++++++++++++++++++++
 adms.css                    |   231 +
 adms.xml                    |   994 +++
 admsXml/Makefile.am         |   181 +
 admsXml/Makefile.in         |   839 ++
 admsXml/adms.c              |  8705 ++++++++++++++++++++
 admsXml/adms.h              |  3384 ++++++++
 admsXml/adms.implicit.xml   |   724 ++
 admsXml/adms.implicit.xml.c |   728 ++
 admsXml/admsCheck.c         |   139 +
 admsXml/admsPreprocessor.h  |   202 +
 admsXml/admsVeriloga.h      |    81 +
 admsXml/admsXml.1           |    75 +
 admsXml/admsXml.c           |  2905 +++++++
 admsXml/admstpathYacc.c     | 16854 ++++++++++++++++++++++++++++++++++++++
 admsXml/admstpathYacc.h     |    37 +
 admsXml/constants.vams      |    42 +
 admsXml/constants.vams.c    |    46 +
 admsXml/disciplines.vams    |   239 +
 admsXml/disciplines.vams.c  |   243 +
 admsXml/dummy.va            |    26 +
 admsXml/dummy.va.c          |    30 +
 admsXml/dummy.xml           |    64 +
 admsXml/dummy.xml.c         |    68 +
 admsXml/mkctext.pl          |    78 +
 admsXml/mkelements.pl       |  4857 +++++++++++
 admsXml/mkgrammar.pl        |   116 +
 admsXml/preprocessorLex.c   |  3198 ++++++++
 admsXml/preprocessorLex.l   |   811 ++
 admsXml/preprocessorMain.c  |   474 ++
 admsXml/preprocessorYacc.c  |  2728 +++++++
 admsXml/preprocessorYacc.h  |    98 +
 admsXml/verilogaLex.c       |  2513 ++++++
 admsXml/verilogaLex.l       |   252 +
 admsXml/verilogaYacc.c      |  5242 ++++++++++++
 admsXml/verilogaYacc.h      |   118 +
 admsstyle.xsl               |   229 +
 admst.dtd                   |   168 +
 admst.xml                   |   253 +
 admstpath.xml               |   612 ++
 admstpathstyle.xsl          |   304 +
 admststyle.xsl              |   269 +
 auxconf/config.guess        |  1501 ++++
 auxconf/config.sub          |  1705 ++++
 auxconf/depcomp             |   630 ++
 auxconf/install-sh          |   520 ++
 auxconf/ltmain.sh           |  8406 +++++++++++++++++++
 auxconf/missing             |   376 +
 auxconf/ylwrap              |   222 +
 config.h.in                 |   196 +
 configure                   | 18598 ++++++++++++++++++++++++++++++++++++++++++
 configure.ac                |   602 ++
 images/Makefile.am          |   104 +
 images/Makefile.in          |   371 +
 images/adms-alias.png       |   Bin 0 -> 143 bytes
 images/adms-element.png     |   Bin 0 -> 146 bytes
 images/adms-enumeration.png |   Bin 0 -> 123 bytes
 images/adms-scalar.png      |   Bin 0 -> 141 bytes
 images/adms-uid.png         |   Bin 0 -> 143 bytes
 images/mkimages.pl          |    67 +
 make.cyg                    |    74 +
 make.win                    |    76 +
 metaadms.dtd                |    77 +
 metaadmst.dtd               |    44 +
 metaadmstpath.dtd           |    68 +
 scripts/Makefile.am         |    58 +
 scripts/Makefile.in         |   369 +
 scripts/admstvariables.xml  |    38 +
 scripts/analogfunction.xml  |  1122 +++
 scripts/arobavariable.xml   |    52 +
 scripts/attributes.xml      |    68 +
 scripts/blockvariables.xml  |    81 +
 scripts/croixvariable.xml   |    49 +
 scripts/getargv.xml         |    12 +
 scripts/getenv.xml          |    11 +
 scripts/getinstance.xml     |    73 +
 scripts/gettransform.xml    |    14 +
 scripts/sort.xml            |   137 +
 scripts/vlatovla.xml        |   309 +
 89 files changed, 106092 insertions(+)

diff --git a/ABOUT-NLS b/ABOUT-NLS
new file mode 100644
index 0000000..28d38c7
--- /dev/null
+++ b/ABOUT-NLS
@@ -0,0 +1,226 @@
+Notes on the Free Translation Project
+*************************************
+
+   Free software is going international!  The Free Translation Project
+is a way to get maintainers of free software, translators, and users all
+together, so that will gradually become able to speak many languages.
+A few packages already provide translations for their messages.
+
+   If you found this `ABOUT-NLS' file inside a distribution, you may
+assume that the distributed package does use GNU `gettext' internally,
+itself available at your nearest GNU archive site.  But you do *not*
+need to install GNU `gettext' prior to configuring, installing or using
+this package with messages translated.
+
+   Installers will find here some useful hints.  These notes also
+explain how users should proceed for getting the programs to use the
+available translations.  They tell how people wanting to contribute and
+work at translations should contact the appropriate team.
+
+   When reporting bugs in the `intl/' directory or bugs which may be
+related to internationalization, you should tell about the version of
+`gettext' which is used.  The information can be found in the
+`intl/VERSION' file, in internationalized packages.
+
+One advise in advance
+=====================
+
+   If you want to exploit the full power of internationalization, you
+should configure it using
+
+     ./configure --with-included-gettext
+
+to force usage of internationalizing routines provided within this
+package, despite the existence of internationalizing capabilities in the
+operating system where this package is being installed.  So far, only
+the `gettext' implementation in the GNU C library version 2 provides as
+many features (such as locale alias or message inheritance) as the
+implementation here.  It is also not possible to offer this additional
+functionality on top of a `catgets' implementation.  Future versions of
+GNU `gettext' will very likely convey even more functionality.  So it
+might be a good idea to change to GNU `gettext' as soon as possible.
+
+   So you need not provide this option if you are using GNU libc 2 or
+you have installed a recent copy of the GNU gettext package with the
+included `libintl'.
+
+INSTALL Matters
+===============
+
+   Some packages are "localizable" when properly installed; the
+programs they contain can be made to speak your own native language.
+Most such packages use GNU `gettext'.  Other packages have their own
+ways to internationalization, predating GNU `gettext'.
+
+   By default, this package will be installed to allow translation of
+messages.  It will automatically detect whether the system provides
+usable `catgets' (if using this is selected by the installer) or
+`gettext' functions.  If neither is available, the GNU `gettext' own
+library will be used.  This library is wholly contained within this
+package, usually in the `intl/' subdirectory, so prior installation of
+the GNU `gettext' package is *not* required.  Installers may use
+special options at configuration time for changing the default
+behaviour.  The commands:
+
+     ./configure --with-included-gettext
+     ./configure --with-catgets
+     ./configure --disable-nls
+
+will respectively bypass any pre-existing `catgets' or `gettext' to use
+the internationalizing routines provided within this package, enable
+the use of the `catgets' functions (if found on the locale system), or
+else, *totally* disable translation of messages.
+
+   When you already have GNU `gettext' installed on your system and run
+configure without an option for your new package, `configure' will
+probably detect the previously built and installed `libintl.a' file and
+will decide to use this.  This might be not what is desirable.  You
+should use the more recent version of the GNU `gettext' library.  I.e.
+if the file `intl/VERSION' shows that the library which comes with this
+package is more recent, you should use
+
+     ./configure --with-included-gettext
+
+to prevent auto-detection.
+
+   By default the configuration process will not test for the `catgets'
+function and therefore they will not be used.  The reasons are already
+given above: the emulation on top of `catgets' cannot provide all the
+extensions provided by the GNU `gettext' library.  If you nevertheless
+want to use the `catgets' functions use
+
+     ./configure --with-catgets
+
+to enable the test for `catgets' (this causes no harm if `catgets' is
+not available on your system).  If you really select this option we
+would like to hear about the reasons because we cannot think of any
+good one ourself.
+
+   Internationalized packages have usually many `po/LL.po' files, where
+LL gives an ISO 639 two-letter code identifying the language.  Unless
+translations have been forbidden at `configure' time by using the
+`--disable-nls' switch, all available translations are installed
+together with the package.  However, the environment variable `LINGUAS'
+may be set, prior to configuration, to limit the installed set.
+`LINGUAS' should then contain a space separated list of two-letter
+codes, stating which languages are allowed.
+
+Using This Package
+==================
+
+   As a user, if your language has been installed for this package, you
+only have to set the `LANG' environment variable to the appropriate
+ISO 639 `LL' two-letter code prior to using the programs in the
+package.  For example, let's suppose that you speak German.  At the
+shell prompt, merely execute `setenv LANG de' (in `csh'),
+`export LANG; LANG=de' (in `sh') or `export LANG=de' (in `bash').  This
+can be done from your `.login' or `.profile' file, once and for all.
+
+   An operating system might already offer message localization for
+many of its programs, while other programs have been installed locally
+with the full capabilities of GNU `gettext'.  Just using `gettext'
+extended syntax for `LANG' would break proper localization of already
+available operating system programs.  In this case, users should set
+both `LANGUAGE' and `LANG' variables in their environment, as programs
+using GNU `gettext' give preference to `LANGUAGE'.  For example, some
+Swedish users would rather read translations in German than English for
+when Swedish is not available.  This is easily accomplished by setting
+`LANGUAGE' to `sv:de' while leaving `LANG' to `sv'.
+
+Translating Teams
+=================
+
+   For the Free Translation Project to be a success, we need interested
+people who like their own language and write it well, and who are also
+able to synergize with other translators speaking the same language.
+Each translation team has its own mailing list, courtesy of Linux
+International.  You may reach your translation team at the address
+`LL at li.org', replacing LL by the two-letter ISO 639 code for your
+language.  Language codes are *not* the same as the country codes given
+in ISO 3166.  The following translation teams exist, as of December
+1997:
+
+     Chinese `zh', Czech `cs', Danish `da', Dutch `nl', English `en',
+     Esperanto `eo', Finnish `fi', French `fr', German `de', Hungarian
+     `hu', Irish `ga', Italian `it', Indonesian `id', Japanese `ja',
+     Korean `ko', Latin `la', Norwegian `no', Persian `fa', Polish
+     `pl', Portuguese `pt', Russian `ru', Slovenian `sl', Spanish `es',
+     Swedish `sv', and Turkish `tr'.
+
+For example, you may reach the Chinese translation team by writing to
+`zh at li.org'.
+
+   If you'd like to volunteer to *work* at translating messages, you
+should become a member of the translating team for your own language.
+The subscribing address is *not* the same as the list itself, it has
+`-request' appended.  For example, speakers of Swedish can send a
+message to `sv-request at li.org', having this message body:
+
+     subscribe
+
+   Keep in mind that team members are expected to participate
+*actively* in translations, or at solving translational difficulties,
+rather than merely lurking around.  If your team does not exist yet and
+you want to start one, or if you are unsure about what to do or how to
+get started, please write to `translation at iro.umontreal.ca' to reach the
+coordinator for all translator teams.
+
+   The English team is special.  It works at improving and uniformizing
+the terminology in use.  Proven linguistic skill are praised more than
+programming skill, here.
+
+Available Packages
+==================
+
+   Languages are not equally supported in all packages.  The following
+matrix shows the current state of internationalization, as of December
+1997.  The matrix shows, in regard of each package, for which languages
+PO files have been submitted to translation coordination.
+
+     Ready PO files    cs da de en es fi fr it ja ko nl no pl pt ru sl sv
+                     .----------------------------------------------------.
+     bash            |       []          []          []                   |  3
+     bison           |       []          []          []                   |  3
+     clisp           |       [] [] []    []                               |  4
+     cpio            |       []    []    []       [] []    []             |  6
+     diffutils       |       []    []    []                []          [] |  5
+     enscript        |       []    [] [] []          []             []    |  6
+     fileutils       | []    []    []    []       [] []    [] []    [] [] | 10
+     findutils       |       []    []    [] []    [] []    []    []    [] |  9
+     flex            |             []    []       []                   [] |  4
+     gcal            |       []          []          []    []          [] |  5
+     gettext         |    [] []    []    []       [] [] [] [] []    [] [] | 12
+     grep            |       []    []    []       [] [] [] []    [] [] [] | 10
+     hello           |    [] []    []    []       [] [] [] [] []    [] [] | 11
+     id-utils        |       []          []                []             |  3
+     indent          |    [] []                   []       []    []       |  5
+     libc            |       []    []    []       [] []    []          [] |  7
+     m4              |       []          []    []    []          []    [] |  6
+     make            |       []    []    []       [] []    []             |  6
+     music           |                   []                []             |  2
+     ptx             |       []    []    []          [] [] [] []       [] |  8
+     recode          |    [] []    []    []          []    [] []    [] [] |  9
+     sh-utils        |       []    []    []          [] [] [] []       [] |  8
+     sharutils       | []    []    []    []          []                [] |  6
+     tar             | []    []          [] []    [] [] [] [] []    [] [] | 11
+     texinfo         | []    []          []                               |  3
+     textutils       | []    []    []    []       [] [] [] []          [] |  9
+     wdiff           | []    []    []    []          [] [] []          [] |  8
+                     `----------------------------------------------------'
+       17 languages    cs da de en es fi fr it ja ko nl no pl pt ru sl sv
+       27 packages      6  4 25  1 18  1 26  2  1 12 20  9 19  7  4  7 17  179
+
+   Some counters in the preceding matrix are higher than the number of
+visible blocks let us expect.  This is because a few extra PO files are
+used for implementing regional variants of languages, or language
+dialects.
+
+   For a PO file in the matrix above to be effective, the package to
+which it applies should also have been internationalized and
+distributed as such by its maintainer.  There might be an observable
+lag between the mere existence a PO file and its wide availability in a
+distribution.
+
+   If December 1997 seems to be old, you may fetch a more recent copy
+of this `ABOUT-NLS' file on most GNU archive sites.
+
diff --git a/AUTHORS b/AUTHORS
new file mode 100644
index 0000000..f3cb518
--- /dev/null
+++ b/AUTHORS
@@ -0,0 +1,14 @@
+Original Author
+---------------
+Laurent Lemaitre <Laurent_Lemaitre-R29173 at email.mot.com>
+
+Contributors
+------------s
+  o Modelization Groups
+	Colin McAndrew <Colin_McAndrew-RP3881 at email.mot.com>
+	James Victory <James_Victory-RZCB60 at email.mot.com>
+  o MICA Group
+	Steven D Hamm <Steve_Hamm-RXET30 at email.mot.com>
+  o MDAS Group
+	Savithri S <Savithri_S-A12801 at email.mot.com>
+	Kuntal Joardar <Kuntal_Joardar-RRNR50 at email.mot.com>
diff --git a/COPYING b/COPYING
new file mode 100644
index 0000000..db9dbff
--- /dev/null
+++ b/COPYING
@@ -0,0 +1,153 @@
+GNU Lesser General Public License
+Version 2.1, February 1999
+
+Copyright (C) 1991, 1999 Free Software Foundation, Inc.
+59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+Everyone is permitted to copy and distribute verbatim copies
+of this license document, but changing it is not allowed.
+
+[This is the first released version of the Lesser GPL. It also counts
+as the successor of the GNU Library Public License, version 2, hence
+the version number 2.1.]
+
+Preamble
+The licenses for most software are designed to take away your freedom to share and change it. By contrast, the GNU General Public Licenses are intended to guarantee your freedom to share and change free software--to make sure the software is free for all its users. 
+
+This license, the Lesser General Public License, applies to some specially designated software packages--typically libraries--of the Free Software Foundation and other authors who decide to use it. You can use it too, but we suggest you first think carefully about whether this license or the ordinary General Public License is the better strategy to use in any particular case, based on the explanations below. 
+
+When we speak of free software, we are referring to freedom of use, not price. Our General Public Licenses are designed to make sure that you have the freedom to distribute copies of free software (and charge for this service if you wish); that you receive source code or can get it if you want it; that you can change the software and use pieces of it in new free programs; and that you are informed that you can do these things.
+
+To protect your rights, we need to make restrictions that forbid distributors to deny you these rights or to ask you to surrender these rights. These restrictions translate to certain responsibilities for you if you distribute copies of the library or if you modify it. 
+
+For example, if you distribute copies of the library, whether gratis or for a fee, you must give the recipients all the rights that we gave you. You must make sure that they, too, receive or can get the source code. If you link other code with the library, you must provide complete object files to the recipients, so that they can relink them with the library after making changes to the library and recompiling it. And you must show them these terms so they know their rights. 
+
+We protect your rights with a two-step method: (1) we copyright the library, and (2) we offer you this license, which gives you legal permission to copy, distribute and/or modify the library. 
+
+To protect each distributor, we want to make it very clear that there is no warranty for the free library. Also, if the library is modified by someone else and passed on, the recipients should know that what they have is not the original version, so that the original author's reputation will not be affected by problems that might be introduced by others. 
+
+Finally, software patents pose a constant threat to the existence of any free program. We wish to make sure that a company cannot effectively restrict the users of a free program by obtaining a restrictive license from a patent holder. Therefore, we insist that any patent license obtained for a version of the library must be consistent with the full freedom of use specified in this license. 
+
+Most GNU software, including some libraries, is covered by the ordinary GNU General Public License. This license, the GNU Lesser General Public License, applies to certain designated libraries, and is quite different from the ordinary General Public License. We use this license for certain libraries in order to permit linking those libraries into non-free programs. 
+
+When a program is linked with a library, whether statically or using a shared library, the combination of the two is legally speaking a combined work, a derivative of the original library. The ordinary General Public License therefore permits such linking only if the entire combination fits its criteria of freedom. The Lesser General Public License permits more lax criteria for linking other code with the library. 
+
+We call this license the "Lesser" General Public License because it does Less to protect the user's freedom than the ordinary General Public License. It also provides other free software developers Less of an advantage over competing non-free programs. These disadvantages are the reason we use the ordinary General Public License for many libraries. However, the Lesser license provides advantages in certain special circumstances. 
+
+For example, on rare occasions, there may be a special need to encourage the widest possible use of a certain library, so that it becomes a de-facto standard. To achieve this, non-free programs must be allowed to use the library. A more frequent case is that a free library does the same job as widely used non-free libraries. In this case, there is little to gain by limiting the free library to free software only, so we use the Lesser General Public License. 
+
+In other cases, permission to use a particular library in non-free programs enables a greater number of people to use a large body of free software. For example, permission to use the GNU C Library in non-free programs enables many more people to use the whole GNU operating system, as well as its variant, the GNU/Linux operating system. 
+
+Although the Lesser General Public License is Less protective of the users' freedom, it does ensure that the user of a program that is linked with the Library has the freedom and the wherewithal to run that program using a modified version of the Library. 
+
+The precise terms and conditions for copying, distribution and modification follow. Pay close attention to the difference between a "work based on the library" and a "work that uses the library". The former contains code derived from the library, whereas the latter must be combined with the library in order to run. 
+
+TERMS AND CONDITIONS FOR COPYING, DISTRIBUTION AND MODIFICATION
+0. This License Agreement applies to any software library or other program which contains a notice placed by the copyright holder or other authorized party saying it may be distributed under the terms of this Lesser General Public License (also called "this License"). Each licensee is addressed as "you".
+
+A "library" means a collection of software functions and/or data prepared so as to be conveniently linked with application programs (which use some of those functions and data) to form executables. 
+
+The "Library", below, refers to any such software library or work which has been distributed under these terms. A "work based on the Library" means either the Library or any derivative work under copyright law: that is to say, a work containing the Library or a portion of it, either verbatim or with modifications and/or translated straightforwardly into another language. (Hereinafter, translation is included without limitation in the term "modification".) 
+
+"Source code" for a work means the preferred form of the work for making modifications to it. For a library, complete source code means all the source code for all modules it contains, plus any associated interface definition files, plus the scripts used to control compilation and installation of the library.
+
+Activities other than copying, distribution and modification are not covered by this License; they are outside its scope. The act of running a program using the Library is not restricted, and output from such a program is covered only if its contents constitute a work based on the Library (independent of the use of the Library in a tool for writing it). Whether that is true depends on what the Library does and what the program that uses the Library does. 
+
+1. You may copy and distribute verbatim copies of the Library's complete source code as you receive it, in any medium, provided that you conspicuously and appropriately publish on each copy an appropriate copyright notice and disclaimer of warranty; keep intact all the notices that refer to this License and to the absence of any warranty; and distribute a copy of this License along with the Library. 
+
+You may charge a fee for the physical act of transferring a copy, and you may at your option offer warranty protection in exchange for a fee. 
+
+2. You may modify your copy or copies of the Library or any portion of it, thus forming a work based on the Library, and copy and distribute such modifications or work under the terms of Section 1 above, provided that you also meet all of these conditions: 
+
+a) The modified work must itself be a software library. 
+
+b) You must cause the files modified to carry prominent notices stating that you changed the files and the date of any change.
+
+c) You must cause the whole of the work to be licensed at no charge to all third parties under the terms of this License. 
+
+d) If a facility in the modified Library refers to a function or a table of data to be supplied by an application program that uses the facility, other than as an argument passed when the facility is invoked, then you must make a good faith effort to ensure that, in the event an application does not supply such function or table, the facility still operates, and performs whatever part of its purpose remains meaningful. 
+
+(For example, a function in a library to compute square roots has a purpose that is entirely well-defined independent of the application. Therefore, Subsection 2d requires that any application-supplied function or table used by this function must be optional: if the application does not supply it, the square root function must still compute square roots.) 
+
+These requirements apply to the modified work as a whole. If identifiable sections of that work are not derived from the Library, and can be reasonably considered independent and separate works in themselves, then this License, and its terms, do not apply to those sections when you distribute them as separate works. But when you distribute the same sections as part of a whole which is a work based on the Library, the distribution of the whole must be on the terms of this License, whose p [...]
+
+Thus, it is not the intent of this section to claim rights or contest your rights to work written entirely by you; rather, the intent is to exercise the right to control the distribution of derivative or collective works based on the Library. 
+
+In addition, mere aggregation of another work not based on the Library with the Library (or with a work based on the Library) on a volume of a storage or distribution medium does not bring the other work under the scope of this License. 
+
+3. You may opt to apply the terms of the ordinary GNU General Public License instead of this License to a given copy of the Library. To do this, you must alter all the notices that refer to this License, so that they refer to the ordinary GNU General Public License, version 2, instead of to this License. (If a newer version than version 2 of the ordinary GNU General Public License has appeared, then you can specify that version instead if you wish.) Do not make any other change in these  [...]
+
+Once this change is made in a given copy, it is irreversible for that copy, so the ordinary GNU General Public License applies to all subsequent copies and derivative works made from that copy. 
+
+This option is useful when you wish to copy part of the code of the Library into a program that is not a library. 
+
+4. You may copy and distribute the Library (or a portion or derivative of it, under Section 2) in object code or executable form under the terms of Sections 1 and 2 above provided that you accompany it with the complete corresponding machine-readable source code, which must be distributed under the terms of Sections 1 and 2 above on a medium customarily used for software interchange. 
+
+If distribution of object code is made by offering access to copy from a designated place, then offering equivalent access to copy the source code from the same place satisfies the requirement to distribute the source code, even though third parties are not compelled to copy the source along with the object code.
+
+5. A program that contains no derivative of any portion of the Library, but is designed to work with the Library by being compiled or linked with it, is called a "work that uses the Library". Such a work, in isolation, is not a derivative work of the Library, and therefore falls outside the scope of this License. 
+
+However, linking a "work that uses the Library" with the Library creates an executable that is a derivative of the Library (because it contains portions of the Library), rather than a "work that uses the library". The executable is therefore covered by this License. Section 6 states terms for distribution of such executables. 
+
+When a "work that uses the Library" uses material from a header file that is part of the Library, the object code for the work may be a derivative work of the Library even though the source code is not. Whether this is true is especially significant if the work can be linked without the Library, or if the work is itself a library. The threshold for this to be true is not precisely defined by law. 
+
+If such an object file uses only numerical parameters, data structure layouts and accessors, and small macros and small inline functions (ten lines or less in length), then the use of the object file is unrestricted, regardless of whether it is legally a derivative work. (Executables containing this object code plus portions of the Library will still fall under Section 6.) 
+
+Otherwise, if the work is a derivative of the Library, you may distribute the object code for the work under the terms of Section 6. Any executables containing that work also fall under Section 6, whether or not they are linked directly with the Library itself. 
+
+6. As an exception to the Sections above, you may also combine or link a "work that uses the Library" with the Library to produce a work containing portions of the Library, and distribute that work under terms of your choice, provided that the terms permit modification of the work for the customer's own use and reverse engineering for debugging such modifications. 
+
+You must give prominent notice with each copy of the work that the Library is used in it and that the Library and its use are covered by this License. You must supply a copy of this License. If the work during execution displays copyright notices, you must include the copyright notice for the Library among them, as well as a reference directing the user to the copy of this License. Also, you must do one of these things: 
+
+a) Accompany the work with the complete corresponding machine-readable source code for the Library including whatever changes were used in the work (which must be distributed under Sections 1 and 2 above); and, if the work is an executable linked with the Library, with the complete machine-readable "work that uses the Library", as object code and/or source code, so that the user can modify the Library and then relink to produce a modified executable containing the modified Library. (It i [...]
+
+b) Use a suitable shared library mechanism for linking with the Library. A suitable mechanism is one that (1) uses at run time a copy of the library already present on the user's computer system, rather than copying library functions into the executable, and (2) will operate properly with a modified version of the library, if the user installs one, as long as the modified version is interface-compatible with the version that the work was made with. 
+
+c) Accompany the work with a written offer, valid for at least three years, to give the same user the materials specified in Subsection 6a, above, for a charge no more than the cost of performing this distribution. 
+
+d) If distribution of the work is made by offering access to copy from a designated place, offer equivalent access to copy the above specified materials from the same place. 
+
+e) Verify that the user has already received a copy of these materials or that you have already sent this user a copy.
+
+For an executable, the required form of the "work that uses the Library" must include any data and utility programs needed for reproducing the executable from it. However, as a special exception, the materials to be distributed need not include anything that is normally distributed (in either source or binary form) with the major components (compiler, kernel, and so on) of the operating system on which the executable runs, unless that component itself accompanies the executable. 
+
+It may happen that this requirement contradicts the license restrictions of other proprietary libraries that do not normally accompany the operating system. Such a contradiction means you cannot use both them and the Library together in an executable that you distribute. 
+
+7. You may place library facilities that are a work based on the Library side-by-side in a single library together with other library facilities not covered by this License, and distribute such a combined library, provided that the separate distribution of the work based on the Library and of the other library facilities is otherwise permitted, and provided that you do these two things: 
+
+a) Accompany the combined library with a copy of the same work based on the Library, uncombined with any other library facilities. This must be distributed under the terms of the Sections above. 
+
+b) Give prominent notice with the combined library of the fact that part of it is a work based on the Library, and explaining where to find the accompanying uncombined form of the same work.
+
+8. You may not copy, modify, sublicense, link with, or distribute the Library except as expressly provided under this License. Any attempt otherwise to copy, modify, sublicense, link with, or distribute the Library is void, and will automatically terminate your rights under this License. However, parties who have received copies, or rights, from you under this License will not have their licenses terminated so long as such parties remain in full compliance. 
+
+9. You are not required to accept this License, since you have not signed it. However, nothing else grants you permission to modify or distribute the Library or its derivative works. These actions are prohibited by law if you do not accept this License. Therefore, by modifying or distributing the Library (or any work based on the Library), you indicate your acceptance of this License to do so, and all its terms and conditions for copying, distributing or modifying the Library or works ba [...]
+
+10. Each time you redistribute the Library (or any work based on the Library), the recipient automatically receives a license from the original licensor to copy, distribute, link with or modify the Library subject to these terms and conditions. You may not impose any further restrictions on the recipients' exercise of the rights granted herein. You are not responsible for enforcing compliance by third parties with this License. 
+
+11. If, as a consequence of a court judgment or allegation of patent infringement or for any other reason (not limited to patent issues), conditions are imposed on you (whether by court order, agreement or otherwise) that contradict the conditions of this License, they do not excuse you from the conditions of this License. If you cannot distribute so as to satisfy simultaneously your obligations under this License and any other pertinent obligations, then as a consequence you may not dis [...]
+
+If any portion of this section is held invalid or unenforceable under any particular circumstance, the balance of the section is intended to apply, and the section as a whole is intended to apply in other circumstances. 
+
+It is not the purpose of this section to induce you to infringe any patents or other property right claims or to contest validity of any such claims; this section has the sole purpose of protecting the integrity of the free software distribution system which is implemented by public license practices. Many people have made generous contributions to the wide range of software distributed through that system in reliance on consistent application of that system; it is up to the author/donor [...]
+
+This section is intended to make thoroughly clear what is believed to be a consequence of the rest of this License. 
+
+12. If the distribution and/or use of the Library is restricted in certain countries either by patents or by copyrighted interfaces, the original copyright holder who places the Library under this License may add an explicit geographical distribution limitation excluding those countries, so that distribution is permitted only in or among countries not thus excluded. In such case, this License incorporates the limitation as if written in the body of this License. 
+
+13. The Free Software Foundation may publish revised and/or new versions of the Lesser General Public License from time to time. Such new versions will be similar in spirit to the present version, but may differ in detail to address new problems or concerns.
+
+Each version is given a distinguishing version number. If the Library specifies a version number of this License which applies to it and "any later version", you have the option of following the terms and conditions either of that version or of any later version published by the Free Software Foundation. If the Library does not specify a license version number, you may choose any version ever published by the Free Software Foundation. 
+
+14. If you wish to incorporate parts of the Library into other free programs whose distribution conditions are incompatible with these, write to the author to ask for permission. For software which is copyrighted by the Free Software Foundation, write to the Free Software Foundation; we sometimes make exceptions for this. Our decision will be guided by the two goals of preserving the free status of all derivatives of our free software and of promoting the sharing and reuse of software ge [...]
+
+NO WARRANTY 
+
+15. BECAUSE THE LIBRARY IS LICENSED FREE OF CHARGE, THERE IS NO WARRANTY FOR THE LIBRARY, TO THE EXTENT PERMITTED BY APPLICABLE LAW. EXCEPT WHEN OTHERWISE STATED IN WRITING THE COPYRIGHT HOLDERS AND/OR OTHER PARTIES PROVIDE THE LIBRARY "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER EXPRESSED OR IMPLIED, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE. THE ENTIRE RISK AS TO THE QUALITY AND PERFORMANCE OF THE LIBRARY IS WITH YOU.  [...]
+
+16. IN NO EVENT UNLESS REQUIRED BY APPLICABLE LAW OR AGREED TO IN WRITING WILL ANY COPYRIGHT HOLDER, OR ANY OTHER PARTY WHO MAY MODIFY AND/OR REDISTRIBUTE THE LIBRARY AS PERMITTED ABOVE, BE LIABLE TO YOU FOR DAMAGES, INCLUDING ANY GENERAL, SPECIAL, INCIDENTAL OR CONSEQUENTIAL DAMAGES ARISING OUT OF THE USE OR INABILITY TO USE THE LIBRARY (INCLUDING BUT NOT LIMITED TO LOSS OF DATA OR DATA BEING RENDERED INACCURATE OR LOSSES SUSTAINED BY YOU OR THIRD PARTIES OR A FAILURE OF THE LIBRARY TO  [...]
+
+END OF TERMS AND CONDITIONS
+
+
+/*
+
diff --git a/ChangeLog b/ChangeLog
new file mode 100644
index 0000000..8d1c8b6
--- /dev/null
+++ b/ChangeLog
@@ -0,0 +1 @@
+ 
diff --git a/INSTALL b/INSTALL
new file mode 100644
index 0000000..7d1c323
--- /dev/null
+++ b/INSTALL
@@ -0,0 +1,365 @@
+Installation Instructions
+*************************
+
+Copyright (C) 1994, 1995, 1996, 1999, 2000, 2001, 2002, 2004, 2005,
+2006, 2007, 2008, 2009 Free Software Foundation, Inc.
+
+   Copying and distribution of this file, with or without modification,
+are permitted in any medium without royalty provided the copyright
+notice and this notice are preserved.  This file is offered as-is,
+without warranty of any kind.
+
+Basic Installation
+==================
+
+   Briefly, the shell commands `./configure; make; make install' should
+configure, build, and install this package.  The following
+more-detailed instructions are generic; see the `README' file for
+instructions specific to this package.  Some packages provide this
+`INSTALL' file but do not implement all of the features documented
+below.  The lack of an optional feature in a given package is not
+necessarily a bug.  More recommendations for GNU packages can be found
+in *note Makefile Conventions: (standards)Makefile Conventions.
+
+   The `configure' shell script attempts to guess correct values for
+various system-dependent variables used during compilation.  It uses
+those values to create a `Makefile' in each directory of the package.
+It may also create one or more `.h' files containing system-dependent
+definitions.  Finally, it creates a shell script `config.status' that
+you can run in the future to recreate the current configuration, and a
+file `config.log' containing compiler output (useful mainly for
+debugging `configure').
+
+   It can also use an optional file (typically called `config.cache'
+and enabled with `--cache-file=config.cache' or simply `-C') that saves
+the results of its tests to speed up reconfiguring.  Caching is
+disabled by default to prevent problems with accidental use of stale
+cache files.
+
+   If you need to do unusual things to compile the package, please try
+to figure out how `configure' could check whether to do them, and mail
+diffs or instructions to the address given in the `README' so they can
+be considered for the next release.  If you are using the cache, and at
+some point `config.cache' contains results you don't want to keep, you
+may remove or edit it.
+
+   The file `configure.ac' (or `configure.in') is used to create
+`configure' by a program called `autoconf'.  You need `configure.ac' if
+you want to change it or regenerate `configure' using a newer version
+of `autoconf'.
+
+   The simplest way to compile this package is:
+
+  1. `cd' to the directory containing the package's source code and type
+     `./configure' to configure the package for your system.
+
+     Running `configure' might take a while.  While running, it prints
+     some messages telling which features it is checking for.
+
+  2. Type `make' to compile the package.
+
+  3. Optionally, type `make check' to run any self-tests that come with
+     the package, generally using the just-built uninstalled binaries.
+
+  4. Type `make install' to install the programs and any data files and
+     documentation.  When installing into a prefix owned by root, it is
+     recommended that the package be configured and built as a regular
+     user, and only the `make install' phase executed with root
+     privileges.
+
+  5. Optionally, type `make installcheck' to repeat any self-tests, but
+     this time using the binaries in their final installed location.
+     This target does not install anything.  Running this target as a
+     regular user, particularly if the prior `make install' required
+     root privileges, verifies that the installation completed
+     correctly.
+
+  6. You can remove the program binaries and object files from the
+     source code directory by typing `make clean'.  To also remove the
+     files that `configure' created (so you can compile the package for
+     a different kind of computer), type `make distclean'.  There is
+     also a `make maintainer-clean' target, but that is intended mainly
+     for the package's developers.  If you use it, you may have to get
+     all sorts of other programs in order to regenerate files that came
+     with the distribution.
+
+  7. Often, you can also type `make uninstall' to remove the installed
+     files again.  In practice, not all packages have tested that
+     uninstallation works correctly, even though it is required by the
+     GNU Coding Standards.
+
+  8. Some packages, particularly those that use Automake, provide `make
+     distcheck', which can by used by developers to test that all other
+     targets like `make install' and `make uninstall' work correctly.
+     This target is generally not run by end users.
+
+Compilers and Options
+=====================
+
+   Some systems require unusual options for compilation or linking that
+the `configure' script does not know about.  Run `./configure --help'
+for details on some of the pertinent environment variables.
+
+   You can give `configure' initial values for configuration parameters
+by setting variables in the command line or in the environment.  Here
+is an example:
+
+     ./configure CC=c99 CFLAGS=-g LIBS=-lposix
+
+   *Note Defining Variables::, for more details.
+
+Compiling For Multiple Architectures
+====================================
+
+   You can compile the package for more than one kind of computer at the
+same time, by placing the object files for each architecture in their
+own directory.  To do this, you can use GNU `make'.  `cd' to the
+directory where you want the object files and executables to go and run
+the `configure' script.  `configure' automatically checks for the
+source code in the directory that `configure' is in and in `..'.  This
+is known as a "VPATH" build.
+
+   With a non-GNU `make', it is safer to compile the package for one
+architecture at a time in the source code directory.  After you have
+installed the package for one architecture, use `make distclean' before
+reconfiguring for another architecture.
+
+   On MacOS X 10.5 and later systems, you can create libraries and
+executables that work on multiple system types--known as "fat" or
+"universal" binaries--by specifying multiple `-arch' options to the
+compiler but only a single `-arch' option to the preprocessor.  Like
+this:
+
+     ./configure CC="gcc -arch i386 -arch x86_64 -arch ppc -arch ppc64" \
+                 CXX="g++ -arch i386 -arch x86_64 -arch ppc -arch ppc64" \
+                 CPP="gcc -E" CXXCPP="g++ -E"
+
+   This is not guaranteed to produce working output in all cases, you
+may have to build one architecture at a time and combine the results
+using the `lipo' tool if you have problems.
+
+Installation Names
+==================
+
+   By default, `make install' installs the package's commands under
+`/usr/local/bin', include files under `/usr/local/include', etc.  You
+can specify an installation prefix other than `/usr/local' by giving
+`configure' the option `--prefix=PREFIX', where PREFIX must be an
+absolute file name.
+
+   You can specify separate installation prefixes for
+architecture-specific files and architecture-independent files.  If you
+pass the option `--exec-prefix=PREFIX' to `configure', the package uses
+PREFIX as the prefix for installing programs and libraries.
+Documentation and other data files still use the regular prefix.
+
+   In addition, if you use an unusual directory layout you can give
+options like `--bindir=DIR' to specify different values for particular
+kinds of files.  Run `configure --help' for a list of the directories
+you can set and what kinds of files go in them.  In general, the
+default for these options is expressed in terms of `${prefix}', so that
+specifying just `--prefix' will affect all of the other directory
+specifications that were not explicitly provided.
+
+   The most portable way to affect installation locations is to pass the
+correct locations to `configure'; however, many packages provide one or
+both of the following shortcuts of passing variable assignments to the
+`make install' command line to change installation locations without
+having to reconfigure or recompile.
+
+   The first method involves providing an override variable for each
+affected directory.  For example, `make install
+prefix=/alternate/directory' will choose an alternate location for all
+directory configuration variables that were expressed in terms of
+`${prefix}'.  Any directories that were specified during `configure',
+but not in terms of `${prefix}', must each be overridden at install
+time for the entire installation to be relocated.  The approach of
+makefile variable overrides for each directory variable is required by
+the GNU Coding Standards, and ideally causes no recompilation.
+However, some platforms have known limitations with the semantics of
+shared libraries that end up requiring recompilation when using this
+method, particularly noticeable in packages that use GNU Libtool.
+
+   The second method involves providing the `DESTDIR' variable.  For
+example, `make install DESTDIR=/alternate/directory' will prepend
+`/alternate/directory' before all installation names.  The approach of
+`DESTDIR' overrides is not required by the GNU Coding Standards, and
+does not work on platforms that have drive letters.  On the other hand,
+it does better at avoiding recompilation issues, and works well even
+when some directory options were not specified in terms of `${prefix}'
+at `configure' time.
+
+Optional Features
+=================
+
+   If the package supports it, you can cause programs to be installed
+with an extra prefix or suffix on their names by giving `configure' the
+option `--program-prefix=PREFIX' or `--program-suffix=SUFFIX'.
+
+   Some packages pay attention to `--enable-FEATURE' options to
+`configure', where FEATURE indicates an optional part of the package.
+They may also pay attention to `--with-PACKAGE' options, where PACKAGE
+is something like `gnu-as' or `x' (for the X Window System).  The
+`README' should mention any `--enable-' and `--with-' options that the
+package recognizes.
+
+   For packages that use the X Window System, `configure' can usually
+find the X include and library files automatically, but if it doesn't,
+you can use the `configure' options `--x-includes=DIR' and
+`--x-libraries=DIR' to specify their locations.
+
+   Some packages offer the ability to configure how verbose the
+execution of `make' will be.  For these packages, running `./configure
+--enable-silent-rules' sets the default to minimal output, which can be
+overridden with `make V=1'; while running `./configure
+--disable-silent-rules' sets the default to verbose, which can be
+overridden with `make V=0'.
+
+Particular systems
+==================
+
+   On HP-UX, the default C compiler is not ANSI C compatible.  If GNU
+CC is not installed, it is recommended to use the following options in
+order to use an ANSI C compiler:
+
+     ./configure CC="cc -Ae -D_XOPEN_SOURCE=500"
+
+and if that doesn't work, install pre-built binaries of GCC for HP-UX.
+
+   On OSF/1 a.k.a. Tru64, some versions of the default C compiler cannot
+parse its `<wchar.h>' header file.  The option `-nodtk' can be used as
+a workaround.  If GNU CC is not installed, it is therefore recommended
+to try
+
+     ./configure CC="cc"
+
+and if that doesn't work, try
+
+     ./configure CC="cc -nodtk"
+
+   On Solaris, don't put `/usr/ucb' early in your `PATH'.  This
+directory contains several dysfunctional programs; working variants of
+these programs are available in `/usr/bin'.  So, if you need `/usr/ucb'
+in your `PATH', put it _after_ `/usr/bin'.
+
+   On Haiku, software installed for all users goes in `/boot/common',
+not `/usr/local'.  It is recommended to use the following options:
+
+     ./configure --prefix=/boot/common
+
+Specifying the System Type
+==========================
+
+   There may be some features `configure' cannot figure out
+automatically, but needs to determine by the type of machine the package
+will run on.  Usually, assuming the package is built to be run on the
+_same_ architectures, `configure' can figure that out, but if it prints
+a message saying it cannot guess the machine type, give it the
+`--build=TYPE' option.  TYPE can either be a short name for the system
+type, such as `sun4', or a canonical name which has the form:
+
+     CPU-COMPANY-SYSTEM
+
+where SYSTEM can have one of these forms:
+
+     OS
+     KERNEL-OS
+
+   See the file `config.sub' for the possible values of each field.  If
+`config.sub' isn't included in this package, then this package doesn't
+need to know the machine type.
+
+   If you are _building_ compiler tools for cross-compiling, you should
+use the option `--target=TYPE' to select the type of system they will
+produce code for.
+
+   If you want to _use_ a cross compiler, that generates code for a
+platform different from the build platform, you should specify the
+"host" platform (i.e., that on which the generated programs will
+eventually be run) with `--host=TYPE'.
+
+Sharing Defaults
+================
+
+   If you want to set default values for `configure' scripts to share,
+you can create a site shell script called `config.site' that gives
+default values for variables like `CC', `cache_file', and `prefix'.
+`configure' looks for `PREFIX/share/config.site' if it exists, then
+`PREFIX/etc/config.site' if it exists.  Or, you can set the
+`CONFIG_SITE' environment variable to the location of the site script.
+A warning: not all `configure' scripts look for a site script.
+
+Defining Variables
+==================
+
+   Variables not defined in a site shell script can be set in the
+environment passed to `configure'.  However, some packages may run
+configure again during the build, and the customized values of these
+variables may be lost.  In order to avoid this problem, you should set
+them in the `configure' command line, using `VAR=value'.  For example:
+
+     ./configure CC=/usr/local2/bin/gcc
+
+causes the specified `gcc' to be used as the C compiler (unless it is
+overridden in the site shell script).
+
+Unfortunately, this technique does not work for `CONFIG_SHELL' due to
+an Autoconf bug.  Until the bug is fixed you can use this workaround:
+
+     CONFIG_SHELL=/bin/bash /bin/bash ./configure CONFIG_SHELL=/bin/bash
+
+`configure' Invocation
+======================
+
+   `configure' recognizes the following options to control how it
+operates.
+
+`--help'
+`-h'
+     Print a summary of all of the options to `configure', and exit.
+
+`--help=short'
+`--help=recursive'
+     Print a summary of the options unique to this package's
+     `configure', and exit.  The `short' variant lists options used
+     only in the top level, while the `recursive' variant lists options
+     also present in any nested packages.
+
+`--version'
+`-V'
+     Print the version of Autoconf used to generate the `configure'
+     script, and exit.
+
+`--cache-file=FILE'
+     Enable the cache: use and save the results of the tests in FILE,
+     traditionally `config.cache'.  FILE defaults to `/dev/null' to
+     disable caching.
+
+`--config-cache'
+`-C'
+     Alias for `--cache-file=config.cache'.
+
+`--quiet'
+`--silent'
+`-q'
+     Do not print messages saying which checks are being made.  To
+     suppress all normal output, redirect it to `/dev/null' (any error
+     messages will still be shown).
+
+`--srcdir=DIR'
+     Look for the package's source code in directory DIR.  Usually
+     `configure' can determine that directory automatically.
+
+`--prefix=DIR'
+     Use DIR as the installation prefix.  *note Installation Names::
+     for more details, including other options available for fine-tuning
+     the installation locations.
+
+`--no-create'
+`-n'
+     Run the configure checks, but stop before creating any output
+     files.
+
+`configure' also accepts some other, not widely useful, options.  Run
+`configure --help' for more details.
+
diff --git a/Makefile.am b/Makefile.am
new file mode 100644
index 0000000..1aa2507
--- /dev/null
+++ b/Makefile.am
@@ -0,0 +1,114 @@
+##
+## RCS Info
+## $Id: Makefile.am 941 2008-03-27 17:38:57Z r29173 $
+## 
+## Log
+## $Log$
+## Revision 1.15  2006/09/06 17:29:50  r29173
+## introduced new elements admsttext/admstpath
+## improved admsttext parsing
+##
+## Revision 1.14  2006/09/04 08:51:05  r29173
+## tons of simplifications
+##
+## Revision 1.13  2006/03/23 07:51:55  r29173
+## added .dtd and .xsl files to tarball distribution
+##
+## Revision 1.12  2005/05/09 14:38:31  r29173
+## cleaned-up source directory structure
+##
+## Revision 1.11  2005/05/03 09:35:19  r29173
+## cleaned-up header file dependencies
+##
+## Revision 1.10  2005/05/03 07:58:29  r29173
+## moved admsNS into admsFile
+##
+## Revision 1.9  2005/05/03 07:40:27  r29173
+## moved admsNS into admsFile
+##
+## Revision 1.8  2005/03/31 18:12:01  r29173
+## migration to adms-2.x.x: converted to adms2 syntax
+##
+## Revision 1.7  2004/10/20 16:21:05  r29173
+## removed file adms.iss from distribution
+## added missing info field
+##
+## Revision 1.6  2004/08/20 14:07:52  r29173
+## tests change in CVSROOT/loginfo
+## pls Marat disregard!
+##
+## Revision 1.5  2004/08/19 16:50:18  r29173
+## moved position of admsFile
+##
+## Revision 1.4  2004/08/03 17:01:22  r29173
+## added adms.iss to the distribution
+##
+## Revision 1.3  2004/08/03 12:33:54  r29173
+## import adms-1.21.0 from local CVS
+##
+## Revision 1.1.1.1  2004/05/21 12:20:01  r29173
+## recreated cvs data structure (crashed after revision 1.13.0!)
+##
+## Revision 1.19  2004/05/17 09:13:01  r29173
+## simplified handling of variable attributes
+##
+## Revision 1.18  2004/05/13 07:34:51  r29173
+## continue removing GNode* structure
+##
+## Revision 1.17  2004/05/06 12:17:19  r29173
+## started removing GNode* structure
+##
+## Revision 1.16  2004/05/04 08:07:10  r29173
+## added code for @final
+## removed libltdl
+##
+## Revision 1.15  2004/04/19 21:03:23  r29173
+## removed subdir admsTree
+##
+## Revision 1.14  2004/04/19 14:52:20  r29173
+## cleaned-up code
+## added handling of \t in xml files
+##
+## Revision 1.13  2004/04/13 15:09:48  r29173
+## some code clean-up
+##
+## Revision 1.12  2004/04/01 15:06:40  r29173
+## added #ifdef NEWCALC to maintain old computation of VAL, DVAL
+##
+## Revision 1.11  2004/01/16 10:25:04  r29173
+## moved code to admsXml
+##
+## Revision 1.10  2004/01/16 10:24:19  r29173
+## moved code to admsXml
+##
+## Revision 1.9  2004/01/13 11:25:14  r29173
+## changed coding style
+##
+## Revision 1.8  2004/01/07 15:34:10  r29173
+## from now use of makefile.am files
+##
+## Revision 1.7  2004/01/05 11:35:51  r29173
+## updated for cygwin
+##
+## Revision 1.6  2003/08/27 08:22:03  r29173
+## removed simulator specific code (mica, spectre, ads)
+##
+## Revision 1.5  2003/06/23 13:19:16  r29173
+## fixed order of module compilation
+##
+## Revision 1.4  2003/06/23 07:11:42  r29173
+## fixed order of module compilation
+##
+## Revision 1.2  2003/05/21 14:20:55  r29173
+## add rcs info
+##
+##
+
+EXTRA_DIST=make.win make.cyg \
+	adms.xml admst.xml admstpath.xml \
+	adms.css admst.dtd metaadms.dtd metaadmst.dtd metaadmstpath.dtd \
+	admsstyle.xsl admstpathstyle.xsl admststyle.xsl
+
+SUBDIRS=scripts images admsXml
+
+CLEANFILES=*\~ .*.swp
diff --git a/Makefile.in b/Makefile.in
new file mode 100644
index 0000000..5feea56
--- /dev/null
+++ b/Makefile.in
@@ -0,0 +1,739 @@
+# Makefile.in generated by automake 1.11.1 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008, 2009  Free Software Foundation,
+# Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+ at SET_MAKE@
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkglibexecdir = $(libexecdir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+subdir = .
+DIST_COMMON = README $(am__configure_deps) $(srcdir)/Makefile.am \
+	$(srcdir)/Makefile.in $(srcdir)/config.h.in \
+	$(top_srcdir)/configure ABOUT-NLS AUTHORS COPYING ChangeLog \
+	INSTALL NEWS TODO auxconf/config.guess auxconf/config.sub \
+	auxconf/depcomp auxconf/install-sh auxconf/ltmain.sh \
+	auxconf/missing auxconf/ylwrap
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+	$(ACLOCAL_M4)
+am__CONFIG_DISTCLEAN_FILES = config.status config.cache config.log \
+ configure.lineno config.status.lineno
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = config.h
+CONFIG_CLEAN_FILES =
+CONFIG_CLEAN_VPATH_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+	html-recursive info-recursive install-data-recursive \
+	install-dvi-recursive install-exec-recursive \
+	install-html-recursive install-info-recursive \
+	install-pdf-recursive install-ps-recursive install-recursive \
+	installcheck-recursive installdirs-recursive pdf-recursive \
+	ps-recursive uninstall-recursive
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive	\
+  distclean-recursive maintainer-clean-recursive
+AM_RECURSIVE_TARGETS = $(RECURSIVE_TARGETS:-recursive=) \
+	$(RECURSIVE_CLEAN_TARGETS:-recursive=) tags TAGS ctags CTAGS \
+	distdir dist dist-all distcheck
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+distdir = $(PACKAGE)-$(VERSION)
+top_distdir = $(distdir)
+am__remove_distdir = \
+  { test ! -d "$(distdir)" \
+    || { find "$(distdir)" -type d ! -perm -200 -exec chmod u+w {} ';' \
+         && rm -fr "$(distdir)"; }; }
+am__relativize = \
+  dir0=`pwd`; \
+  sed_first='s,^\([^/]*\)/.*$$,\1,'; \
+  sed_rest='s,^[^/]*/*,,'; \
+  sed_last='s,^.*/\([^/]*\)$$,\1,'; \
+  sed_butlast='s,/*[^/]*$$,,'; \
+  while test -n "$$dir1"; do \
+    first=`echo "$$dir1" | sed -e "$$sed_first"`; \
+    if test "$$first" != "."; then \
+      if test "$$first" = ".."; then \
+        dir2=`echo "$$dir0" | sed -e "$$sed_last"`/"$$dir2"; \
+        dir0=`echo "$$dir0" | sed -e "$$sed_butlast"`; \
+      else \
+        first2=`echo "$$dir2" | sed -e "$$sed_first"`; \
+        if test "$$first2" = "$$first"; then \
+          dir2=`echo "$$dir2" | sed -e "$$sed_rest"`; \
+        else \
+          dir2="../$$dir2"; \
+        fi; \
+        dir0="$$dir0"/"$$first"; \
+      fi; \
+    fi; \
+    dir1=`echo "$$dir1" | sed -e "$$sed_rest"`; \
+  done; \
+  reldir="$$dir2"
+DIST_ARCHIVES = $(distdir).tar.gz
+GZIP_ENV = --best
+distuninstallcheck_listfiles = find . -type f -print
+distcleancheck_listfiles = find . -type f -print
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+CC = @CC@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CYGPATH_W = @CYGPATH_W@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+FGREP = @FGREP@
+GREP = @GREP@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LEX = @LEX@
+LEXLIB = @LEXLIB@
+LEX_OUTPUT_ROOT = @LEX_OUTPUT_ROOT@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIBTOOL_DEPS = @LIBTOOL_DEPS@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAINT = @MAINT@
+MAKEINFO = @MAKEINFO@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_URL = @PACKAGE_URL@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PERL = @PERL@
+POW_LIB = @POW_LIB@
+RANLIB = @RANLIB@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+STRIP = @STRIP@
+VERSION = @VERSION@
+YACC = @YACC@
+YFLAGS = @YFLAGS@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+dvidir = @dvidir@
+exec_prefix = @exec_prefix@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+pdfdir = @pdfdir@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+srcdir = @srcdir@
+sysconfdir = @sysconfdir@
+target_alias = @target_alias@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+EXTRA_DIST = make.win make.cyg \
+	adms.xml admst.xml admstpath.xml \
+	adms.css admst.dtd metaadms.dtd metaadmst.dtd metaadmstpath.dtd \
+	admsstyle.xsl admstpathstyle.xsl admststyle.xsl
+
+SUBDIRS = scripts images admsXml
+CLEANFILES = *\~ .*.swp
+all: config.h
+	$(MAKE) $(AM_MAKEFLAGS) all-recursive
+
+.SUFFIXES:
+am--refresh:
+	@:
+$(srcdir)/Makefile.in: @MAINTAINER_MODE_TRUE@ $(srcdir)/Makefile.am  $(am__configure_deps)
+	@for dep in $?; do \
+	  case '$(am__configure_deps)' in \
+	    *$$dep*) \
+	      echo ' cd $(srcdir) && $(AUTOMAKE) --gnu'; \
+	      $(am__cd) $(srcdir) && $(AUTOMAKE) --gnu \
+		&& exit 0; \
+	      exit 1;; \
+	  esac; \
+	done; \
+	echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu Makefile'; \
+	$(am__cd) $(top_srcdir) && \
+	  $(AUTOMAKE) --gnu Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+	@case '$?' in \
+	  *config.status*) \
+	    echo ' $(SHELL) ./config.status'; \
+	    $(SHELL) ./config.status;; \
+	  *) \
+	    echo ' cd $(top_builddir) && $(SHELL) ./config.status $@ $(am__depfiles_maybe)'; \
+	    cd $(top_builddir) && $(SHELL) ./config.status $@ $(am__depfiles_maybe);; \
+	esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+	$(SHELL) ./config.status --recheck
+
+$(top_srcdir)/configure: @MAINTAINER_MODE_TRUE@ $(am__configure_deps)
+	$(am__cd) $(srcdir) && $(AUTOCONF)
+$(ACLOCAL_M4): @MAINTAINER_MODE_TRUE@ $(am__aclocal_m4_deps)
+	$(am__cd) $(srcdir) && $(ACLOCAL) $(ACLOCAL_AMFLAGS)
+$(am__aclocal_m4_deps):
+
+config.h: stamp-h1
+	@if test ! -f $@; then \
+	  rm -f stamp-h1; \
+	  $(MAKE) $(AM_MAKEFLAGS) stamp-h1; \
+	else :; fi
+
+stamp-h1: $(srcdir)/config.h.in $(top_builddir)/config.status
+	@rm -f stamp-h1
+	cd $(top_builddir) && $(SHELL) ./config.status config.h
+$(srcdir)/config.h.in: @MAINTAINER_MODE_TRUE@ $(am__configure_deps) 
+	($(am__cd) $(top_srcdir) && $(AUTOHEADER))
+	rm -f stamp-h1
+	touch $@
+
+distclean-hdr:
+	-rm -f config.h stamp-h1
+
+mostlyclean-libtool:
+	-rm -f *.lo
+
+clean-libtool:
+	-rm -rf .libs _libs
+
+distclean-libtool:
+	-rm -f libtool config.lt
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+	@fail= failcom='exit 1'; \
+	for f in x $$MAKEFLAGS; do \
+	  case $$f in \
+	    *=* | --[!k]*);; \
+	    *k*) failcom='fail=yes';; \
+	  esac; \
+	done; \
+	dot_seen=no; \
+	target=`echo $@ | sed s/-recursive//`; \
+	list='$(SUBDIRS)'; for subdir in $$list; do \
+	  echo "Making $$target in $$subdir"; \
+	  if test "$$subdir" = "."; then \
+	    dot_seen=yes; \
+	    local_target="$$target-am"; \
+	  else \
+	    local_target="$$target"; \
+	  fi; \
+	  ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+	  || eval $$failcom; \
+	done; \
+	if test "$$dot_seen" = "no"; then \
+	  $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+	fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+	@fail= failcom='exit 1'; \
+	for f in x $$MAKEFLAGS; do \
+	  case $$f in \
+	    *=* | --[!k]*);; \
+	    *k*) failcom='fail=yes';; \
+	  esac; \
+	done; \
+	dot_seen=no; \
+	case "$@" in \
+	  distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+	  *) list='$(SUBDIRS)' ;; \
+	esac; \
+	rev=''; for subdir in $$list; do \
+	  if test "$$subdir" = "."; then :; else \
+	    rev="$$subdir $$rev"; \
+	  fi; \
+	done; \
+	rev="$$rev ."; \
+	target=`echo $@ | sed s/-recursive//`; \
+	for subdir in $$rev; do \
+	  echo "Making $$target in $$subdir"; \
+	  if test "$$subdir" = "."; then \
+	    local_target="$$target-am"; \
+	  else \
+	    local_target="$$target"; \
+	  fi; \
+	  ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+	  || eval $$failcom; \
+	done && test -z "$$fail"
+tags-recursive:
+	list='$(SUBDIRS)'; for subdir in $$list; do \
+	  test "$$subdir" = . || ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+	done
+ctags-recursive:
+	list='$(SUBDIRS)'; for subdir in $$list; do \
+	  test "$$subdir" = . || ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+	done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+	list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+	unique=`for i in $$list; do \
+	    if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+	  done | \
+	  $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+	      END { if (nonempty) { for (i in files) print i; }; }'`; \
+	mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES) config.h.in $(TAGS_DEPENDENCIES) \
+		$(TAGS_FILES) $(LISP)
+	set x; \
+	here=`pwd`; \
+	if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+	  include_option=--etags-include; \
+	  empty_fix=.; \
+	else \
+	  include_option=--include; \
+	  empty_fix=; \
+	fi; \
+	list='$(SUBDIRS)'; for subdir in $$list; do \
+	  if test "$$subdir" = .; then :; else \
+	    test ! -f $$subdir/TAGS || \
+	      set "$$@" "$$include_option=$$here/$$subdir/TAGS"; \
+	  fi; \
+	done; \
+	list='$(SOURCES) $(HEADERS) config.h.in $(LISP) $(TAGS_FILES)'; \
+	unique=`for i in $$list; do \
+	    if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+	  done | \
+	  $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+	      END { if (nonempty) { for (i in files) print i; }; }'`; \
+	shift; \
+	if test -z "$(ETAGS_ARGS)$$*$$unique"; then :; else \
+	  test -n "$$unique" || unique=$$empty_fix; \
+	  if test $$# -gt 0; then \
+	    $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+	      "$$@" $$unique; \
+	  else \
+	    $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+	      $$unique; \
+	  fi; \
+	fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES) config.h.in $(TAGS_DEPENDENCIES) \
+		$(TAGS_FILES) $(LISP)
+	list='$(SOURCES) $(HEADERS) config.h.in $(LISP) $(TAGS_FILES)'; \
+	unique=`for i in $$list; do \
+	    if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+	  done | \
+	  $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+	      END { if (nonempty) { for (i in files) print i; }; }'`; \
+	test -z "$(CTAGS_ARGS)$$unique" \
+	  || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+	     $$unique
+
+GTAGS:
+	here=`$(am__cd) $(top_builddir) && pwd` \
+	  && $(am__cd) $(top_srcdir) \
+	  && gtags -i $(GTAGS_ARGS) "$$here"
+
+distclean-tags:
+	-rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+	$(am__remove_distdir)
+	test -d "$(distdir)" || mkdir "$(distdir)"
+	@srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+	topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+	list='$(DISTFILES)'; \
+	  dist_files=`for file in $$list; do echo $$file; done | \
+	  sed -e "s|^$$srcdirstrip/||;t" \
+	      -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+	case $$dist_files in \
+	  */*) $(MKDIR_P) `echo "$$dist_files" | \
+			   sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+			   sort -u` ;; \
+	esac; \
+	for file in $$dist_files; do \
+	  if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+	  if test -d $$d/$$file; then \
+	    dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+	    if test -d "$(distdir)/$$file"; then \
+	      find "$(distdir)/$$file" -type d ! -perm -700 -exec chmod u+rwx {} \;; \
+	    fi; \
+	    if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+	      cp -fpR $(srcdir)/$$file "$(distdir)$$dir" || exit 1; \
+	      find "$(distdir)/$$file" -type d ! -perm -700 -exec chmod u+rwx {} \;; \
+	    fi; \
+	    cp -fpR $$d/$$file "$(distdir)$$dir" || exit 1; \
+	  else \
+	    test -f "$(distdir)/$$file" \
+	    || cp -p $$d/$$file "$(distdir)/$$file" \
+	    || exit 1; \
+	  fi; \
+	done
+	@list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+	  if test "$$subdir" = .; then :; else \
+	    test -d "$(distdir)/$$subdir" \
+	    || $(MKDIR_P) "$(distdir)/$$subdir" \
+	    || exit 1; \
+	  fi; \
+	done
+	@list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+	  if test "$$subdir" = .; then :; else \
+	    dir1=$$subdir; dir2="$(distdir)/$$subdir"; \
+	    $(am__relativize); \
+	    new_distdir=$$reldir; \
+	    dir1=$$subdir; dir2="$(top_distdir)"; \
+	    $(am__relativize); \
+	    new_top_distdir=$$reldir; \
+	    echo " (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) top_distdir="$$new_top_distdir" distdir="$$new_distdir" \\"; \
+	    echo "     am__remove_distdir=: am__skip_length_check=: am__skip_mode_fix=: distdir)"; \
+	    ($(am__cd) $$subdir && \
+	      $(MAKE) $(AM_MAKEFLAGS) \
+	        top_distdir="$$new_top_distdir" \
+	        distdir="$$new_distdir" \
+		am__remove_distdir=: \
+		am__skip_length_check=: \
+		am__skip_mode_fix=: \
+	        distdir) \
+	      || exit 1; \
+	  fi; \
+	done
+	-test -n "$(am__skip_mode_fix)" \
+	|| find "$(distdir)" -type d ! -perm -755 \
+		-exec chmod u+rwx,go+rx {} \; -o \
+	  ! -type d ! -perm -444 -links 1 -exec chmod a+r {} \; -o \
+	  ! -type d ! -perm -400 -exec chmod a+r {} \; -o \
+	  ! -type d ! -perm -444 -exec $(install_sh) -c -m a+r {} {} \; \
+	|| chmod -R a+r "$(distdir)"
+dist-gzip: distdir
+	tardir=$(distdir) && $(am__tar) | GZIP=$(GZIP_ENV) gzip -c >$(distdir).tar.gz
+	$(am__remove_distdir)
+
+dist-bzip2: distdir
+	tardir=$(distdir) && $(am__tar) | bzip2 -9 -c >$(distdir).tar.bz2
+	$(am__remove_distdir)
+
+dist-lzma: distdir
+	tardir=$(distdir) && $(am__tar) | lzma -9 -c >$(distdir).tar.lzma
+	$(am__remove_distdir)
+
+dist-xz: distdir
+	tardir=$(distdir) && $(am__tar) | xz -c >$(distdir).tar.xz
+	$(am__remove_distdir)
+
+dist-tarZ: distdir
+	tardir=$(distdir) && $(am__tar) | compress -c >$(distdir).tar.Z
+	$(am__remove_distdir)
+
+dist-shar: distdir
+	shar $(distdir) | GZIP=$(GZIP_ENV) gzip -c >$(distdir).shar.gz
+	$(am__remove_distdir)
+
+dist-zip: distdir
+	-rm -f $(distdir).zip
+	zip -rq $(distdir).zip $(distdir)
+	$(am__remove_distdir)
+
+dist dist-all: distdir
+	tardir=$(distdir) && $(am__tar) | GZIP=$(GZIP_ENV) gzip -c >$(distdir).tar.gz
+	$(am__remove_distdir)
+
+# This target untars the dist file and tries a VPATH configuration.  Then
+# it guarantees that the distribution is self-contained by making another
+# tarfile.
+distcheck: dist
+	case '$(DIST_ARCHIVES)' in \
+	*.tar.gz*) \
+	  GZIP=$(GZIP_ENV) gzip -dc $(distdir).tar.gz | $(am__untar) ;;\
+	*.tar.bz2*) \
+	  bzip2 -dc $(distdir).tar.bz2 | $(am__untar) ;;\
+	*.tar.lzma*) \
+	  lzma -dc $(distdir).tar.lzma | $(am__untar) ;;\
+	*.tar.xz*) \
+	  xz -dc $(distdir).tar.xz | $(am__untar) ;;\
+	*.tar.Z*) \
+	  uncompress -c $(distdir).tar.Z | $(am__untar) ;;\
+	*.shar.gz*) \
+	  GZIP=$(GZIP_ENV) gzip -dc $(distdir).shar.gz | unshar ;;\
+	*.zip*) \
+	  unzip $(distdir).zip ;;\
+	esac
+	chmod -R a-w $(distdir); chmod u+w $(distdir)
+	mkdir $(distdir)/_build
+	mkdir $(distdir)/_inst
+	chmod a-w $(distdir)
+	test -d $(distdir)/_build || exit 0; \
+	dc_install_base=`$(am__cd) $(distdir)/_inst && pwd | sed -e 's,^[^:\\/]:[\\/],/,'` \
+	  && dc_destdir="$${TMPDIR-/tmp}/am-dc-$$$$/" \
+	  && am__cwd=`pwd` \
+	  && $(am__cd) $(distdir)/_build \
+	  && ../configure --srcdir=.. --prefix="$$dc_install_base" \
+	    $(DISTCHECK_CONFIGURE_FLAGS) \
+	  && $(MAKE) $(AM_MAKEFLAGS) \
+	  && $(MAKE) $(AM_MAKEFLAGS) dvi \
+	  && $(MAKE) $(AM_MAKEFLAGS) check \
+	  && $(MAKE) $(AM_MAKEFLAGS) install \
+	  && $(MAKE) $(AM_MAKEFLAGS) installcheck \
+	  && $(MAKE) $(AM_MAKEFLAGS) uninstall \
+	  && $(MAKE) $(AM_MAKEFLAGS) distuninstallcheck_dir="$$dc_install_base" \
+	        distuninstallcheck \
+	  && chmod -R a-w "$$dc_install_base" \
+	  && ({ \
+	       (cd ../.. && umask 077 && mkdir "$$dc_destdir") \
+	       && $(MAKE) $(AM_MAKEFLAGS) DESTDIR="$$dc_destdir" install \
+	       && $(MAKE) $(AM_MAKEFLAGS) DESTDIR="$$dc_destdir" uninstall \
+	       && $(MAKE) $(AM_MAKEFLAGS) DESTDIR="$$dc_destdir" \
+	            distuninstallcheck_dir="$$dc_destdir" distuninstallcheck; \
+	      } || { rm -rf "$$dc_destdir"; exit 1; }) \
+	  && rm -rf "$$dc_destdir" \
+	  && $(MAKE) $(AM_MAKEFLAGS) dist \
+	  && rm -rf $(DIST_ARCHIVES) \
+	  && $(MAKE) $(AM_MAKEFLAGS) distcleancheck \
+	  && cd "$$am__cwd" \
+	  || exit 1
+	$(am__remove_distdir)
+	@(echo "$(distdir) archives ready for distribution: "; \
+	  list='$(DIST_ARCHIVES)'; for i in $$list; do echo $$i; done) | \
+	  sed -e 1h -e 1s/./=/g -e 1p -e 1x -e '$$p' -e '$$x'
+distuninstallcheck:
+	@$(am__cd) '$(distuninstallcheck_dir)' \
+	&& test `$(distuninstallcheck_listfiles) | wc -l` -le 1 \
+	   || { echo "ERROR: files left after uninstall:" ; \
+	        if test -n "$(DESTDIR)"; then \
+	          echo "  (check DESTDIR support)"; \
+	        fi ; \
+	        $(distuninstallcheck_listfiles) ; \
+	        exit 1; } >&2
+distcleancheck: distclean
+	@if test '$(srcdir)' = . ; then \
+	  echo "ERROR: distcleancheck can only run from a VPATH build" ; \
+	  exit 1 ; \
+	fi
+	@test `$(distcleancheck_listfiles) | wc -l` -eq 0 \
+	  || { echo "ERROR: files left in build directory after distclean:" ; \
+	       $(distcleancheck_listfiles) ; \
+	       exit 1; } >&2
+check-am: all-am
+check: check-recursive
+all-am: Makefile config.h
+installdirs: installdirs-recursive
+installdirs-am:
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+	@$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+	$(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+	  install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+	  `test -z '$(STRIP)' || \
+	    echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+
+clean-generic:
+	-test -z "$(CLEANFILES)" || rm -f $(CLEANFILES)
+
+distclean-generic:
+	-test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+	-test . = "$(srcdir)" || test -z "$(CONFIG_CLEAN_VPATH_FILES)" || rm -f $(CONFIG_CLEAN_VPATH_FILES)
+
+maintainer-clean-generic:
+	@echo "This command is intended for maintainers to use"
+	@echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+	-rm -f $(am__CONFIG_DISTCLEAN_FILES)
+	-rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-hdr \
+	distclean-libtool distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+html-am:
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-dvi-am:
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-html-am:
+
+install-info: install-info-recursive
+
+install-info-am:
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-pdf-am:
+
+install-ps: install-ps-recursive
+
+install-ps-am:
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+	-rm -f $(am__CONFIG_DISTCLEAN_FILES)
+	-rm -rf $(top_srcdir)/autom4te.cache
+	-rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) all \
+	ctags-recursive install-am install-strip tags-recursive
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+	all all-am am--refresh check check-am clean clean-generic \
+	clean-libtool ctags ctags-recursive dist dist-all dist-bzip2 \
+	dist-gzip dist-lzma dist-shar dist-tarZ dist-xz dist-zip \
+	distcheck distclean distclean-generic distclean-hdr \
+	distclean-libtool distclean-tags distcleancheck distdir \
+	distuninstallcheck dvi dvi-am html html-am info info-am \
+	install install-am install-data install-data-am install-dvi \
+	install-dvi-am install-exec install-exec-am install-html \
+	install-html-am install-info install-info-am install-man \
+	install-pdf install-pdf-am install-ps install-ps-am \
+	install-strip installcheck installcheck-am installdirs \
+	installdirs-am maintainer-clean maintainer-clean-generic \
+	mostlyclean mostlyclean-generic mostlyclean-libtool pdf pdf-am \
+	ps ps-am tags tags-recursive uninstall uninstall-am
+
+
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/NEWS b/NEWS
new file mode 100644
index 0000000..31697cf
--- /dev/null
+++ b/NEWS
@@ -0,0 +1,128 @@
+/*
+ * RCS Info
+ * $Id: NEWS 505 2007-01-23 11:17:43Z r29173 $
+ * 
+ * Log
+ * $Log$
+ * Revision 1.4  2005/05/03 07:58:29  r29173
+ * moved admsNS into admsFile
+ *
+ * Revision 1.3  2004/08/03 12:33:54  r29173
+ * import adms-1.21.0 from local CVS
+ *
+ * Revision 1.1.1.1  2004/05/21 12:20:01  r29173
+ * recreated cvs data structure (crashed after revision 1.13.0!)
+ *
+ * Revision 1.2  2003/06/19 12:00:34  r29173
+ * moved contents of ChangeLog to NEWS
+ *
+ * Revision 1.2  2003/06/18 14:16:21  r29173
+ * release 1.1.12
+ *
+ * Revision 1.4  2003/05/23 14:32:48  r29173
+ * admsAds looks for agilent's files using the value of HPEESOF_DIR
+ *
+ * Revision 1.3  2003/05/21 14:18:00  r29173
+ * add rcs info
+ *
+ */
+
+#####################################################################
+#                      ChangeLog                                    #
+#####################################################################
+
+10-10-2000: Increment version 1.0.2
+            1.0.1 has been used to compile r3-1.0.0
+01-06-2001: Increment version 1.0.3
+            Made a lot of simplifications 
+            Remove class admsSemantic
+            Add module admsNS
+            Test-suite golden with previous SUCCEED
+18-07-2001: Increment version 1.0.4
+            Made a lot of simplifications
+            Test-suite golden with previous SUCCEED
+25-07-2001: Increment version 1.0.5
+	    mica/loadAC calls loadTRAN instead loadDC
+            Test-suite golden with previous FAILED (mica)
+30-07-2001: Increment version 1.0.6
+	    useless classes removed
+            Test-suite golden with previous SUCCEED
+10-08-2001: Increment version 1.0.7
+            Made a lot of simplifications
+            Test-suite golden with previous SUCCEED
+13-08-2001: Increment version 1.0.8
+            Alias are not printed out by simulators
+            Test-suite golden with previous FAILED (mica/spectre)
+07-11-2002: Increment version: now 1.0.24
+            mica models created with this version (SP, MOSCAP3, R3, VBICSELFT)
+07-11-2002: Increment version: now 1.0.25
+            mica models: 1.0.24 and 1.0.25 generate same c code for:
+            HBT-1 MOSCAP3-9 R3-13 SMOS-16 SP-16 VBICSELFT-23 MOS1_lilly_qi-0
+            handles arrays of variables: module PDSOI_lilly_qi-0
+20-11-2002: Increment version: now 1.1.0
+            start implementing admsXml
+            mica models: 1.1.0 and 1.0.25 generate same c code
+01-12-2002: Increment version: now 1.1.1
+            improve  admsXml
+            mica models: 1.1.1 and 1.0.25 generate same c code
+            except for adms*/data/*interface_h.in (fix to _d_pow)
+08-01-2003: Increment version: now 1.1.2
+            mica models: 1.1.2 and 1.1.1 generate same c code
+            except MICAinterface.h (fixes to _limexp)
+            except *defs.h and *noise.c (started implementation of noise analysis)
+19-03-2003: Increment version: now 1.1.3
+19-03-2003: Increment version: now 1.1.4
+            mica models: 1.1.4 and 1.1.2 generate same c code except
+              file <MODULE>reverse.c (one comment added)
+              module VBICSELFT: 
+		VBICSELFTdefs.h
+		VBICSELFTevaluate.include
+		VBICSELFTload.include
+		VBICSELFTreserve.c
+              module MOS1: it is a `A' device 
+19-03-2003: Increment version: now 1.1.5
+            mica models: 1.1.5 and 1.1.4 generate same c code except
+            xml scripts for spectre 90% tested
+            TODO: 1- "flag parameters" should be processed like
+                     real/int/string operators
+                  2- remove "ask=" field
+                  3- remove GLOBAL flag
+                  4- add NOISE
+                  5- add xpath=/adms to xml scripts
+
+08-04-2003: Increment version: now 1.1.6
+            mica models: 1.1.6 and 1.1.5 generate same c code except
+            WarningL R3-16 does not compile with this version.
+            mica5HBT-1/HBTtopo.c mica6HBT-1/HBTtopo.c
+            mica5MOSCAP3-14/MOSCAP3topo.c mica6MOSCAP3-14/MOSCAP3topo.c
+            mica5VBICSELFT-24/VBICSELFTtopo.c mica6VBICSELFT-24/VBICSELFTtopo.c
+            Same contents, however order of lines differ
+            Keep changes and move to next version
+11-04-2003: Increment version: now 1.1.7
+            mica models: 1.1.7 and 1.1.6 generate same c code for mica
+            Did lot of clean-up in the code
+15-04-2003: Increment version: now 1.1.8
+            mica models: 1.1.8 and 1.1.7 generate same c code for mica
+            Did lot of clean-up
+16-04-2003: Increment version: now 1.1.9
+            mica models: 1.1.9 and 1.1.8 generate same c code for mica.
+            This version does not compile on Windows
+17-04-2003: Increment version: now 1.1.10
+            mica models: 1.1.10 and 1.1.8 generate same c code
+            for mica/spectre/ads
+            Fixes to make adms compiled on Windows
+            No check done on HPUX/SUN
+18-04-2003: Increment version: now 1.1.11
+            fix bug in admsCheck that prevented use of gprof
+            mica models: 1.1.11 and 1.1.8 generate same c code
+            for mica/spectre/ads
+18-05-2003: Increment version: now 1.1.12
+            fix bug in admsCheck that prevented use of gprof
+            spectre: handles parameter range checking
+            ads: improve syntax of code created
+            mica: same c code as 1.1.8
+03-05-2005: removed admsNS directory
+            release adms-2.0.1
+
+
+
diff --git a/README b/README
new file mode 100644
index 0000000..8c64a14
--- /dev/null
+++ b/README
@@ -0,0 +1,120 @@
+##
+## RCS Info
+## $Id: README 1049 2008-07-31 13:53:12Z r29173 $
+## 
+## Log
+## $Log$
+## Revision 1.5  2006/08/04 17:15:32  r29173
+## rearranged code partionning into files
+##
+## Revision 1.4  2005/05/03 07:58:29  r29173
+## moved admsNS into admsFile
+##
+## Revision 1.3  2004/08/03 12:33:54  r29173
+## import adms-1.21.0 from local CVS
+##
+## Revision 1.1.1.1  2004/05/21 12:20:01  r29173
+## recreated cvs data structure (crashed after revision 1.13.0!)
+##
+## Revision 1.7  2004/05/13 07:34:51  r29173
+## continue removing GNode* structure
+##
+## Revision 1.6  2004/04/20 13:09:30  r29173
+## removed subdir admsTree
+##
+## Revision 1.5  2004/01/29 13:19:29  r29173
+## created ChangeLog when file is missing
+##
+## Revision 1.4  2004/01/15 14:59:47  r29173
+## added prerequisites
+##
+## Revision 1.3  2004/01/05 11:41:27  r29173
+## updated (simplified)
+##
+## Revision 1.2  2003/06/20 08:26:11  r29173
+## added RCS info into files
+##
+## Revision 1.3  2003/06/19 12:52:15  r29173
+## fixed dependency problem
+##
+## Revision 1.2  2003/05/21 14:20:55  r29173
+## add rcs info
+##
+##
+
+0- Prerequisites
+    0- gnu make is required (do not use other native make)
+ 
+1- Description
+  ADMS is a code generator that converts electrical compact device models
+  specified in high-level description language into ready-to-compile c code
+  for the API of spice simulators.
+
+2- Installation - Unix or Linux
+    0- run: ./configure - see file INSTALLATION for more options
+    1- run: gmake
+    2- run: gmake install
+  If the installation fails try:
+    0- run: autoheader
+    1- run: aclocal
+    2- run: automake
+    3- run: autoconf
+    4- run: ./configure - see file INSTALLATION for more options
+    5- run: gmake
+    6- run: gmake install
+    In two shots:
+      autoheader && aclocal && automake && autoconf && ./configure
+      gmake && gmake install 
+
+3- Installation - Windows with cygwin environment
+    0- run: ./configure - see file INSTALLATION for more options
+    1- run: gmake
+    2- run: gmake install
+  If the installation fails try:
+    0- run: autoheader
+    1- run: aclocal
+    2- run: automake
+    3- run: autoconf
+    4- run: ./configure - see file INSTALLATION for more options
+    5- run: gmake
+    6- run: gmake install
+    In two shots:
+      autoheader && aclocal && automake && autoconf && ./configure
+      gmake && gmake install 
+
+4- Installation windows (no cygwin environment)
+  0- run:
+       cl -DYY_NO_UNISTD_H -DPACKAGE_VERSION=\"msvc-229\" -DHAVE_CONFIG_H -DinsideElement -DinsidePreprocessor -DinsideVeriloga -I. -IadmsXml \
+          admsXml/adms.c admsXml/preprocessorLex.c admsXml/preprocessorMain.c admsXml/preprocessorYacc.c admsXml/verilogaLex.c admsXml/verilogaYacc.c \
+          admsXml/admsXml.c admsXml/admstpathYacc.c -o admsXml.exe
+     If the installation fails send a message to r29173 at users.sourceforge.net
+     (note that there is NO strong support for windows compilers.)
+
+5- Directory Structure
+   adms
+    - metaadms.dtd
+      DTD of file adms.xml 
+    - adms.xml
+      This file defines the data structure used by adms to save parsed hdl code.
+    - auxconf
+      set-up files created by automake -a -c
+    - scripts:
+      perl scripts
+    - admsXml
+      source code
+
+5- For developers (using the cygwin environment)
+  Here is how I create the compilation environment after checking out adms from
+  the CVS repository:
+  0- run: autoheader (create autom4te.cache/config-h.in from configure.in)
+  1- run: mkdir auxconf (create directory auxconf)
+  2- run: aclocal (create aclocal.m4 from configure.in)
+  3- run: libtoolize --force --ltdl -c (create libltdl and config.guess, config.sub, ltmain.sh in auxconf)
+  4- run: automake -a -c (create missing, mkinstalldirs, install-sh in auxconf and all Makefile.in)
+  5- run: autoconf (create configure)
+  6- run: ./configure --enable-maintainer-mode
+  In three shots:
+    rm -rf auxconf && autoheader && mkdir auxconf && aclocal && libtoolize --force --ltdl -c 
+    touch ChangeLog && automake -a -c && autoconf
+    ./configure --enable-maintainer-mode
+
diff --git a/TODO b/TODO
new file mode 100644
index 0000000..ca29a16
--- /dev/null
+++ b/TODO
@@ -0,0 +1,105 @@
+##
+## RCS Info
+## $Id: TODO 682 2007-06-28 09:49:24Z r29173 $
+## 
+## Log
+## $Log$
+## Revision 1.3  2004/08/03 12:33:54  r29173
+## import adms-1.21.0 from local CVS
+##
+## Revision 1.1.1.1  2004/05/21 12:20:01  r29173
+## recreated cvs data structure (crashed after revision 1.13.0!)
+##
+## Revision 1.3  2003/06/20 08:26:11  r29173
+## added RCS info into files
+##
+## Revision 1.3  2003/06/19 12:52:15  r29173
+## fixed dependency problem
+##
+## Revision 1.2  2003/05/21 14:20:55  r29173
+## add rcs info
+##
+##
+
+Bug:
+  `define f(x) mytoto(V(I)): Cette phrase conduit le parser a echouer car mytoto n'est ni ANALOG, BUILTIN ou SYSTEM. 
+  La grammaire doit etre plus flexible et ne pas decider au niveau du lexer la semantique de mytoto.
+
+CoreDump:
+- parameter x=1u; 1u not supported
+- parameter x=1 exclude 0; exclude not supported
+
+Improvement:
+- dummy = $message: should be $message(...);
+- for(x;y;z): x should be saved as expression, not assignment
+
+-fixme: if(ln(x)<1.0) begin...end --> add suffix to tempory variables created for ln
+-fixme: c=$derivate(dynamic_code) --> declaration of c is static instead of derivate/dynamic
+-fixme:admsMica:add c code: if nb of terminals in netlist < default -> create new terminals
+
+=========================================================================================
+Display:
+
+prendre comme base le soft "gmas" par example
+
+OPEN -- SAVE -- SAVE-AS -- ADMS -- COMPILE -- ABORT -- QUIT -- DEVICE(S)
+OPEN*   SAVE*   SAVE_AS*   ADMS*   MICA*      ABORT*   QUIT*   list of devices
+                                  VERILOGA
+                                  SPICE
+                                  ETC
+ -----------------------------------------
+|                                         |
+|                                         |
+|                                         |
+|                                         |
+|                                         |
+|                                         |
+|     TEXT                                |
+|                                         |
+|                                         |
+|                                         |
+|                                         |
+ -----------------------------------------
+
+STAR `*': show default menu
+          if click on parent menu, action
+          linked to this menu is activated
+          
+OPEN:
+  OPEN*:     open new window with list of current .ams files
+
+SAVE:
+  SAVE*:     save current text in same file or new file
+
+SAVE-AS:
+  SAVE*-AS:  prompt for file name
+             save as current text given file name
+
+ADMS:
+  ADMS*:      check current file versus ADMS-grammar
+             create internal parse-tree data
+             create internal class object data
+             FILE CREATED: .log
+             USE         : ALL
+             MENU        : message at bottom (OK or #warnings #errors)
+  PARSE:     parse current file wrt ADMS-grammar
+             create internal parse-tree data
+            .log file is created
+             FILE CREATED: .log
+             USE         : DEBUG
+  SEMANTIC:  check current file wrt ADMS-grammar
+             FILE CREATED: .log
+             USE         : DEBUG
+COMPILE:
+  MICA*: MICA is default compiled language,
+             create c-code for MICA DPI
+             FILE CREATED: .log and all mica files
+             USE         : ALL
+             MENU        : message at bottom (OK or #warnings #errors)
+
+DEVICE(S):
+  device list*: gives a list of devices for which compilation succeed
+                (gives target language for which compilation succeed)
+
+-----------------------------------------------------------------------
+
diff --git a/aclocal.m4 b/aclocal.m4
new file mode 100644
index 0000000..4308d56
--- /dev/null
+++ b/aclocal.m4
@@ -0,0 +1,8940 @@
+# generated automatically by aclocal 1.11.1 -*- Autoconf -*-
+
+# Copyright (C) 1996, 1997, 1998, 1999, 2000, 2001, 2002, 2003, 2004,
+# 2005, 2006, 2007, 2008, 2009  Free Software Foundation, Inc.
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+m4_ifndef([AC_AUTOCONF_VERSION],
+  [m4_copy([m4_PACKAGE_VERSION], [AC_AUTOCONF_VERSION])])dnl
+m4_if(m4_defn([AC_AUTOCONF_VERSION]), [2.64],,
+[m4_warning([this file was generated for autoconf 2.64.
+You have another version of autoconf.  It may work, but is not guaranteed to.
+If you have problems, you may need to regenerate the build system entirely.
+To do so, use the procedure documented by the package, typically `autoreconf'.])])
+
+# libtool.m4 - Configure libtool for the host system. -*-Autoconf-*-
+#
+#   Copyright (C) 1996, 1997, 1998, 1999, 2000, 2001, 2003, 2004, 2005,
+#                 2006, 2007, 2008 Free Software Foundation, Inc.
+#   Written by Gordon Matzigkeit, 1996
+#
+# This file is free software; the Free Software Foundation gives
+# unlimited permission to copy and/or distribute it, with or without
+# modifications, as long as this notice is preserved.
+
+m4_define([_LT_COPYING], [dnl
+#   Copyright (C) 1996, 1997, 1998, 1999, 2000, 2001, 2003, 2004, 2005,
+#                 2006, 2007, 2008 Free Software Foundation, Inc.
+#   Written by Gordon Matzigkeit, 1996
+#
+#   This file is part of GNU Libtool.
+#
+# GNU Libtool is free software; you can redistribute it and/or
+# modify it under the terms of the GNU General Public License as
+# published by the Free Software Foundation; either version 2 of
+# the License, or (at your option) any later version.
+#
+# As a special exception to the GNU General Public License,
+# if you distribute this file as part of a program or library that
+# is built using GNU Libtool, you may include this file under the
+# same distribution terms that you use for the rest of that program.
+#
+# GNU Libtool is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+#
+# You should have received a copy of the GNU General Public License
+# along with GNU Libtool; see the file COPYING.  If not, a copy
+# can be downloaded from http://www.gnu.org/licenses/gpl.html, or
+# obtained by writing to the Free Software Foundation, Inc.,
+# 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301, USA.
+])
+
+# serial 56 LT_INIT
+
+
+# LT_PREREQ(VERSION)
+# ------------------
+# Complain and exit if this libtool version is less that VERSION.
+m4_defun([LT_PREREQ],
+[m4_if(m4_version_compare(m4_defn([LT_PACKAGE_VERSION]), [$1]), -1,
+       [m4_default([$3],
+		   [m4_fatal([Libtool version $1 or higher is required],
+		             63)])],
+       [$2])])
+
+
+# _LT_CHECK_BUILDDIR
+# ------------------
+# Complain if the absolute build directory name contains unusual characters
+m4_defun([_LT_CHECK_BUILDDIR],
+[case `pwd` in
+  *\ * | *\	*)
+    AC_MSG_WARN([Libtool does not cope well with whitespace in `pwd`]) ;;
+esac
+])
+
+
+# LT_INIT([OPTIONS])
+# ------------------
+AC_DEFUN([LT_INIT],
+[AC_PREREQ([2.58])dnl We use AC_INCLUDES_DEFAULT
+AC_BEFORE([$0], [LT_LANG])dnl
+AC_BEFORE([$0], [LT_OUTPUT])dnl
+AC_BEFORE([$0], [LTDL_INIT])dnl
+m4_require([_LT_CHECK_BUILDDIR])dnl
+
+dnl Autoconf doesn't catch unexpanded LT_ macros by default:
+m4_pattern_forbid([^_?LT_[A-Z_]+$])dnl
+m4_pattern_allow([^(_LT_EOF|LT_DLGLOBAL|LT_DLLAZY_OR_NOW|LT_MULTI_MODULE)$])dnl
+dnl aclocal doesn't pull ltoptions.m4, ltsugar.m4, or ltversion.m4
+dnl unless we require an AC_DEFUNed macro:
+AC_REQUIRE([LTOPTIONS_VERSION])dnl
+AC_REQUIRE([LTSUGAR_VERSION])dnl
+AC_REQUIRE([LTVERSION_VERSION])dnl
+AC_REQUIRE([LTOBSOLETE_VERSION])dnl
+m4_require([_LT_PROG_LTMAIN])dnl
+
+dnl Parse OPTIONS
+_LT_SET_OPTIONS([$0], [$1])
+
+# This can be used to rebuild libtool when needed
+LIBTOOL_DEPS="$ltmain"
+
+# Always use our own libtool.
+LIBTOOL='$(SHELL) $(top_builddir)/libtool'
+AC_SUBST(LIBTOOL)dnl
+
+_LT_SETUP
+
+# Only expand once:
+m4_define([LT_INIT])
+])# LT_INIT
+
+# Old names:
+AU_ALIAS([AC_PROG_LIBTOOL], [LT_INIT])
+AU_ALIAS([AM_PROG_LIBTOOL], [LT_INIT])
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([AC_PROG_LIBTOOL], [])
+dnl AC_DEFUN([AM_PROG_LIBTOOL], [])
+
+
+# _LT_CC_BASENAME(CC)
+# -------------------
+# Calculate cc_basename.  Skip known compiler wrappers and cross-prefix.
+m4_defun([_LT_CC_BASENAME],
+[for cc_temp in $1""; do
+  case $cc_temp in
+    compile | *[[\\/]]compile | ccache | *[[\\/]]ccache ) ;;
+    distcc | *[[\\/]]distcc | purify | *[[\\/]]purify ) ;;
+    \-*) ;;
+    *) break;;
+  esac
+done
+cc_basename=`$ECHO "X$cc_temp" | $Xsed -e 's%.*/%%' -e "s%^$host_alias-%%"`
+])
+
+
+# _LT_FILEUTILS_DEFAULTS
+# ----------------------
+# It is okay to use these file commands and assume they have been set
+# sensibly after `m4_require([_LT_FILEUTILS_DEFAULTS])'.
+m4_defun([_LT_FILEUTILS_DEFAULTS],
+[: ${CP="cp -f"}
+: ${MV="mv -f"}
+: ${RM="rm -f"}
+])# _LT_FILEUTILS_DEFAULTS
+
+
+# _LT_SETUP
+# ---------
+m4_defun([_LT_SETUP],
+[AC_REQUIRE([AC_CANONICAL_HOST])dnl
+AC_REQUIRE([AC_CANONICAL_BUILD])dnl
+_LT_DECL([], [host_alias], [0], [The host system])dnl
+_LT_DECL([], [host], [0])dnl
+_LT_DECL([], [host_os], [0])dnl
+dnl
+_LT_DECL([], [build_alias], [0], [The build system])dnl
+_LT_DECL([], [build], [0])dnl
+_LT_DECL([], [build_os], [0])dnl
+dnl
+AC_REQUIRE([AC_PROG_CC])dnl
+AC_REQUIRE([LT_PATH_LD])dnl
+AC_REQUIRE([LT_PATH_NM])dnl
+dnl
+AC_REQUIRE([AC_PROG_LN_S])dnl
+test -z "$LN_S" && LN_S="ln -s"
+_LT_DECL([], [LN_S], [1], [Whether we need soft or hard links])dnl
+dnl
+AC_REQUIRE([LT_CMD_MAX_LEN])dnl
+_LT_DECL([objext], [ac_objext], [0], [Object file suffix (normally "o")])dnl
+_LT_DECL([], [exeext], [0], [Executable file suffix (normally "")])dnl
+dnl
+m4_require([_LT_FILEUTILS_DEFAULTS])dnl
+m4_require([_LT_CHECK_SHELL_FEATURES])dnl
+m4_require([_LT_CMD_RELOAD])dnl
+m4_require([_LT_CHECK_MAGIC_METHOD])dnl
+m4_require([_LT_CMD_OLD_ARCHIVE])dnl
+m4_require([_LT_CMD_GLOBAL_SYMBOLS])dnl
+
+_LT_CONFIG_LIBTOOL_INIT([
+# See if we are running on zsh, and set the options which allow our
+# commands through without removal of \ escapes INIT.
+if test -n "\${ZSH_VERSION+set}" ; then
+   setopt NO_GLOB_SUBST
+fi
+])
+if test -n "${ZSH_VERSION+set}" ; then
+   setopt NO_GLOB_SUBST
+fi
+
+_LT_CHECK_OBJDIR
+
+m4_require([_LT_TAG_COMPILER])dnl
+_LT_PROG_ECHO_BACKSLASH
+
+case $host_os in
+aix3*)
+  # AIX sometimes has problems with the GCC collect2 program.  For some
+  # reason, if we set the COLLECT_NAMES environment variable, the problems
+  # vanish in a puff of smoke.
+  if test "X${COLLECT_NAMES+set}" != Xset; then
+    COLLECT_NAMES=
+    export COLLECT_NAMES
+  fi
+  ;;
+esac
+
+# Sed substitution that helps us do robust quoting.  It backslashifies
+# metacharacters that are still active within double-quoted strings.
+sed_quote_subst='s/\([["`$\\]]\)/\\\1/g'
+
+# Same as above, but do not quote variable references.
+double_quote_subst='s/\([["`\\]]\)/\\\1/g'
+
+# Sed substitution to delay expansion of an escaped shell variable in a
+# double_quote_subst'ed string.
+delay_variable_subst='s/\\\\\\\\\\\$/\\\\\\$/g'
+
+# Sed substitution to delay expansion of an escaped single quote.
+delay_single_quote_subst='s/'\''/'\'\\\\\\\'\''/g'
+
+# Sed substitution to avoid accidental globbing in evaled expressions
+no_glob_subst='s/\*/\\\*/g'
+
+# Global variables:
+ofile=libtool
+can_build_shared=yes
+
+# All known linkers require a `.a' archive for static linking (except MSVC,
+# which needs '.lib').
+libext=a
+
+with_gnu_ld="$lt_cv_prog_gnu_ld"
+
+old_CC="$CC"
+old_CFLAGS="$CFLAGS"
+
+# Set sane defaults for various variables
+test -z "$CC" && CC=cc
+test -z "$LTCC" && LTCC=$CC
+test -z "$LTCFLAGS" && LTCFLAGS=$CFLAGS
+test -z "$LD" && LD=ld
+test -z "$ac_objext" && ac_objext=o
+
+_LT_CC_BASENAME([$compiler])
+
+# Only perform the check for file, if the check method requires it
+test -z "$MAGIC_CMD" && MAGIC_CMD=file
+case $deplibs_check_method in
+file_magic*)
+  if test "$file_magic_cmd" = '$MAGIC_CMD'; then
+    _LT_PATH_MAGIC
+  fi
+  ;;
+esac
+
+# Use C for the default configuration in the libtool script
+LT_SUPPORTED_TAG([CC])
+_LT_LANG_C_CONFIG
+_LT_LANG_DEFAULT_CONFIG
+_LT_CONFIG_COMMANDS
+])# _LT_SETUP
+
+
+# _LT_PROG_LTMAIN
+# ---------------
+# Note that this code is called both from `configure', and `config.status'
+# now that we use AC_CONFIG_COMMANDS to generate libtool.  Notably,
+# `config.status' has no value for ac_aux_dir unless we are using Automake,
+# so we pass a copy along to make sure it has a sensible value anyway.
+m4_defun([_LT_PROG_LTMAIN],
+[m4_ifdef([AC_REQUIRE_AUX_FILE], [AC_REQUIRE_AUX_FILE([ltmain.sh])])dnl
+_LT_CONFIG_LIBTOOL_INIT([ac_aux_dir='$ac_aux_dir'])
+ltmain="$ac_aux_dir/ltmain.sh"
+])# _LT_PROG_LTMAIN
+
+
+
+# So that we can recreate a full libtool script including additional
+# tags, we accumulate the chunks of code to send to AC_CONFIG_COMMANDS
+# in macros and then make a single call at the end using the `libtool'
+# label.
+
+
+# _LT_CONFIG_LIBTOOL_INIT([INIT-COMMANDS])
+# ----------------------------------------
+# Register INIT-COMMANDS to be passed to AC_CONFIG_COMMANDS later.
+m4_define([_LT_CONFIG_LIBTOOL_INIT],
+[m4_ifval([$1],
+          [m4_append([_LT_OUTPUT_LIBTOOL_INIT],
+                     [$1
+])])])
+
+# Initialize.
+m4_define([_LT_OUTPUT_LIBTOOL_INIT])
+
+
+# _LT_CONFIG_LIBTOOL([COMMANDS])
+# ------------------------------
+# Register COMMANDS to be passed to AC_CONFIG_COMMANDS later.
+m4_define([_LT_CONFIG_LIBTOOL],
+[m4_ifval([$1],
+          [m4_append([_LT_OUTPUT_LIBTOOL_COMMANDS],
+                     [$1
+])])])
+
+# Initialize.
+m4_define([_LT_OUTPUT_LIBTOOL_COMMANDS])
+
+
+# _LT_CONFIG_SAVE_COMMANDS([COMMANDS], [INIT_COMMANDS])
+# -----------------------------------------------------
+m4_defun([_LT_CONFIG_SAVE_COMMANDS],
+[_LT_CONFIG_LIBTOOL([$1])
+_LT_CONFIG_LIBTOOL_INIT([$2])
+])
+
+
+# _LT_FORMAT_COMMENT([COMMENT])
+# -----------------------------
+# Add leading comment marks to the start of each line, and a trailing
+# full-stop to the whole comment if one is not present already.
+m4_define([_LT_FORMAT_COMMENT],
+[m4_ifval([$1], [
+m4_bpatsubst([m4_bpatsubst([$1], [^ *], [# ])],
+              [['`$\]], [\\\&])]m4_bmatch([$1], [[!?.]$], [], [.])
+)])
+
+
+
+
+
+# _LT_DECL([CONFIGNAME], VARNAME, VALUE, [DESCRIPTION], [IS-TAGGED?])
+# -------------------------------------------------------------------
+# CONFIGNAME is the name given to the value in the libtool script.
+# VARNAME is the (base) name used in the configure script.
+# VALUE may be 0, 1 or 2 for a computed quote escaped value based on
+# VARNAME.  Any other value will be used directly.
+m4_define([_LT_DECL],
+[lt_if_append_uniq([lt_decl_varnames], [$2], [, ],
+    [lt_dict_add_subkey([lt_decl_dict], [$2], [libtool_name],
+	[m4_ifval([$1], [$1], [$2])])
+    lt_dict_add_subkey([lt_decl_dict], [$2], [value], [$3])
+    m4_ifval([$4],
+	[lt_dict_add_subkey([lt_decl_dict], [$2], [description], [$4])])
+    lt_dict_add_subkey([lt_decl_dict], [$2],
+	[tagged?], [m4_ifval([$5], [yes], [no])])])
+])
+
+
+# _LT_TAGDECL([CONFIGNAME], VARNAME, VALUE, [DESCRIPTION])
+# --------------------------------------------------------
+m4_define([_LT_TAGDECL], [_LT_DECL([$1], [$2], [$3], [$4], [yes])])
+
+
+# lt_decl_tag_varnames([SEPARATOR], [VARNAME1...])
+# ------------------------------------------------
+m4_define([lt_decl_tag_varnames],
+[_lt_decl_filter([tagged?], [yes], $@)])
+
+
+# _lt_decl_filter(SUBKEY, VALUE, [SEPARATOR], [VARNAME1..])
+# ---------------------------------------------------------
+m4_define([_lt_decl_filter],
+[m4_case([$#],
+  [0], [m4_fatal([$0: too few arguments: $#])],
+  [1], [m4_fatal([$0: too few arguments: $#: $1])],
+  [2], [lt_dict_filter([lt_decl_dict], [$1], [$2], [], lt_decl_varnames)],
+  [3], [lt_dict_filter([lt_decl_dict], [$1], [$2], [$3], lt_decl_varnames)],
+  [lt_dict_filter([lt_decl_dict], $@)])[]dnl
+])
+
+
+# lt_decl_quote_varnames([SEPARATOR], [VARNAME1...])
+# --------------------------------------------------
+m4_define([lt_decl_quote_varnames],
+[_lt_decl_filter([value], [1], $@)])
+
+
+# lt_decl_dquote_varnames([SEPARATOR], [VARNAME1...])
+# ---------------------------------------------------
+m4_define([lt_decl_dquote_varnames],
+[_lt_decl_filter([value], [2], $@)])
+
+
+# lt_decl_varnames_tagged([SEPARATOR], [VARNAME1...])
+# ---------------------------------------------------
+m4_define([lt_decl_varnames_tagged],
+[m4_assert([$# <= 2])dnl
+_$0(m4_quote(m4_default([$1], [[, ]])),
+    m4_ifval([$2], [[$2]], [m4_dquote(lt_decl_tag_varnames)]),
+    m4_split(m4_normalize(m4_quote(_LT_TAGS)), [ ]))])
+m4_define([_lt_decl_varnames_tagged],
+[m4_ifval([$3], [lt_combine([$1], [$2], [_], $3)])])
+
+
+# lt_decl_all_varnames([SEPARATOR], [VARNAME1...])
+# ------------------------------------------------
+m4_define([lt_decl_all_varnames],
+[_$0(m4_quote(m4_default([$1], [[, ]])),
+     m4_if([$2], [],
+	   m4_quote(lt_decl_varnames),
+	m4_quote(m4_shift($@))))[]dnl
+])
+m4_define([_lt_decl_all_varnames],
+[lt_join($@, lt_decl_varnames_tagged([$1],
+			lt_decl_tag_varnames([[, ]], m4_shift($@))))dnl
+])
+
+
+# _LT_CONFIG_STATUS_DECLARE([VARNAME])
+# ------------------------------------
+# Quote a variable value, and forward it to `config.status' so that its
+# declaration there will have the same value as in `configure'.  VARNAME
+# must have a single quote delimited value for this to work.
+m4_define([_LT_CONFIG_STATUS_DECLARE],
+[$1='`$ECHO "X$][$1" | $Xsed -e "$delay_single_quote_subst"`'])
+
+
+# _LT_CONFIG_STATUS_DECLARATIONS
+# ------------------------------
+# We delimit libtool config variables with single quotes, so when
+# we write them to config.status, we have to be sure to quote all
+# embedded single quotes properly.  In configure, this macro expands
+# each variable declared with _LT_DECL (and _LT_TAGDECL) into:
+#
+#    <var>='`$ECHO "X$<var>" | $Xsed -e "$delay_single_quote_subst"`'
+m4_defun([_LT_CONFIG_STATUS_DECLARATIONS],
+[m4_foreach([_lt_var], m4_quote(lt_decl_all_varnames),
+    [m4_n([_LT_CONFIG_STATUS_DECLARE(_lt_var)])])])
+
+
+# _LT_LIBTOOL_TAGS
+# ----------------
+# Output comment and list of tags supported by the script
+m4_defun([_LT_LIBTOOL_TAGS],
+[_LT_FORMAT_COMMENT([The names of the tagged configurations supported by this script])dnl
+available_tags="_LT_TAGS"dnl
+])
+
+
+# _LT_LIBTOOL_DECLARE(VARNAME, [TAG])
+# -----------------------------------
+# Extract the dictionary values for VARNAME (optionally with TAG) and
+# expand to a commented shell variable setting:
+#
+#    # Some comment about what VAR is for.
+#    visible_name=$lt_internal_name
+m4_define([_LT_LIBTOOL_DECLARE],
+[_LT_FORMAT_COMMENT(m4_quote(lt_dict_fetch([lt_decl_dict], [$1],
+					   [description])))[]dnl
+m4_pushdef([_libtool_name],
+    m4_quote(lt_dict_fetch([lt_decl_dict], [$1], [libtool_name])))[]dnl
+m4_case(m4_quote(lt_dict_fetch([lt_decl_dict], [$1], [value])),
+    [0], [_libtool_name=[$]$1],
+    [1], [_libtool_name=$lt_[]$1],
+    [2], [_libtool_name=$lt_[]$1],
+    [_libtool_name=lt_dict_fetch([lt_decl_dict], [$1], [value])])[]dnl
+m4_ifval([$2], [_$2])[]m4_popdef([_libtool_name])[]dnl
+])
+
+
+# _LT_LIBTOOL_CONFIG_VARS
+# -----------------------
+# Produce commented declarations of non-tagged libtool config variables
+# suitable for insertion in the LIBTOOL CONFIG section of the `libtool'
+# script.  Tagged libtool config variables (even for the LIBTOOL CONFIG
+# section) are produced by _LT_LIBTOOL_TAG_VARS.
+m4_defun([_LT_LIBTOOL_CONFIG_VARS],
+[m4_foreach([_lt_var],
+    m4_quote(_lt_decl_filter([tagged?], [no], [], lt_decl_varnames)),
+    [m4_n([_LT_LIBTOOL_DECLARE(_lt_var)])])])
+
+
+# _LT_LIBTOOL_TAG_VARS(TAG)
+# -------------------------
+m4_define([_LT_LIBTOOL_TAG_VARS],
+[m4_foreach([_lt_var], m4_quote(lt_decl_tag_varnames),
+    [m4_n([_LT_LIBTOOL_DECLARE(_lt_var, [$1])])])])
+
+
+# _LT_TAGVAR(VARNAME, [TAGNAME])
+# ------------------------------
+m4_define([_LT_TAGVAR], [m4_ifval([$2], [$1_$2], [$1])])
+
+
+# _LT_CONFIG_COMMANDS
+# -------------------
+# Send accumulated output to $CONFIG_STATUS.  Thanks to the lists of
+# variables for single and double quote escaping we saved from calls
+# to _LT_DECL, we can put quote escaped variables declarations
+# into `config.status', and then the shell code to quote escape them in
+# for loops in `config.status'.  Finally, any additional code accumulated
+# from calls to _LT_CONFIG_LIBTOOL_INIT is expanded.
+m4_defun([_LT_CONFIG_COMMANDS],
+[AC_PROVIDE_IFELSE([LT_OUTPUT],
+	dnl If the libtool generation code has been placed in $CONFIG_LT,
+	dnl instead of duplicating it all over again into config.status,
+	dnl then we will have config.status run $CONFIG_LT later, so it
+	dnl needs to know what name is stored there:
+        [AC_CONFIG_COMMANDS([libtool],
+            [$SHELL $CONFIG_LT || AS_EXIT(1)], [CONFIG_LT='$CONFIG_LT'])],
+    dnl If the libtool generation code is destined for config.status,
+    dnl expand the accumulated commands and init code now:
+    [AC_CONFIG_COMMANDS([libtool],
+        [_LT_OUTPUT_LIBTOOL_COMMANDS], [_LT_OUTPUT_LIBTOOL_COMMANDS_INIT])])
+])#_LT_CONFIG_COMMANDS
+
+
+# Initialize.
+m4_define([_LT_OUTPUT_LIBTOOL_COMMANDS_INIT],
+[
+
+# The HP-UX ksh and POSIX shell print the target directory to stdout
+# if CDPATH is set.
+(unset CDPATH) >/dev/null 2>&1 && unset CDPATH
+
+sed_quote_subst='$sed_quote_subst'
+double_quote_subst='$double_quote_subst'
+delay_variable_subst='$delay_variable_subst'
+_LT_CONFIG_STATUS_DECLARATIONS
+LTCC='$LTCC'
+LTCFLAGS='$LTCFLAGS'
+compiler='$compiler_DEFAULT'
+
+# Quote evaled strings.
+for var in lt_decl_all_varnames([[ \
+]], lt_decl_quote_varnames); do
+    case \`eval \\\\\$ECHO "X\\\\\$\$var"\` in
+    *[[\\\\\\\`\\"\\\$]]*)
+      eval "lt_\$var=\\\\\\"\\\`\\\$ECHO \\"X\\\$\$var\\" | \\\$Xsed -e \\"\\\$sed_quote_subst\\"\\\`\\\\\\""
+      ;;
+    *)
+      eval "lt_\$var=\\\\\\"\\\$\$var\\\\\\""
+      ;;
+    esac
+done
+
+# Double-quote double-evaled strings.
+for var in lt_decl_all_varnames([[ \
+]], lt_decl_dquote_varnames); do
+    case \`eval \\\\\$ECHO "X\\\\\$\$var"\` in
+    *[[\\\\\\\`\\"\\\$]]*)
+      eval "lt_\$var=\\\\\\"\\\`\\\$ECHO \\"X\\\$\$var\\" | \\\$Xsed -e \\"\\\$double_quote_subst\\" -e \\"\\\$sed_quote_subst\\" -e \\"\\\$delay_variable_subst\\"\\\`\\\\\\""
+      ;;
+    *)
+      eval "lt_\$var=\\\\\\"\\\$\$var\\\\\\""
+      ;;
+    esac
+done
+
+# Fix-up fallback echo if it was mangled by the above quoting rules.
+case \$lt_ECHO in
+*'\\\[$]0 --fallback-echo"')dnl "
+  lt_ECHO=\`\$ECHO "X\$lt_ECHO" | \$Xsed -e 's/\\\\\\\\\\\\\\\[$]0 --fallback-echo"\[$]/\[$]0 --fallback-echo"/'\`
+  ;;
+esac
+
+_LT_OUTPUT_LIBTOOL_INIT
+])
+
+
+# LT_OUTPUT
+# ---------
+# This macro allows early generation of the libtool script (before
+# AC_OUTPUT is called), incase it is used in configure for compilation
+# tests.
+AC_DEFUN([LT_OUTPUT],
+[: ${CONFIG_LT=./config.lt}
+AC_MSG_NOTICE([creating $CONFIG_LT])
+cat >"$CONFIG_LT" <<_LTEOF
+#! $SHELL
+# Generated by $as_me.
+# Run this file to recreate a libtool stub with the current configuration.
+
+lt_cl_silent=false
+SHELL=\${CONFIG_SHELL-$SHELL}
+_LTEOF
+
+cat >>"$CONFIG_LT" <<\_LTEOF
+AS_SHELL_SANITIZE
+_AS_PREPARE
+
+exec AS_MESSAGE_FD>&1
+exec AS_MESSAGE_LOG_FD>>config.log
+{
+  echo
+  AS_BOX([Running $as_me.])
+} >&AS_MESSAGE_LOG_FD
+
+lt_cl_help="\
+\`$as_me' creates a local libtool stub from the current configuration,
+for use in further configure time tests before the real libtool is
+generated.
+
+Usage: $[0] [[OPTIONS]]
+
+  -h, --help      print this help, then exit
+  -V, --version   print version number, then exit
+  -q, --quiet     do not print progress messages
+  -d, --debug     don't remove temporary files
+
+Report bugs to <bug-libtool at gnu.org>."
+
+lt_cl_version="\
+m4_ifset([AC_PACKAGE_NAME], [AC_PACKAGE_NAME ])config.lt[]dnl
+m4_ifset([AC_PACKAGE_VERSION], [ AC_PACKAGE_VERSION])
+configured by $[0], generated by m4_PACKAGE_STRING.
+
+Copyright (C) 2008 Free Software Foundation, Inc.
+This config.lt script is free software; the Free Software Foundation
+gives unlimited permision to copy, distribute and modify it."
+
+while test $[#] != 0
+do
+  case $[1] in
+    --version | --v* | -V )
+      echo "$lt_cl_version"; exit 0 ;;
+    --help | --h* | -h )
+      echo "$lt_cl_help"; exit 0 ;;
+    --debug | --d* | -d )
+      debug=: ;;
+    --quiet | --q* | --silent | --s* | -q )
+      lt_cl_silent=: ;;
+
+    -*) AC_MSG_ERROR([unrecognized option: $[1]
+Try \`$[0] --help' for more information.]) ;;
+
+    *) AC_MSG_ERROR([unrecognized argument: $[1]
+Try \`$[0] --help' for more information.]) ;;
+  esac
+  shift
+done
+
+if $lt_cl_silent; then
+  exec AS_MESSAGE_FD>/dev/null
+fi
+_LTEOF
+
+cat >>"$CONFIG_LT" <<_LTEOF
+_LT_OUTPUT_LIBTOOL_COMMANDS_INIT
+_LTEOF
+
+cat >>"$CONFIG_LT" <<\_LTEOF
+AC_MSG_NOTICE([creating $ofile])
+_LT_OUTPUT_LIBTOOL_COMMANDS
+AS_EXIT(0)
+_LTEOF
+chmod +x "$CONFIG_LT"
+
+# configure is writing to config.log, but config.lt does its own redirection,
+# appending to config.log, which fails on DOS, as config.log is still kept
+# open by configure.  Here we exec the FD to /dev/null, effectively closing
+# config.log, so it can be properly (re)opened and appended to by config.lt.
+if test "$no_create" != yes; then
+  lt_cl_success=:
+  test "$silent" = yes &&
+    lt_config_lt_args="$lt_config_lt_args --quiet"
+  exec AS_MESSAGE_LOG_FD>/dev/null
+  $SHELL "$CONFIG_LT" $lt_config_lt_args || lt_cl_success=false
+  exec AS_MESSAGE_LOG_FD>>config.log
+  $lt_cl_success || AS_EXIT(1)
+fi
+])# LT_OUTPUT
+
+
+# _LT_CONFIG(TAG)
+# ---------------
+# If TAG is the built-in tag, create an initial libtool script with a
+# default configuration from the untagged config vars.  Otherwise add code
+# to config.status for appending the configuration named by TAG from the
+# matching tagged config vars.
+m4_defun([_LT_CONFIG],
+[m4_require([_LT_FILEUTILS_DEFAULTS])dnl
+_LT_CONFIG_SAVE_COMMANDS([
+  m4_define([_LT_TAG], m4_if([$1], [], [C], [$1]))dnl
+  m4_if(_LT_TAG, [C], [
+    # See if we are running on zsh, and set the options which allow our
+    # commands through without removal of \ escapes.
+    if test -n "${ZSH_VERSION+set}" ; then
+      setopt NO_GLOB_SUBST
+    fi
+
+    cfgfile="${ofile}T"
+    trap "$RM \"$cfgfile\"; exit 1" 1 2 15
+    $RM "$cfgfile"
+
+    cat <<_LT_EOF >> "$cfgfile"
+#! $SHELL
+
+# `$ECHO "$ofile" | sed 's%^.*/%%'` - Provide generalized library-building support services.
+# Generated automatically by $as_me ($PACKAGE$TIMESTAMP) $VERSION
+# Libtool was configured on host `(hostname || uname -n) 2>/dev/null | sed 1q`:
+# NOTE: Changes made to this file will be lost: look at ltmain.sh.
+#
+_LT_COPYING
+_LT_LIBTOOL_TAGS
+
+# ### BEGIN LIBTOOL CONFIG
+_LT_LIBTOOL_CONFIG_VARS
+_LT_LIBTOOL_TAG_VARS
+# ### END LIBTOOL CONFIG
+
+_LT_EOF
+
+  case $host_os in
+  aix3*)
+    cat <<\_LT_EOF >> "$cfgfile"
+# AIX sometimes has problems with the GCC collect2 program.  For some
+# reason, if we set the COLLECT_NAMES environment variable, the problems
+# vanish in a puff of smoke.
+if test "X${COLLECT_NAMES+set}" != Xset; then
+  COLLECT_NAMES=
+  export COLLECT_NAMES
+fi
+_LT_EOF
+    ;;
+  esac
+
+  _LT_PROG_LTMAIN
+
+  # We use sed instead of cat because bash on DJGPP gets confused if
+  # if finds mixed CR/LF and LF-only lines.  Since sed operates in
+  # text mode, it properly converts lines to CR/LF.  This bash problem
+  # is reportedly fixed, but why not run on old versions too?
+  sed '/^# Generated shell functions inserted here/q' "$ltmain" >> "$cfgfile" \
+    || (rm -f "$cfgfile"; exit 1)
+
+  _LT_PROG_XSI_SHELLFNS
+
+  sed -n '/^# Generated shell functions inserted here/,$p' "$ltmain" >> "$cfgfile" \
+    || (rm -f "$cfgfile"; exit 1)
+
+  mv -f "$cfgfile" "$ofile" ||
+    (rm -f "$ofile" && cp "$cfgfile" "$ofile" && rm -f "$cfgfile")
+  chmod +x "$ofile"
+],
+[cat <<_LT_EOF >> "$ofile"
+
+dnl Unfortunately we have to use $1 here, since _LT_TAG is not expanded
+dnl in a comment (ie after a #).
+# ### BEGIN LIBTOOL TAG CONFIG: $1
+_LT_LIBTOOL_TAG_VARS(_LT_TAG)
+# ### END LIBTOOL TAG CONFIG: $1
+_LT_EOF
+])dnl /m4_if
+],
+[m4_if([$1], [], [
+    PACKAGE='$PACKAGE'
+    VERSION='$VERSION'
+    TIMESTAMP='$TIMESTAMP'
+    RM='$RM'
+    ofile='$ofile'], [])
+])dnl /_LT_CONFIG_SAVE_COMMANDS
+])# _LT_CONFIG
+
+
+# LT_SUPPORTED_TAG(TAG)
+# ---------------------
+# Trace this macro to discover what tags are supported by the libtool
+# --tag option, using:
+#    autoconf --trace 'LT_SUPPORTED_TAG:$1'
+AC_DEFUN([LT_SUPPORTED_TAG], [])
+
+
+# C support is built-in for now
+m4_define([_LT_LANG_C_enabled], [])
+m4_define([_LT_TAGS], [])
+
+
+# LT_LANG(LANG)
+# -------------
+# Enable libtool support for the given language if not already enabled.
+AC_DEFUN([LT_LANG],
+[AC_BEFORE([$0], [LT_OUTPUT])dnl
+m4_case([$1],
+  [C],			[_LT_LANG(C)],
+  [C++],		[_LT_LANG(CXX)],
+  [Java],		[_LT_LANG(GCJ)],
+  [Fortran 77],		[_LT_LANG(F77)],
+  [Fortran],		[_LT_LANG(FC)],
+  [Windows Resource],	[_LT_LANG(RC)],
+  [m4_ifdef([_LT_LANG_]$1[_CONFIG],
+    [_LT_LANG($1)],
+    [m4_fatal([$0: unsupported language: "$1"])])])dnl
+])# LT_LANG
+
+
+# _LT_LANG(LANGNAME)
+# ------------------
+m4_defun([_LT_LANG],
+[m4_ifdef([_LT_LANG_]$1[_enabled], [],
+  [LT_SUPPORTED_TAG([$1])dnl
+  m4_append([_LT_TAGS], [$1 ])dnl
+  m4_define([_LT_LANG_]$1[_enabled], [])dnl
+  _LT_LANG_$1_CONFIG($1)])dnl
+])# _LT_LANG
+
+
+# _LT_LANG_DEFAULT_CONFIG
+# -----------------------
+m4_defun([_LT_LANG_DEFAULT_CONFIG],
+[AC_PROVIDE_IFELSE([AC_PROG_CXX],
+  [LT_LANG(CXX)],
+  [m4_define([AC_PROG_CXX], defn([AC_PROG_CXX])[LT_LANG(CXX)])])
+
+AC_PROVIDE_IFELSE([AC_PROG_F77],
+  [LT_LANG(F77)],
+  [m4_define([AC_PROG_F77], defn([AC_PROG_F77])[LT_LANG(F77)])])
+
+AC_PROVIDE_IFELSE([AC_PROG_FC],
+  [LT_LANG(FC)],
+  [m4_define([AC_PROG_FC], defn([AC_PROG_FC])[LT_LANG(FC)])])
+
+dnl The call to [A][M_PROG_GCJ] is quoted like that to stop aclocal
+dnl pulling things in needlessly.
+AC_PROVIDE_IFELSE([AC_PROG_GCJ],
+  [LT_LANG(GCJ)],
+  [AC_PROVIDE_IFELSE([A][M_PROG_GCJ],
+    [LT_LANG(GCJ)],
+    [AC_PROVIDE_IFELSE([LT_PROG_GCJ],
+      [LT_LANG(GCJ)],
+      [m4_ifdef([AC_PROG_GCJ],
+	[m4_define([AC_PROG_GCJ], defn([AC_PROG_GCJ])[LT_LANG(GCJ)])])
+       m4_ifdef([A][M_PROG_GCJ],
+	[m4_define([A][M_PROG_GCJ], defn([A][M_PROG_GCJ])[LT_LANG(GCJ)])])
+       m4_ifdef([LT_PROG_GCJ],
+	[m4_define([LT_PROG_GCJ], defn([LT_PROG_GCJ])[LT_LANG(GCJ)])])])])])
+
+AC_PROVIDE_IFELSE([LT_PROG_RC],
+  [LT_LANG(RC)],
+  [m4_define([LT_PROG_RC], defn([LT_PROG_RC])[LT_LANG(RC)])])
+])# _LT_LANG_DEFAULT_CONFIG
+
+# Obsolete macros:
+AU_DEFUN([AC_LIBTOOL_CXX], [LT_LANG(C++)])
+AU_DEFUN([AC_LIBTOOL_F77], [LT_LANG(Fortran 77)])
+AU_DEFUN([AC_LIBTOOL_FC], [LT_LANG(Fortran)])
+AU_DEFUN([AC_LIBTOOL_GCJ], [LT_LANG(Java)])
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([AC_LIBTOOL_CXX], [])
+dnl AC_DEFUN([AC_LIBTOOL_F77], [])
+dnl AC_DEFUN([AC_LIBTOOL_FC], [])
+dnl AC_DEFUN([AC_LIBTOOL_GCJ], [])
+
+
+# _LT_TAG_COMPILER
+# ----------------
+m4_defun([_LT_TAG_COMPILER],
+[AC_REQUIRE([AC_PROG_CC])dnl
+
+_LT_DECL([LTCC], [CC], [1], [A C compiler])dnl
+_LT_DECL([LTCFLAGS], [CFLAGS], [1], [LTCC compiler flags])dnl
+_LT_TAGDECL([CC], [compiler], [1], [A language specific compiler])dnl
+_LT_TAGDECL([with_gcc], [GCC], [0], [Is the compiler the GNU compiler?])dnl
+
+# If no C compiler was specified, use CC.
+LTCC=${LTCC-"$CC"}
+
+# If no C compiler flags were specified, use CFLAGS.
+LTCFLAGS=${LTCFLAGS-"$CFLAGS"}
+
+# Allow CC to be a program name with arguments.
+compiler=$CC
+])# _LT_TAG_COMPILER
+
+
+# _LT_COMPILER_BOILERPLATE
+# ------------------------
+# Check for compiler boilerplate output or warnings with
+# the simple compiler test code.
+m4_defun([_LT_COMPILER_BOILERPLATE],
+[m4_require([_LT_DECL_SED])dnl
+ac_outfile=conftest.$ac_objext
+echo "$lt_simple_compile_test_code" >conftest.$ac_ext
+eval "$ac_compile" 2>&1 >/dev/null | $SED '/^$/d; /^ *+/d' >conftest.err
+_lt_compiler_boilerplate=`cat conftest.err`
+$RM conftest*
+])# _LT_COMPILER_BOILERPLATE
+
+
+# _LT_LINKER_BOILERPLATE
+# ----------------------
+# Check for linker boilerplate output or warnings with
+# the simple link test code.
+m4_defun([_LT_LINKER_BOILERPLATE],
+[m4_require([_LT_DECL_SED])dnl
+ac_outfile=conftest.$ac_objext
+echo "$lt_simple_link_test_code" >conftest.$ac_ext
+eval "$ac_link" 2>&1 >/dev/null | $SED '/^$/d; /^ *+/d' >conftest.err
+_lt_linker_boilerplate=`cat conftest.err`
+$RM -r conftest*
+])# _LT_LINKER_BOILERPLATE
+
+# _LT_REQUIRED_DARWIN_CHECKS
+# -------------------------
+m4_defun_once([_LT_REQUIRED_DARWIN_CHECKS],[
+  case $host_os in
+    rhapsody* | darwin*)
+    AC_CHECK_TOOL([DSYMUTIL], [dsymutil], [:])
+    AC_CHECK_TOOL([NMEDIT], [nmedit], [:])
+    AC_CHECK_TOOL([LIPO], [lipo], [:])
+    AC_CHECK_TOOL([OTOOL], [otool], [:])
+    AC_CHECK_TOOL([OTOOL64], [otool64], [:])
+    _LT_DECL([], [DSYMUTIL], [1],
+      [Tool to manipulate archived DWARF debug symbol files on Mac OS X])
+    _LT_DECL([], [NMEDIT], [1],
+      [Tool to change global to local symbols on Mac OS X])
+    _LT_DECL([], [LIPO], [1],
+      [Tool to manipulate fat objects and archives on Mac OS X])
+    _LT_DECL([], [OTOOL], [1],
+      [ldd/readelf like tool for Mach-O binaries on Mac OS X])
+    _LT_DECL([], [OTOOL64], [1],
+      [ldd/readelf like tool for 64 bit Mach-O binaries on Mac OS X 10.4])
+
+    AC_CACHE_CHECK([for -single_module linker flag],[lt_cv_apple_cc_single_mod],
+      [lt_cv_apple_cc_single_mod=no
+      if test -z "${LT_MULTI_MODULE}"; then
+	# By default we will add the -single_module flag. You can override
+	# by either setting the environment variable LT_MULTI_MODULE
+	# non-empty at configure time, or by adding -multi_module to the
+	# link flags.
+	rm -rf libconftest.dylib*
+	echo "int foo(void){return 1;}" > conftest.c
+	echo "$LTCC $LTCFLAGS $LDFLAGS -o libconftest.dylib \
+-dynamiclib -Wl,-single_module conftest.c" >&AS_MESSAGE_LOG_FD
+	$LTCC $LTCFLAGS $LDFLAGS -o libconftest.dylib \
+	  -dynamiclib -Wl,-single_module conftest.c 2>conftest.err
+        _lt_result=$?
+	if test -f libconftest.dylib && test ! -s conftest.err && test $_lt_result = 0; then
+	  lt_cv_apple_cc_single_mod=yes
+	else
+	  cat conftest.err >&AS_MESSAGE_LOG_FD
+	fi
+	rm -rf libconftest.dylib*
+	rm -f conftest.*
+      fi])
+    AC_CACHE_CHECK([for -exported_symbols_list linker flag],
+      [lt_cv_ld_exported_symbols_list],
+      [lt_cv_ld_exported_symbols_list=no
+      save_LDFLAGS=$LDFLAGS
+      echo "_main" > conftest.sym
+      LDFLAGS="$LDFLAGS -Wl,-exported_symbols_list,conftest.sym"
+      AC_LINK_IFELSE([AC_LANG_PROGRAM([],[])],
+	[lt_cv_ld_exported_symbols_list=yes],
+	[lt_cv_ld_exported_symbols_list=no])
+	LDFLAGS="$save_LDFLAGS"
+    ])
+    case $host_os in
+    rhapsody* | darwin1.[[012]])
+      _lt_dar_allow_undefined='${wl}-undefined ${wl}suppress' ;;
+    darwin1.*)
+      _lt_dar_allow_undefined='${wl}-flat_namespace ${wl}-undefined ${wl}suppress' ;;
+    darwin*) # darwin 5.x on
+      # if running on 10.5 or later, the deployment target defaults
+      # to the OS version, if on x86, and 10.4, the deployment
+      # target defaults to 10.4. Don't you love it?
+      case ${MACOSX_DEPLOYMENT_TARGET-10.0},$host in
+	10.0,*86*-darwin8*|10.0,*-darwin[[91]]*)
+	  _lt_dar_allow_undefined='${wl}-undefined ${wl}dynamic_lookup' ;;
+	10.[[012]]*)
+	  _lt_dar_allow_undefined='${wl}-flat_namespace ${wl}-undefined ${wl}suppress' ;;
+	10.*)
+	  _lt_dar_allow_undefined='${wl}-undefined ${wl}dynamic_lookup' ;;
+      esac
+    ;;
+  esac
+    if test "$lt_cv_apple_cc_single_mod" = "yes"; then
+      _lt_dar_single_mod='$single_module'
+    fi
+    if test "$lt_cv_ld_exported_symbols_list" = "yes"; then
+      _lt_dar_export_syms=' ${wl}-exported_symbols_list,$output_objdir/${libname}-symbols.expsym'
+    else
+      _lt_dar_export_syms='~$NMEDIT -s $output_objdir/${libname}-symbols.expsym ${lib}'
+    fi
+    if test "$DSYMUTIL" != ":"; then
+      _lt_dsymutil='~$DSYMUTIL $lib || :'
+    else
+      _lt_dsymutil=
+    fi
+    ;;
+  esac
+])
+
+
+# _LT_DARWIN_LINKER_FEATURES
+# --------------------------
+# Checks for linker and compiler features on darwin
+m4_defun([_LT_DARWIN_LINKER_FEATURES],
+[
+  m4_require([_LT_REQUIRED_DARWIN_CHECKS])
+  _LT_TAGVAR(archive_cmds_need_lc, $1)=no
+  _LT_TAGVAR(hardcode_direct, $1)=no
+  _LT_TAGVAR(hardcode_automatic, $1)=yes
+  _LT_TAGVAR(hardcode_shlibpath_var, $1)=unsupported
+  _LT_TAGVAR(whole_archive_flag_spec, $1)=''
+  _LT_TAGVAR(link_all_deplibs, $1)=yes
+  _LT_TAGVAR(allow_undefined_flag, $1)="$_lt_dar_allow_undefined"
+  case $cc_basename in
+     ifort*) _lt_dar_can_shared=yes ;;
+     *) _lt_dar_can_shared=$GCC ;;
+  esac
+  if test "$_lt_dar_can_shared" = "yes"; then
+    output_verbose_link_cmd=echo
+    _LT_TAGVAR(archive_cmds, $1)="\$CC -dynamiclib \$allow_undefined_flag -o \$lib \$libobjs \$deplibs \$compiler_flags -install_name \$rpath/\$soname \$verstring $_lt_dar_single_mod${_lt_dsymutil}"
+    _LT_TAGVAR(module_cmds, $1)="\$CC \$allow_undefined_flag -o \$lib -bundle \$libobjs \$deplibs \$compiler_flags${_lt_dsymutil}"
+    _LT_TAGVAR(archive_expsym_cmds, $1)="sed 's,^,_,' < \$export_symbols > \$output_objdir/\${libname}-symbols.expsym~\$CC -dynamiclib \$allow_undefined_flag -o \$lib \$libobjs \$deplibs \$compiler_flags -install_name \$rpath/\$soname \$verstring ${_lt_dar_single_mod}${_lt_dar_export_syms}${_lt_dsymutil}"
+    _LT_TAGVAR(module_expsym_cmds, $1)="sed -e 's,^,_,' < \$export_symbols > \$output_objdir/\${libname}-symbols.expsym~\$CC \$allow_undefined_flag -o \$lib -bundle \$libobjs \$deplibs \$compiler_flags${_lt_dar_export_syms}${_lt_dsymutil}"
+    m4_if([$1], [CXX],
+[   if test "$lt_cv_apple_cc_single_mod" != "yes"; then
+      _LT_TAGVAR(archive_cmds, $1)="\$CC -r -keep_private_externs -nostdlib -o \${lib}-master.o \$libobjs~\$CC -dynamiclib \$allow_undefined_flag -o \$lib \${lib}-master.o \$deplibs \$compiler_flags -install_name \$rpath/\$soname \$verstring${_lt_dsymutil}"
+      _LT_TAGVAR(archive_expsym_cmds, $1)="sed 's,^,_,' < \$export_symbols > \$output_objdir/\${libname}-symbols.expsym~\$CC -r -keep_private_externs -nostdlib -o \${lib}-master.o \$libobjs~\$CC -dynamiclib \$allow_undefined_flag -o \$lib \${lib}-master.o \$deplibs \$compiler_flags -install_name \$rpath/\$soname \$verstring${_lt_dar_export_syms}${_lt_dsymutil}"
+    fi
+],[])
+  else
+  _LT_TAGVAR(ld_shlibs, $1)=no
+  fi
+])
+
+# _LT_SYS_MODULE_PATH_AIX
+# -----------------------
+# Links a minimal program and checks the executable
+# for the system default hardcoded library path. In most cases,
+# this is /usr/lib:/lib, but when the MPI compilers are used
+# the location of the communication and MPI libs are included too.
+# If we don't find anything, use the default library path according
+# to the aix ld manual.
+m4_defun([_LT_SYS_MODULE_PATH_AIX],
+[m4_require([_LT_DECL_SED])dnl
+AC_LINK_IFELSE(AC_LANG_PROGRAM,[
+lt_aix_libpath_sed='
+    /Import File Strings/,/^$/ {
+	/^0/ {
+	    s/^0  *\(.*\)$/\1/
+	    p
+	}
+    }'
+aix_libpath=`dump -H conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
+# Check for a 64-bit object if we didn't find anything.
+if test -z "$aix_libpath"; then
+  aix_libpath=`dump -HX64 conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
+fi],[])
+if test -z "$aix_libpath"; then aix_libpath="/usr/lib:/lib"; fi
+])# _LT_SYS_MODULE_PATH_AIX
+
+
+# _LT_SHELL_INIT(ARG)
+# -------------------
+m4_define([_LT_SHELL_INIT],
+[ifdef([AC_DIVERSION_NOTICE],
+	     [AC_DIVERT_PUSH(AC_DIVERSION_NOTICE)],
+	 [AC_DIVERT_PUSH(NOTICE)])
+$1
+AC_DIVERT_POP
+])# _LT_SHELL_INIT
+
+
+# _LT_PROG_ECHO_BACKSLASH
+# -----------------------
+# Add some code to the start of the generated configure script which
+# will find an echo command which doesn't interpret backslashes.
+m4_defun([_LT_PROG_ECHO_BACKSLASH],
+[_LT_SHELL_INIT([
+# Check that we are running under the correct shell.
+SHELL=${CONFIG_SHELL-/bin/sh}
+
+case X$lt_ECHO in
+X*--fallback-echo)
+  # Remove one level of quotation (which was required for Make).
+  ECHO=`echo "$lt_ECHO" | sed 's,\\\\\[$]\\[$]0,'[$]0','`
+  ;;
+esac
+
+ECHO=${lt_ECHO-echo}
+if test "X[$]1" = X--no-reexec; then
+  # Discard the --no-reexec flag, and continue.
+  shift
+elif test "X[$]1" = X--fallback-echo; then
+  # Avoid inline document here, it may be left over
+  :
+elif test "X`{ $ECHO '\t'; } 2>/dev/null`" = 'X\t' ; then
+  # Yippee, $ECHO works!
+  :
+else
+  # Restart under the correct shell.
+  exec $SHELL "[$]0" --no-reexec ${1+"[$]@"}
+fi
+
+if test "X[$]1" = X--fallback-echo; then
+  # used as fallback echo
+  shift
+  cat <<_LT_EOF
+[$]*
+_LT_EOF
+  exit 0
+fi
+
+# The HP-UX ksh and POSIX shell print the target directory to stdout
+# if CDPATH is set.
+(unset CDPATH) >/dev/null 2>&1 && unset CDPATH
+
+if test -z "$lt_ECHO"; then
+  if test "X${echo_test_string+set}" != Xset; then
+    # find a string as large as possible, as long as the shell can cope with it
+    for cmd in 'sed 50q "[$]0"' 'sed 20q "[$]0"' 'sed 10q "[$]0"' 'sed 2q "[$]0"' 'echo test'; do
+      # expected sizes: less than 2Kb, 1Kb, 512 bytes, 16 bytes, ...
+      if { echo_test_string=`eval $cmd`; } 2>/dev/null &&
+	 { test "X$echo_test_string" = "X$echo_test_string"; } 2>/dev/null
+      then
+        break
+      fi
+    done
+  fi
+
+  if test "X`{ $ECHO '\t'; } 2>/dev/null`" = 'X\t' &&
+     echo_testing_string=`{ $ECHO "$echo_test_string"; } 2>/dev/null` &&
+     test "X$echo_testing_string" = "X$echo_test_string"; then
+    :
+  else
+    # The Solaris, AIX, and Digital Unix default echo programs unquote
+    # backslashes.  This makes it impossible to quote backslashes using
+    #   echo "$something" | sed 's/\\/\\\\/g'
+    #
+    # So, first we look for a working echo in the user's PATH.
+
+    lt_save_ifs="$IFS"; IFS=$PATH_SEPARATOR
+    for dir in $PATH /usr/ucb; do
+      IFS="$lt_save_ifs"
+      if (test -f $dir/echo || test -f $dir/echo$ac_exeext) &&
+         test "X`($dir/echo '\t') 2>/dev/null`" = 'X\t' &&
+         echo_testing_string=`($dir/echo "$echo_test_string") 2>/dev/null` &&
+         test "X$echo_testing_string" = "X$echo_test_string"; then
+        ECHO="$dir/echo"
+        break
+      fi
+    done
+    IFS="$lt_save_ifs"
+
+    if test "X$ECHO" = Xecho; then
+      # We didn't find a better echo, so look for alternatives.
+      if test "X`{ print -r '\t'; } 2>/dev/null`" = 'X\t' &&
+         echo_testing_string=`{ print -r "$echo_test_string"; } 2>/dev/null` &&
+         test "X$echo_testing_string" = "X$echo_test_string"; then
+        # This shell has a builtin print -r that does the trick.
+        ECHO='print -r'
+      elif { test -f /bin/ksh || test -f /bin/ksh$ac_exeext; } &&
+	   test "X$CONFIG_SHELL" != X/bin/ksh; then
+        # If we have ksh, try running configure again with it.
+        ORIGINAL_CONFIG_SHELL=${CONFIG_SHELL-/bin/sh}
+        export ORIGINAL_CONFIG_SHELL
+        CONFIG_SHELL=/bin/ksh
+        export CONFIG_SHELL
+        exec $CONFIG_SHELL "[$]0" --no-reexec ${1+"[$]@"}
+      else
+        # Try using printf.
+        ECHO='printf %s\n'
+        if test "X`{ $ECHO '\t'; } 2>/dev/null`" = 'X\t' &&
+	   echo_testing_string=`{ $ECHO "$echo_test_string"; } 2>/dev/null` &&
+	   test "X$echo_testing_string" = "X$echo_test_string"; then
+	  # Cool, printf works
+	  :
+        elif echo_testing_string=`($ORIGINAL_CONFIG_SHELL "[$]0" --fallback-echo '\t') 2>/dev/null` &&
+	     test "X$echo_testing_string" = 'X\t' &&
+	     echo_testing_string=`($ORIGINAL_CONFIG_SHELL "[$]0" --fallback-echo "$echo_test_string") 2>/dev/null` &&
+	     test "X$echo_testing_string" = "X$echo_test_string"; then
+	  CONFIG_SHELL=$ORIGINAL_CONFIG_SHELL
+	  export CONFIG_SHELL
+	  SHELL="$CONFIG_SHELL"
+	  export SHELL
+	  ECHO="$CONFIG_SHELL [$]0 --fallback-echo"
+        elif echo_testing_string=`($CONFIG_SHELL "[$]0" --fallback-echo '\t') 2>/dev/null` &&
+	     test "X$echo_testing_string" = 'X\t' &&
+	     echo_testing_string=`($CONFIG_SHELL "[$]0" --fallback-echo "$echo_test_string") 2>/dev/null` &&
+	     test "X$echo_testing_string" = "X$echo_test_string"; then
+	  ECHO="$CONFIG_SHELL [$]0 --fallback-echo"
+        else
+	  # maybe with a smaller string...
+	  prev=:
+
+	  for cmd in 'echo test' 'sed 2q "[$]0"' 'sed 10q "[$]0"' 'sed 20q "[$]0"' 'sed 50q "[$]0"'; do
+	    if { test "X$echo_test_string" = "X`eval $cmd`"; } 2>/dev/null
+	    then
+	      break
+	    fi
+	    prev="$cmd"
+	  done
+
+	  if test "$prev" != 'sed 50q "[$]0"'; then
+	    echo_test_string=`eval $prev`
+	    export echo_test_string
+	    exec ${ORIGINAL_CONFIG_SHELL-${CONFIG_SHELL-/bin/sh}} "[$]0" ${1+"[$]@"}
+	  else
+	    # Oops.  We lost completely, so just stick with echo.
+	    ECHO=echo
+	  fi
+        fi
+      fi
+    fi
+  fi
+fi
+
+# Copy echo and quote the copy suitably for passing to libtool from
+# the Makefile, instead of quoting the original, which is used later.
+lt_ECHO=$ECHO
+if test "X$lt_ECHO" = "X$CONFIG_SHELL [$]0 --fallback-echo"; then
+   lt_ECHO="$CONFIG_SHELL \\\$\[$]0 --fallback-echo"
+fi
+
+AC_SUBST(lt_ECHO)
+])
+_LT_DECL([], [SHELL], [1], [Shell to use when invoking shell scripts])
+_LT_DECL([], [ECHO], [1],
+    [An echo program that does not interpret backslashes])
+])# _LT_PROG_ECHO_BACKSLASH
+
+
+# _LT_ENABLE_LOCK
+# ---------------
+m4_defun([_LT_ENABLE_LOCK],
+[AC_ARG_ENABLE([libtool-lock],
+  [AS_HELP_STRING([--disable-libtool-lock],
+    [avoid locking (might break parallel builds)])])
+test "x$enable_libtool_lock" != xno && enable_libtool_lock=yes
+
+# Some flags need to be propagated to the compiler or linker for good
+# libtool support.
+case $host in
+ia64-*-hpux*)
+  # Find out which ABI we are using.
+  echo 'int i;' > conftest.$ac_ext
+  if AC_TRY_EVAL(ac_compile); then
+    case `/usr/bin/file conftest.$ac_objext` in
+      *ELF-32*)
+	HPUX_IA64_MODE="32"
+	;;
+      *ELF-64*)
+	HPUX_IA64_MODE="64"
+	;;
+    esac
+  fi
+  rm -rf conftest*
+  ;;
+*-*-irix6*)
+  # Find out which ABI we are using.
+  echo '[#]line __oline__ "configure"' > conftest.$ac_ext
+  if AC_TRY_EVAL(ac_compile); then
+    if test "$lt_cv_prog_gnu_ld" = yes; then
+      case `/usr/bin/file conftest.$ac_objext` in
+	*32-bit*)
+	  LD="${LD-ld} -melf32bsmip"
+	  ;;
+	*N32*)
+	  LD="${LD-ld} -melf32bmipn32"
+	  ;;
+	*64-bit*)
+	  LD="${LD-ld} -melf64bmip"
+	;;
+      esac
+    else
+      case `/usr/bin/file conftest.$ac_objext` in
+	*32-bit*)
+	  LD="${LD-ld} -32"
+	  ;;
+	*N32*)
+	  LD="${LD-ld} -n32"
+	  ;;
+	*64-bit*)
+	  LD="${LD-ld} -64"
+	  ;;
+      esac
+    fi
+  fi
+  rm -rf conftest*
+  ;;
+
+x86_64-*kfreebsd*-gnu|x86_64-*linux*|ppc*-*linux*|powerpc*-*linux*| \
+s390*-*linux*|s390*-*tpf*|sparc*-*linux*)
+  # Find out which ABI we are using.
+  echo 'int i;' > conftest.$ac_ext
+  if AC_TRY_EVAL(ac_compile); then
+    case `/usr/bin/file conftest.o` in
+      *32-bit*)
+	case $host in
+	  x86_64-*kfreebsd*-gnu)
+	    LD="${LD-ld} -m elf_i386_fbsd"
+	    ;;
+	  x86_64-*linux*)
+	    LD="${LD-ld} -m elf_i386"
+	    ;;
+	  ppc64-*linux*|powerpc64-*linux*)
+	    LD="${LD-ld} -m elf32ppclinux"
+	    ;;
+	  s390x-*linux*)
+	    LD="${LD-ld} -m elf_s390"
+	    ;;
+	  sparc64-*linux*)
+	    LD="${LD-ld} -m elf32_sparc"
+	    ;;
+	esac
+	;;
+      *64-bit*)
+	case $host in
+	  x86_64-*kfreebsd*-gnu)
+	    LD="${LD-ld} -m elf_x86_64_fbsd"
+	    ;;
+	  x86_64-*linux*)
+	    LD="${LD-ld} -m elf_x86_64"
+	    ;;
+	  ppc*-*linux*|powerpc*-*linux*)
+	    LD="${LD-ld} -m elf64ppc"
+	    ;;
+	  s390*-*linux*|s390*-*tpf*)
+	    LD="${LD-ld} -m elf64_s390"
+	    ;;
+	  sparc*-*linux*)
+	    LD="${LD-ld} -m elf64_sparc"
+	    ;;
+	esac
+	;;
+    esac
+  fi
+  rm -rf conftest*
+  ;;
+
+*-*-sco3.2v5*)
+  # On SCO OpenServer 5, we need -belf to get full-featured binaries.
+  SAVE_CFLAGS="$CFLAGS"
+  CFLAGS="$CFLAGS -belf"
+  AC_CACHE_CHECK([whether the C compiler needs -belf], lt_cv_cc_needs_belf,
+    [AC_LANG_PUSH(C)
+     AC_LINK_IFELSE([AC_LANG_PROGRAM([[]],[[]])],[lt_cv_cc_needs_belf=yes],[lt_cv_cc_needs_belf=no])
+     AC_LANG_POP])
+  if test x"$lt_cv_cc_needs_belf" != x"yes"; then
+    # this is probably gcc 2.8.0, egcs 1.0 or newer; no need for -belf
+    CFLAGS="$SAVE_CFLAGS"
+  fi
+  ;;
+sparc*-*solaris*)
+  # Find out which ABI we are using.
+  echo 'int i;' > conftest.$ac_ext
+  if AC_TRY_EVAL(ac_compile); then
+    case `/usr/bin/file conftest.o` in
+    *64-bit*)
+      case $lt_cv_prog_gnu_ld in
+      yes*) LD="${LD-ld} -m elf64_sparc" ;;
+      *)
+	if ${LD-ld} -64 -r -o conftest2.o conftest.o >/dev/null 2>&1; then
+	  LD="${LD-ld} -64"
+	fi
+	;;
+      esac
+      ;;
+    esac
+  fi
+  rm -rf conftest*
+  ;;
+esac
+
+need_locks="$enable_libtool_lock"
+])# _LT_ENABLE_LOCK
+
+
+# _LT_CMD_OLD_ARCHIVE
+# -------------------
+m4_defun([_LT_CMD_OLD_ARCHIVE],
+[AC_CHECK_TOOL(AR, ar, false)
+test -z "$AR" && AR=ar
+test -z "$AR_FLAGS" && AR_FLAGS=cru
+_LT_DECL([], [AR], [1], [The archiver])
+_LT_DECL([], [AR_FLAGS], [1])
+
+AC_CHECK_TOOL(STRIP, strip, :)
+test -z "$STRIP" && STRIP=:
+_LT_DECL([], [STRIP], [1], [A symbol stripping program])
+
+AC_CHECK_TOOL(RANLIB, ranlib, :)
+test -z "$RANLIB" && RANLIB=:
+_LT_DECL([], [RANLIB], [1],
+    [Commands used to install an old-style archive])
+
+# Determine commands to create old-style static archives.
+old_archive_cmds='$AR $AR_FLAGS $oldlib$oldobjs'
+old_postinstall_cmds='chmod 644 $oldlib'
+old_postuninstall_cmds=
+
+if test -n "$RANLIB"; then
+  case $host_os in
+  openbsd*)
+    old_postinstall_cmds="$old_postinstall_cmds~\$RANLIB -t \$oldlib"
+    ;;
+  *)
+    old_postinstall_cmds="$old_postinstall_cmds~\$RANLIB \$oldlib"
+    ;;
+  esac
+  old_archive_cmds="$old_archive_cmds~\$RANLIB \$oldlib"
+fi
+_LT_DECL([], [old_postinstall_cmds], [2])
+_LT_DECL([], [old_postuninstall_cmds], [2])
+_LT_TAGDECL([], [old_archive_cmds], [2],
+    [Commands used to build an old-style archive])
+])# _LT_CMD_OLD_ARCHIVE
+
+
+# _LT_COMPILER_OPTION(MESSAGE, VARIABLE-NAME, FLAGS,
+#		[OUTPUT-FILE], [ACTION-SUCCESS], [ACTION-FAILURE])
+# ----------------------------------------------------------------
+# Check whether the given compiler option works
+AC_DEFUN([_LT_COMPILER_OPTION],
+[m4_require([_LT_FILEUTILS_DEFAULTS])dnl
+m4_require([_LT_DECL_SED])dnl
+AC_CACHE_CHECK([$1], [$2],
+  [$2=no
+   m4_if([$4], , [ac_outfile=conftest.$ac_objext], [ac_outfile=$4])
+   echo "$lt_simple_compile_test_code" > conftest.$ac_ext
+   lt_compiler_flag="$3"
+   # Insert the option either (1) after the last *FLAGS variable, or
+   # (2) before a word containing "conftest.", or (3) at the end.
+   # Note that $ac_compile itself does not contain backslashes and begins
+   # with a dollar sign (not a hyphen), so the echo should work correctly.
+   # The option is referenced via a variable to avoid confusing sed.
+   lt_compile=`echo "$ac_compile" | $SED \
+   -e 's:.*FLAGS}\{0,1\} :&$lt_compiler_flag :; t' \
+   -e 's: [[^ ]]*conftest\.: $lt_compiler_flag&:; t' \
+   -e 's:$: $lt_compiler_flag:'`
+   (eval echo "\"\$as_me:__oline__: $lt_compile\"" >&AS_MESSAGE_LOG_FD)
+   (eval "$lt_compile" 2>conftest.err)
+   ac_status=$?
+   cat conftest.err >&AS_MESSAGE_LOG_FD
+   echo "$as_me:__oline__: \$? = $ac_status" >&AS_MESSAGE_LOG_FD
+   if (exit $ac_status) && test -s "$ac_outfile"; then
+     # The compiler can only warn and ignore the option if not recognized
+     # So say no if there are warnings other than the usual output.
+     $ECHO "X$_lt_compiler_boilerplate" | $Xsed -e '/^$/d' >conftest.exp
+     $SED '/^$/d; /^ *+/d' conftest.err >conftest.er2
+     if test ! -s conftest.er2 || diff conftest.exp conftest.er2 >/dev/null; then
+       $2=yes
+     fi
+   fi
+   $RM conftest*
+])
+
+if test x"[$]$2" = xyes; then
+    m4_if([$5], , :, [$5])
+else
+    m4_if([$6], , :, [$6])
+fi
+])# _LT_COMPILER_OPTION
+
+# Old name:
+AU_ALIAS([AC_LIBTOOL_COMPILER_OPTION], [_LT_COMPILER_OPTION])
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([AC_LIBTOOL_COMPILER_OPTION], [])
+
+
+# _LT_LINKER_OPTION(MESSAGE, VARIABLE-NAME, FLAGS,
+#                  [ACTION-SUCCESS], [ACTION-FAILURE])
+# ----------------------------------------------------
+# Check whether the given linker option works
+AC_DEFUN([_LT_LINKER_OPTION],
+[m4_require([_LT_FILEUTILS_DEFAULTS])dnl
+m4_require([_LT_DECL_SED])dnl
+AC_CACHE_CHECK([$1], [$2],
+  [$2=no
+   save_LDFLAGS="$LDFLAGS"
+   LDFLAGS="$LDFLAGS $3"
+   echo "$lt_simple_link_test_code" > conftest.$ac_ext
+   if (eval $ac_link 2>conftest.err) && test -s conftest$ac_exeext; then
+     # The linker can only warn and ignore the option if not recognized
+     # So say no if there are warnings
+     if test -s conftest.err; then
+       # Append any errors to the config.log.
+       cat conftest.err 1>&AS_MESSAGE_LOG_FD
+       $ECHO "X$_lt_linker_boilerplate" | $Xsed -e '/^$/d' > conftest.exp
+       $SED '/^$/d; /^ *+/d' conftest.err >conftest.er2
+       if diff conftest.exp conftest.er2 >/dev/null; then
+         $2=yes
+       fi
+     else
+       $2=yes
+     fi
+   fi
+   $RM -r conftest*
+   LDFLAGS="$save_LDFLAGS"
+])
+
+if test x"[$]$2" = xyes; then
+    m4_if([$4], , :, [$4])
+else
+    m4_if([$5], , :, [$5])
+fi
+])# _LT_LINKER_OPTION
+
+# Old name:
+AU_ALIAS([AC_LIBTOOL_LINKER_OPTION], [_LT_LINKER_OPTION])
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([AC_LIBTOOL_LINKER_OPTION], [])
+
+
+# LT_CMD_MAX_LEN
+#---------------
+AC_DEFUN([LT_CMD_MAX_LEN],
+[AC_REQUIRE([AC_CANONICAL_HOST])dnl
+# find the maximum length of command line arguments
+AC_MSG_CHECKING([the maximum length of command line arguments])
+AC_CACHE_VAL([lt_cv_sys_max_cmd_len], [dnl
+  i=0
+  teststring="ABCD"
+
+  case $build_os in
+  msdosdjgpp*)
+    # On DJGPP, this test can blow up pretty badly due to problems in libc
+    # (any single argument exceeding 2000 bytes causes a buffer overrun
+    # during glob expansion).  Even if it were fixed, the result of this
+    # check would be larger than it should be.
+    lt_cv_sys_max_cmd_len=12288;    # 12K is about right
+    ;;
+
+  gnu*)
+    # Under GNU Hurd, this test is not required because there is
+    # no limit to the length of command line arguments.
+    # Libtool will interpret -1 as no limit whatsoever
+    lt_cv_sys_max_cmd_len=-1;
+    ;;
+
+  cygwin* | mingw* | cegcc*)
+    # On Win9x/ME, this test blows up -- it succeeds, but takes
+    # about 5 minutes as the teststring grows exponentially.
+    # Worse, since 9x/ME are not pre-emptively multitasking,
+    # you end up with a "frozen" computer, even though with patience
+    # the test eventually succeeds (with a max line length of 256k).
+    # Instead, let's just punt: use the minimum linelength reported by
+    # all of the supported platforms: 8192 (on NT/2K/XP).
+    lt_cv_sys_max_cmd_len=8192;
+    ;;
+
+  amigaos*)
+    # On AmigaOS with pdksh, this test takes hours, literally.
+    # So we just punt and use a minimum line length of 8192.
+    lt_cv_sys_max_cmd_len=8192;
+    ;;
+
+  netbsd* | freebsd* | openbsd* | darwin* | dragonfly*)
+    # This has been around since 386BSD, at least.  Likely further.
+    if test -x /sbin/sysctl; then
+      lt_cv_sys_max_cmd_len=`/sbin/sysctl -n kern.argmax`
+    elif test -x /usr/sbin/sysctl; then
+      lt_cv_sys_max_cmd_len=`/usr/sbin/sysctl -n kern.argmax`
+    else
+      lt_cv_sys_max_cmd_len=65536	# usable default for all BSDs
+    fi
+    # And add a safety zone
+    lt_cv_sys_max_cmd_len=`expr $lt_cv_sys_max_cmd_len \/ 4`
+    lt_cv_sys_max_cmd_len=`expr $lt_cv_sys_max_cmd_len \* 3`
+    ;;
+
+  interix*)
+    # We know the value 262144 and hardcode it with a safety zone (like BSD)
+    lt_cv_sys_max_cmd_len=196608
+    ;;
+
+  osf*)
+    # Dr. Hans Ekkehard Plesser reports seeing a kernel panic running configure
+    # due to this test when exec_disable_arg_limit is 1 on Tru64. It is not
+    # nice to cause kernel panics so lets avoid the loop below.
+    # First set a reasonable default.
+    lt_cv_sys_max_cmd_len=16384
+    #
+    if test -x /sbin/sysconfig; then
+      case `/sbin/sysconfig -q proc exec_disable_arg_limit` in
+        *1*) lt_cv_sys_max_cmd_len=-1 ;;
+      esac
+    fi
+    ;;
+  sco3.2v5*)
+    lt_cv_sys_max_cmd_len=102400
+    ;;
+  sysv5* | sco5v6* | sysv4.2uw2*)
+    kargmax=`grep ARG_MAX /etc/conf/cf.d/stune 2>/dev/null`
+    if test -n "$kargmax"; then
+      lt_cv_sys_max_cmd_len=`echo $kargmax | sed 's/.*[[	 ]]//'`
+    else
+      lt_cv_sys_max_cmd_len=32768
+    fi
+    ;;
+  *)
+    lt_cv_sys_max_cmd_len=`(getconf ARG_MAX) 2> /dev/null`
+    if test -n "$lt_cv_sys_max_cmd_len"; then
+      lt_cv_sys_max_cmd_len=`expr $lt_cv_sys_max_cmd_len \/ 4`
+      lt_cv_sys_max_cmd_len=`expr $lt_cv_sys_max_cmd_len \* 3`
+    else
+      # Make teststring a little bigger before we do anything with it.
+      # a 1K string should be a reasonable start.
+      for i in 1 2 3 4 5 6 7 8 ; do
+        teststring=$teststring$teststring
+      done
+      SHELL=${SHELL-${CONFIG_SHELL-/bin/sh}}
+      # If test is not a shell built-in, we'll probably end up computing a
+      # maximum length that is only half of the actual maximum length, but
+      # we can't tell.
+      while { test "X"`$SHELL [$]0 --fallback-echo "X$teststring$teststring" 2>/dev/null` \
+	         = "XX$teststring$teststring"; } >/dev/null 2>&1 &&
+	      test $i != 17 # 1/2 MB should be enough
+      do
+        i=`expr $i + 1`
+        teststring=$teststring$teststring
+      done
+      # Only check the string length outside the loop.
+      lt_cv_sys_max_cmd_len=`expr "X$teststring" : ".*" 2>&1`
+      teststring=
+      # Add a significant safety factor because C++ compilers can tack on
+      # massive amounts of additional arguments before passing them to the
+      # linker.  It appears as though 1/2 is a usable value.
+      lt_cv_sys_max_cmd_len=`expr $lt_cv_sys_max_cmd_len \/ 2`
+    fi
+    ;;
+  esac
+])
+if test -n $lt_cv_sys_max_cmd_len ; then
+  AC_MSG_RESULT($lt_cv_sys_max_cmd_len)
+else
+  AC_MSG_RESULT(none)
+fi
+max_cmd_len=$lt_cv_sys_max_cmd_len
+_LT_DECL([], [max_cmd_len], [0],
+    [What is the maximum length of a command?])
+])# LT_CMD_MAX_LEN
+
+# Old name:
+AU_ALIAS([AC_LIBTOOL_SYS_MAX_CMD_LEN], [LT_CMD_MAX_LEN])
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([AC_LIBTOOL_SYS_MAX_CMD_LEN], [])
+
+
+# _LT_HEADER_DLFCN
+# ----------------
+m4_defun([_LT_HEADER_DLFCN],
+[AC_CHECK_HEADERS([dlfcn.h], [], [], [AC_INCLUDES_DEFAULT])dnl
+])# _LT_HEADER_DLFCN
+
+
+# _LT_TRY_DLOPEN_SELF (ACTION-IF-TRUE, ACTION-IF-TRUE-W-USCORE,
+#                      ACTION-IF-FALSE, ACTION-IF-CROSS-COMPILING)
+# ----------------------------------------------------------------
+m4_defun([_LT_TRY_DLOPEN_SELF],
+[m4_require([_LT_HEADER_DLFCN])dnl
+if test "$cross_compiling" = yes; then :
+  [$4]
+else
+  lt_dlunknown=0; lt_dlno_uscore=1; lt_dlneed_uscore=2
+  lt_status=$lt_dlunknown
+  cat > conftest.$ac_ext <<_LT_EOF
+[#line __oline__ "configure"
+#include "confdefs.h"
+
+#if HAVE_DLFCN_H
+#include <dlfcn.h>
+#endif
+
+#include <stdio.h>
+
+#ifdef RTLD_GLOBAL
+#  define LT_DLGLOBAL		RTLD_GLOBAL
+#else
+#  ifdef DL_GLOBAL
+#    define LT_DLGLOBAL		DL_GLOBAL
+#  else
+#    define LT_DLGLOBAL		0
+#  endif
+#endif
+
+/* We may have to define LT_DLLAZY_OR_NOW in the command line if we
+   find out it does not work in some platform. */
+#ifndef LT_DLLAZY_OR_NOW
+#  ifdef RTLD_LAZY
+#    define LT_DLLAZY_OR_NOW		RTLD_LAZY
+#  else
+#    ifdef DL_LAZY
+#      define LT_DLLAZY_OR_NOW		DL_LAZY
+#    else
+#      ifdef RTLD_NOW
+#        define LT_DLLAZY_OR_NOW	RTLD_NOW
+#      else
+#        ifdef DL_NOW
+#          define LT_DLLAZY_OR_NOW	DL_NOW
+#        else
+#          define LT_DLLAZY_OR_NOW	0
+#        endif
+#      endif
+#    endif
+#  endif
+#endif
+
+void fnord() { int i=42;}
+int main ()
+{
+  void *self = dlopen (0, LT_DLGLOBAL|LT_DLLAZY_OR_NOW);
+  int status = $lt_dlunknown;
+
+  if (self)
+    {
+      if (dlsym (self,"fnord"))       status = $lt_dlno_uscore;
+      else if (dlsym( self,"_fnord")) status = $lt_dlneed_uscore;
+      /* dlclose (self); */
+    }
+  else
+    puts (dlerror ());
+
+  return status;
+}]
+_LT_EOF
+  if AC_TRY_EVAL(ac_link) && test -s conftest${ac_exeext} 2>/dev/null; then
+    (./conftest; exit; ) >&AS_MESSAGE_LOG_FD 2>/dev/null
+    lt_status=$?
+    case x$lt_status in
+      x$lt_dlno_uscore) $1 ;;
+      x$lt_dlneed_uscore) $2 ;;
+      x$lt_dlunknown|x*) $3 ;;
+    esac
+  else :
+    # compilation failed
+    $3
+  fi
+fi
+rm -fr conftest*
+])# _LT_TRY_DLOPEN_SELF
+
+
+# LT_SYS_DLOPEN_SELF
+# ------------------
+AC_DEFUN([LT_SYS_DLOPEN_SELF],
+[m4_require([_LT_HEADER_DLFCN])dnl
+if test "x$enable_dlopen" != xyes; then
+  enable_dlopen=unknown
+  enable_dlopen_self=unknown
+  enable_dlopen_self_static=unknown
+else
+  lt_cv_dlopen=no
+  lt_cv_dlopen_libs=
+
+  case $host_os in
+  beos*)
+    lt_cv_dlopen="load_add_on"
+    lt_cv_dlopen_libs=
+    lt_cv_dlopen_self=yes
+    ;;
+
+  mingw* | pw32* | cegcc*)
+    lt_cv_dlopen="LoadLibrary"
+    lt_cv_dlopen_libs=
+    ;;
+
+  cygwin*)
+    lt_cv_dlopen="dlopen"
+    lt_cv_dlopen_libs=
+    ;;
+
+  darwin*)
+  # if libdl is installed we need to link against it
+    AC_CHECK_LIB([dl], [dlopen],
+		[lt_cv_dlopen="dlopen" lt_cv_dlopen_libs="-ldl"],[
+    lt_cv_dlopen="dyld"
+    lt_cv_dlopen_libs=
+    lt_cv_dlopen_self=yes
+    ])
+    ;;
+
+  *)
+    AC_CHECK_FUNC([shl_load],
+	  [lt_cv_dlopen="shl_load"],
+      [AC_CHECK_LIB([dld], [shl_load],
+	    [lt_cv_dlopen="shl_load" lt_cv_dlopen_libs="-ldld"],
+	[AC_CHECK_FUNC([dlopen],
+	      [lt_cv_dlopen="dlopen"],
+	  [AC_CHECK_LIB([dl], [dlopen],
+		[lt_cv_dlopen="dlopen" lt_cv_dlopen_libs="-ldl"],
+	    [AC_CHECK_LIB([svld], [dlopen],
+		  [lt_cv_dlopen="dlopen" lt_cv_dlopen_libs="-lsvld"],
+	      [AC_CHECK_LIB([dld], [dld_link],
+		    [lt_cv_dlopen="dld_link" lt_cv_dlopen_libs="-ldld"])
+	      ])
+	    ])
+	  ])
+	])
+      ])
+    ;;
+  esac
+
+  if test "x$lt_cv_dlopen" != xno; then
+    enable_dlopen=yes
+  else
+    enable_dlopen=no
+  fi
+
+  case $lt_cv_dlopen in
+  dlopen)
+    save_CPPFLAGS="$CPPFLAGS"
+    test "x$ac_cv_header_dlfcn_h" = xyes && CPPFLAGS="$CPPFLAGS -DHAVE_DLFCN_H"
+
+    save_LDFLAGS="$LDFLAGS"
+    wl=$lt_prog_compiler_wl eval LDFLAGS=\"\$LDFLAGS $export_dynamic_flag_spec\"
+
+    save_LIBS="$LIBS"
+    LIBS="$lt_cv_dlopen_libs $LIBS"
+
+    AC_CACHE_CHECK([whether a program can dlopen itself],
+	  lt_cv_dlopen_self, [dnl
+	  _LT_TRY_DLOPEN_SELF(
+	    lt_cv_dlopen_self=yes, lt_cv_dlopen_self=yes,
+	    lt_cv_dlopen_self=no, lt_cv_dlopen_self=cross)
+    ])
+
+    if test "x$lt_cv_dlopen_self" = xyes; then
+      wl=$lt_prog_compiler_wl eval LDFLAGS=\"\$LDFLAGS $lt_prog_compiler_static\"
+      AC_CACHE_CHECK([whether a statically linked program can dlopen itself],
+	  lt_cv_dlopen_self_static, [dnl
+	  _LT_TRY_DLOPEN_SELF(
+	    lt_cv_dlopen_self_static=yes, lt_cv_dlopen_self_static=yes,
+	    lt_cv_dlopen_self_static=no,  lt_cv_dlopen_self_static=cross)
+      ])
+    fi
+
+    CPPFLAGS="$save_CPPFLAGS"
+    LDFLAGS="$save_LDFLAGS"
+    LIBS="$save_LIBS"
+    ;;
+  esac
+
+  case $lt_cv_dlopen_self in
+  yes|no) enable_dlopen_self=$lt_cv_dlopen_self ;;
+  *) enable_dlopen_self=unknown ;;
+  esac
+
+  case $lt_cv_dlopen_self_static in
+  yes|no) enable_dlopen_self_static=$lt_cv_dlopen_self_static ;;
+  *) enable_dlopen_self_static=unknown ;;
+  esac
+fi
+_LT_DECL([dlopen_support], [enable_dlopen], [0],
+	 [Whether dlopen is supported])
+_LT_DECL([dlopen_self], [enable_dlopen_self], [0],
+	 [Whether dlopen of programs is supported])
+_LT_DECL([dlopen_self_static], [enable_dlopen_self_static], [0],
+	 [Whether dlopen of statically linked programs is supported])
+])# LT_SYS_DLOPEN_SELF
+
+# Old name:
+AU_ALIAS([AC_LIBTOOL_DLOPEN_SELF], [LT_SYS_DLOPEN_SELF])
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([AC_LIBTOOL_DLOPEN_SELF], [])
+
+
+# _LT_COMPILER_C_O([TAGNAME])
+# ---------------------------
+# Check to see if options -c and -o are simultaneously supported by compiler.
+# This macro does not hard code the compiler like AC_PROG_CC_C_O.
+m4_defun([_LT_COMPILER_C_O],
+[m4_require([_LT_DECL_SED])dnl
+m4_require([_LT_FILEUTILS_DEFAULTS])dnl
+m4_require([_LT_TAG_COMPILER])dnl
+AC_CACHE_CHECK([if $compiler supports -c -o file.$ac_objext],
+  [_LT_TAGVAR(lt_cv_prog_compiler_c_o, $1)],
+  [_LT_TAGVAR(lt_cv_prog_compiler_c_o, $1)=no
+   $RM -r conftest 2>/dev/null
+   mkdir conftest
+   cd conftest
+   mkdir out
+   echo "$lt_simple_compile_test_code" > conftest.$ac_ext
+
+   lt_compiler_flag="-o out/conftest2.$ac_objext"
+   # Insert the option either (1) after the last *FLAGS variable, or
+   # (2) before a word containing "conftest.", or (3) at the end.
+   # Note that $ac_compile itself does not contain backslashes and begins
+   # with a dollar sign (not a hyphen), so the echo should work correctly.
+   lt_compile=`echo "$ac_compile" | $SED \
+   -e 's:.*FLAGS}\{0,1\} :&$lt_compiler_flag :; t' \
+   -e 's: [[^ ]]*conftest\.: $lt_compiler_flag&:; t' \
+   -e 's:$: $lt_compiler_flag:'`
+   (eval echo "\"\$as_me:__oline__: $lt_compile\"" >&AS_MESSAGE_LOG_FD)
+   (eval "$lt_compile" 2>out/conftest.err)
+   ac_status=$?
+   cat out/conftest.err >&AS_MESSAGE_LOG_FD
+   echo "$as_me:__oline__: \$? = $ac_status" >&AS_MESSAGE_LOG_FD
+   if (exit $ac_status) && test -s out/conftest2.$ac_objext
+   then
+     # The compiler can only warn and ignore the option if not recognized
+     # So say no if there are warnings
+     $ECHO "X$_lt_compiler_boilerplate" | $Xsed -e '/^$/d' > out/conftest.exp
+     $SED '/^$/d; /^ *+/d' out/conftest.err >out/conftest.er2
+     if test ! -s out/conftest.er2 || diff out/conftest.exp out/conftest.er2 >/dev/null; then
+       _LT_TAGVAR(lt_cv_prog_compiler_c_o, $1)=yes
+     fi
+   fi
+   chmod u+w . 2>&AS_MESSAGE_LOG_FD
+   $RM conftest*
+   # SGI C++ compiler will create directory out/ii_files/ for
+   # template instantiation
+   test -d out/ii_files && $RM out/ii_files/* && rmdir out/ii_files
+   $RM out/* && rmdir out
+   cd ..
+   $RM -r conftest
+   $RM conftest*
+])
+_LT_TAGDECL([compiler_c_o], [lt_cv_prog_compiler_c_o], [1],
+	[Does compiler simultaneously support -c and -o options?])
+])# _LT_COMPILER_C_O
+
+
+# _LT_COMPILER_FILE_LOCKS([TAGNAME])
+# ----------------------------------
+# Check to see if we can do hard links to lock some files if needed
+m4_defun([_LT_COMPILER_FILE_LOCKS],
+[m4_require([_LT_ENABLE_LOCK])dnl
+m4_require([_LT_FILEUTILS_DEFAULTS])dnl
+_LT_COMPILER_C_O([$1])
+
+hard_links="nottested"
+if test "$_LT_TAGVAR(lt_cv_prog_compiler_c_o, $1)" = no && test "$need_locks" != no; then
+  # do not overwrite the value of need_locks provided by the user
+  AC_MSG_CHECKING([if we can lock with hard links])
+  hard_links=yes
+  $RM conftest*
+  ln conftest.a conftest.b 2>/dev/null && hard_links=no
+  touch conftest.a
+  ln conftest.a conftest.b 2>&5 || hard_links=no
+  ln conftest.a conftest.b 2>/dev/null && hard_links=no
+  AC_MSG_RESULT([$hard_links])
+  if test "$hard_links" = no; then
+    AC_MSG_WARN([`$CC' does not support `-c -o', so `make -j' may be unsafe])
+    need_locks=warn
+  fi
+else
+  need_locks=no
+fi
+_LT_DECL([], [need_locks], [1], [Must we lock files when doing compilation?])
+])# _LT_COMPILER_FILE_LOCKS
+
+
+# _LT_CHECK_OBJDIR
+# ----------------
+m4_defun([_LT_CHECK_OBJDIR],
+[AC_CACHE_CHECK([for objdir], [lt_cv_objdir],
+[rm -f .libs 2>/dev/null
+mkdir .libs 2>/dev/null
+if test -d .libs; then
+  lt_cv_objdir=.libs
+else
+  # MS-DOS does not allow filenames that begin with a dot.
+  lt_cv_objdir=_libs
+fi
+rmdir .libs 2>/dev/null])
+objdir=$lt_cv_objdir
+_LT_DECL([], [objdir], [0],
+         [The name of the directory that contains temporary libtool files])dnl
+m4_pattern_allow([LT_OBJDIR])dnl
+AC_DEFINE_UNQUOTED(LT_OBJDIR, "$lt_cv_objdir/",
+  [Define to the sub-directory in which libtool stores uninstalled libraries.])
+])# _LT_CHECK_OBJDIR
+
+
+# _LT_LINKER_HARDCODE_LIBPATH([TAGNAME])
+# --------------------------------------
+# Check hardcoding attributes.
+m4_defun([_LT_LINKER_HARDCODE_LIBPATH],
+[AC_MSG_CHECKING([how to hardcode library paths into programs])
+_LT_TAGVAR(hardcode_action, $1)=
+if test -n "$_LT_TAGVAR(hardcode_libdir_flag_spec, $1)" ||
+   test -n "$_LT_TAGVAR(runpath_var, $1)" ||
+   test "X$_LT_TAGVAR(hardcode_automatic, $1)" = "Xyes" ; then
+
+  # We can hardcode non-existent directories.
+  if test "$_LT_TAGVAR(hardcode_direct, $1)" != no &&
+     # If the only mechanism to avoid hardcoding is shlibpath_var, we
+     # have to relink, otherwise we might link with an installed library
+     # when we should be linking with a yet-to-be-installed one
+     ## test "$_LT_TAGVAR(hardcode_shlibpath_var, $1)" != no &&
+     test "$_LT_TAGVAR(hardcode_minus_L, $1)" != no; then
+    # Linking always hardcodes the temporary library directory.
+    _LT_TAGVAR(hardcode_action, $1)=relink
+  else
+    # We can link without hardcoding, and we can hardcode nonexisting dirs.
+    _LT_TAGVAR(hardcode_action, $1)=immediate
+  fi
+else
+  # We cannot hardcode anything, or else we can only hardcode existing
+  # directories.
+  _LT_TAGVAR(hardcode_action, $1)=unsupported
+fi
+AC_MSG_RESULT([$_LT_TAGVAR(hardcode_action, $1)])
+
+if test "$_LT_TAGVAR(hardcode_action, $1)" = relink ||
+   test "$_LT_TAGVAR(inherit_rpath, $1)" = yes; then
+  # Fast installation is not supported
+  enable_fast_install=no
+elif test "$shlibpath_overrides_runpath" = yes ||
+     test "$enable_shared" = no; then
+  # Fast installation is not necessary
+  enable_fast_install=needless
+fi
+_LT_TAGDECL([], [hardcode_action], [0],
+    [How to hardcode a shared library path into an executable])
+])# _LT_LINKER_HARDCODE_LIBPATH
+
+
+# _LT_CMD_STRIPLIB
+# ----------------
+m4_defun([_LT_CMD_STRIPLIB],
+[m4_require([_LT_DECL_EGREP])
+striplib=
+old_striplib=
+AC_MSG_CHECKING([whether stripping libraries is possible])
+if test -n "$STRIP" && $STRIP -V 2>&1 | $GREP "GNU strip" >/dev/null; then
+  test -z "$old_striplib" && old_striplib="$STRIP --strip-debug"
+  test -z "$striplib" && striplib="$STRIP --strip-unneeded"
+  AC_MSG_RESULT([yes])
+else
+# FIXME - insert some real tests, host_os isn't really good enough
+  case $host_os in
+  darwin*)
+    if test -n "$STRIP" ; then
+      striplib="$STRIP -x"
+      old_striplib="$STRIP -S"
+      AC_MSG_RESULT([yes])
+    else
+      AC_MSG_RESULT([no])
+    fi
+    ;;
+  *)
+    AC_MSG_RESULT([no])
+    ;;
+  esac
+fi
+_LT_DECL([], [old_striplib], [1], [Commands to strip libraries])
+_LT_DECL([], [striplib], [1])
+])# _LT_CMD_STRIPLIB
+
+
+# _LT_SYS_DYNAMIC_LINKER([TAG])
+# -----------------------------
+# PORTME Fill in your ld.so characteristics
+m4_defun([_LT_SYS_DYNAMIC_LINKER],
+[AC_REQUIRE([AC_CANONICAL_HOST])dnl
+m4_require([_LT_DECL_EGREP])dnl
+m4_require([_LT_FILEUTILS_DEFAULTS])dnl
+m4_require([_LT_DECL_OBJDUMP])dnl
+m4_require([_LT_DECL_SED])dnl
+AC_MSG_CHECKING([dynamic linker characteristics])
+m4_if([$1],
+	[], [
+if test "$GCC" = yes; then
+  case $host_os in
+    darwin*) lt_awk_arg="/^libraries:/,/LR/" ;;
+    *) lt_awk_arg="/^libraries:/" ;;
+  esac
+  lt_search_path_spec=`$CC -print-search-dirs | awk $lt_awk_arg | $SED -e "s/^libraries://" -e "s,=/,/,g"`
+  if $ECHO "$lt_search_path_spec" | $GREP ';' >/dev/null ; then
+    # if the path contains ";" then we assume it to be the separator
+    # otherwise default to the standard path separator (i.e. ":") - it is
+    # assumed that no part of a normal pathname contains ";" but that should
+    # okay in the real world where ";" in dirpaths is itself problematic.
+    lt_search_path_spec=`$ECHO "$lt_search_path_spec" | $SED -e 's/;/ /g'`
+  else
+    lt_search_path_spec=`$ECHO "$lt_search_path_spec" | $SED  -e "s/$PATH_SEPARATOR/ /g"`
+  fi
+  # Ok, now we have the path, separated by spaces, we can step through it
+  # and add multilib dir if necessary.
+  lt_tmp_lt_search_path_spec=
+  lt_multi_os_dir=`$CC $CPPFLAGS $CFLAGS $LDFLAGS -print-multi-os-directory 2>/dev/null`
+  for lt_sys_path in $lt_search_path_spec; do
+    if test -d "$lt_sys_path/$lt_multi_os_dir"; then
+      lt_tmp_lt_search_path_spec="$lt_tmp_lt_search_path_spec $lt_sys_path/$lt_multi_os_dir"
+    else
+      test -d "$lt_sys_path" && \
+	lt_tmp_lt_search_path_spec="$lt_tmp_lt_search_path_spec $lt_sys_path"
+    fi
+  done
+  lt_search_path_spec=`$ECHO $lt_tmp_lt_search_path_spec | awk '
+BEGIN {RS=" "; FS="/|\n";} {
+  lt_foo="";
+  lt_count=0;
+  for (lt_i = NF; lt_i > 0; lt_i--) {
+    if ($lt_i != "" && $lt_i != ".") {
+      if ($lt_i == "..") {
+        lt_count++;
+      } else {
+        if (lt_count == 0) {
+          lt_foo="/" $lt_i lt_foo;
+        } else {
+          lt_count--;
+        }
+      }
+    }
+  }
+  if (lt_foo != "") { lt_freq[[lt_foo]]++; }
+  if (lt_freq[[lt_foo]] == 1) { print lt_foo; }
+}'`
+  sys_lib_search_path_spec=`$ECHO $lt_search_path_spec`
+else
+  sys_lib_search_path_spec="/lib /usr/lib /usr/local/lib"
+fi])
+library_names_spec=
+libname_spec='lib$name'
+soname_spec=
+shrext_cmds=".so"
+postinstall_cmds=
+postuninstall_cmds=
+finish_cmds=
+finish_eval=
+shlibpath_var=
+shlibpath_overrides_runpath=unknown
+version_type=none
+dynamic_linker="$host_os ld.so"
+sys_lib_dlsearch_path_spec="/lib /usr/lib"
+need_lib_prefix=unknown
+hardcode_into_libs=no
+
+# when you set need_version to no, make sure it does not cause -set_version
+# flags to be left without arguments
+need_version=unknown
+
+case $host_os in
+aix3*)
+  version_type=linux
+  library_names_spec='${libname}${release}${shared_ext}$versuffix $libname.a'
+  shlibpath_var=LIBPATH
+
+  # AIX 3 has no versioning support, so we append a major version to the name.
+  soname_spec='${libname}${release}${shared_ext}$major'
+  ;;
+
+aix[[4-9]]*)
+  version_type=linux
+  need_lib_prefix=no
+  need_version=no
+  hardcode_into_libs=yes
+  if test "$host_cpu" = ia64; then
+    # AIX 5 supports IA64
+    library_names_spec='${libname}${release}${shared_ext}$major ${libname}${release}${shared_ext}$versuffix $libname${shared_ext}'
+    shlibpath_var=LD_LIBRARY_PATH
+  else
+    # With GCC up to 2.95.x, collect2 would create an import file
+    # for dependence libraries.  The import file would start with
+    # the line `#! .'.  This would cause the generated library to
+    # depend on `.', always an invalid library.  This was fixed in
+    # development snapshots of GCC prior to 3.0.
+    case $host_os in
+      aix4 | aix4.[[01]] | aix4.[[01]].*)
+      if { echo '#if __GNUC__ > 2 || (__GNUC__ == 2 && __GNUC_MINOR__ >= 97)'
+	   echo ' yes '
+	   echo '#endif'; } | ${CC} -E - | $GREP yes > /dev/null; then
+	:
+      else
+	can_build_shared=no
+      fi
+      ;;
+    esac
+    # AIX (on Power*) has no versioning support, so currently we can not hardcode correct
+    # soname into executable. Probably we can add versioning support to
+    # collect2, so additional links can be useful in future.
+    if test "$aix_use_runtimelinking" = yes; then
+      # If using run time linking (on AIX 4.2 or later) use lib<name>.so
+      # instead of lib<name>.a to let people know that these are not
+      # typical AIX shared libraries.
+      library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+    else
+      # We preserve .a as extension for shared libraries through AIX4.2
+      # and later when we are not doing run time linking.
+      library_names_spec='${libname}${release}.a $libname.a'
+      soname_spec='${libname}${release}${shared_ext}$major'
+    fi
+    shlibpath_var=LIBPATH
+  fi
+  ;;
+
+amigaos*)
+  case $host_cpu in
+  powerpc)
+    # Since July 2007 AmigaOS4 officially supports .so libraries.
+    # When compiling the executable, add -use-dynld -Lsobjs: to the compileline.
+    library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+    ;;
+  m68k)
+    library_names_spec='$libname.ixlibrary $libname.a'
+    # Create ${libname}_ixlibrary.a entries in /sys/libs.
+    finish_eval='for lib in `ls $libdir/*.ixlibrary 2>/dev/null`; do libname=`$ECHO "X$lib" | $Xsed -e '\''s%^.*/\([[^/]]*\)\.ixlibrary$%\1%'\''`; test $RM /sys/libs/${libname}_ixlibrary.a; $show "cd /sys/libs && $LN_S $lib ${libname}_ixlibrary.a"; cd /sys/libs && $LN_S $lib ${libname}_ixlibrary.a || exit 1; done'
+    ;;
+  esac
+  ;;
+
+beos*)
+  library_names_spec='${libname}${shared_ext}'
+  dynamic_linker="$host_os ld.so"
+  shlibpath_var=LIBRARY_PATH
+  ;;
+
+bsdi[[45]]*)
+  version_type=linux
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  finish_cmds='PATH="\$PATH:/sbin" ldconfig $libdir'
+  shlibpath_var=LD_LIBRARY_PATH
+  sys_lib_search_path_spec="/shlib /usr/lib /usr/X11/lib /usr/contrib/lib /lib /usr/local/lib"
+  sys_lib_dlsearch_path_spec="/shlib /usr/lib /usr/local/lib"
+  # the default ld.so.conf also contains /usr/contrib/lib and
+  # /usr/X11R6/lib (/usr/X11 is a link to /usr/X11R6), but let us allow
+  # libtool to hard-code these into programs
+  ;;
+
+cygwin* | mingw* | pw32* | cegcc*)
+  version_type=windows
+  shrext_cmds=".dll"
+  need_version=no
+  need_lib_prefix=no
+
+  case $GCC,$host_os in
+  yes,cygwin* | yes,mingw* | yes,pw32* | yes,cegcc*)
+    library_names_spec='$libname.dll.a'
+    # DLL is installed to $(libdir)/../bin by postinstall_cmds
+    postinstall_cmds='base_file=`basename \${file}`~
+      dlpath=`$SHELL 2>&1 -c '\''. $dir/'\''\${base_file}'\''i; echo \$dlname'\''`~
+      dldir=$destdir/`dirname \$dlpath`~
+      test -d \$dldir || mkdir -p \$dldir~
+      $install_prog $dir/$dlname \$dldir/$dlname~
+      chmod a+x \$dldir/$dlname~
+      if test -n '\''$stripme'\'' && test -n '\''$striplib'\''; then
+        eval '\''$striplib \$dldir/$dlname'\'' || exit \$?;
+      fi'
+    postuninstall_cmds='dldll=`$SHELL 2>&1 -c '\''. $file; echo \$dlname'\''`~
+      dlpath=$dir/\$dldll~
+       $RM \$dlpath'
+    shlibpath_overrides_runpath=yes
+
+    case $host_os in
+    cygwin*)
+      # Cygwin DLLs use 'cyg' prefix rather than 'lib'
+      soname_spec='`echo ${libname} | sed -e 's/^lib/cyg/'``echo ${release} | $SED -e 's/[[.]]/-/g'`${versuffix}${shared_ext}'
+      sys_lib_search_path_spec="/usr/lib /lib/w32api /lib /usr/local/lib"
+      ;;
+    mingw* | cegcc*)
+      # MinGW DLLs use traditional 'lib' prefix
+      soname_spec='${libname}`echo ${release} | $SED -e 's/[[.]]/-/g'`${versuffix}${shared_ext}'
+      sys_lib_search_path_spec=`$CC -print-search-dirs | $GREP "^libraries:" | $SED -e "s/^libraries://" -e "s,=/,/,g"`
+      if $ECHO "$sys_lib_search_path_spec" | [$GREP ';[c-zC-Z]:/' >/dev/null]; then
+        # It is most probably a Windows format PATH printed by
+        # mingw gcc, but we are running on Cygwin. Gcc prints its search
+        # path with ; separators, and with drive letters. We can handle the
+        # drive letters (cygwin fileutils understands them), so leave them,
+        # especially as we might pass files found there to a mingw objdump,
+        # which wouldn't understand a cygwinified path. Ahh.
+        sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | $SED -e 's/;/ /g'`
+      else
+        sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | $SED  -e "s/$PATH_SEPARATOR/ /g"`
+      fi
+      ;;
+    pw32*)
+      # pw32 DLLs use 'pw' prefix rather than 'lib'
+      library_names_spec='`echo ${libname} | sed -e 's/^lib/pw/'``echo ${release} | $SED -e 's/[[.]]/-/g'`${versuffix}${shared_ext}'
+      ;;
+    esac
+    ;;
+
+  *)
+    library_names_spec='${libname}`echo ${release} | $SED -e 's/[[.]]/-/g'`${versuffix}${shared_ext} $libname.lib'
+    ;;
+  esac
+  dynamic_linker='Win32 ld.exe'
+  # FIXME: first we should search . and the directory the executable is in
+  shlibpath_var=PATH
+  ;;
+
+darwin* | rhapsody*)
+  dynamic_linker="$host_os dyld"
+  version_type=darwin
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${major}$shared_ext ${libname}$shared_ext'
+  soname_spec='${libname}${release}${major}$shared_ext'
+  shlibpath_overrides_runpath=yes
+  shlibpath_var=DYLD_LIBRARY_PATH
+  shrext_cmds='`test .$module = .yes && echo .so || echo .dylib`'
+m4_if([$1], [],[
+  sys_lib_search_path_spec="$sys_lib_search_path_spec /usr/local/lib"])
+  sys_lib_dlsearch_path_spec='/usr/local/lib /lib /usr/lib'
+  ;;
+
+dgux*)
+  version_type=linux
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname$shared_ext'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  ;;
+
+freebsd1*)
+  dynamic_linker=no
+  ;;
+
+freebsd* | dragonfly*)
+  # DragonFly does not have aout.  When/if they implement a new
+  # versioning mechanism, adjust this.
+  if test -x /usr/bin/objformat; then
+    objformat=`/usr/bin/objformat`
+  else
+    case $host_os in
+    freebsd[[123]]*) objformat=aout ;;
+    *) objformat=elf ;;
+    esac
+  fi
+  version_type=freebsd-$objformat
+  case $version_type in
+    freebsd-elf*)
+      library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext} $libname${shared_ext}'
+      need_version=no
+      need_lib_prefix=no
+      ;;
+    freebsd-*)
+      library_names_spec='${libname}${release}${shared_ext}$versuffix $libname${shared_ext}$versuffix'
+      need_version=yes
+      ;;
+  esac
+  shlibpath_var=LD_LIBRARY_PATH
+  case $host_os in
+  freebsd2*)
+    shlibpath_overrides_runpath=yes
+    ;;
+  freebsd3.[[01]]* | freebsdelf3.[[01]]*)
+    shlibpath_overrides_runpath=yes
+    hardcode_into_libs=yes
+    ;;
+  freebsd3.[[2-9]]* | freebsdelf3.[[2-9]]* | \
+  freebsd4.[[0-5]] | freebsdelf4.[[0-5]] | freebsd4.1.1 | freebsdelf4.1.1)
+    shlibpath_overrides_runpath=no
+    hardcode_into_libs=yes
+    ;;
+  *) # from 4.6 on, and DragonFly
+    shlibpath_overrides_runpath=yes
+    hardcode_into_libs=yes
+    ;;
+  esac
+  ;;
+
+gnu*)
+  version_type=linux
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}${major} ${libname}${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  hardcode_into_libs=yes
+  ;;
+
+hpux9* | hpux10* | hpux11*)
+  # Give a soname corresponding to the major version so that dld.sl refuses to
+  # link against other versions.
+  version_type=sunos
+  need_lib_prefix=no
+  need_version=no
+  case $host_cpu in
+  ia64*)
+    shrext_cmds='.so'
+    hardcode_into_libs=yes
+    dynamic_linker="$host_os dld.so"
+    shlibpath_var=LD_LIBRARY_PATH
+    shlibpath_overrides_runpath=yes # Unless +noenvvar is specified.
+    library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+    soname_spec='${libname}${release}${shared_ext}$major'
+    if test "X$HPUX_IA64_MODE" = X32; then
+      sys_lib_search_path_spec="/usr/lib/hpux32 /usr/local/lib/hpux32 /usr/local/lib"
+    else
+      sys_lib_search_path_spec="/usr/lib/hpux64 /usr/local/lib/hpux64"
+    fi
+    sys_lib_dlsearch_path_spec=$sys_lib_search_path_spec
+    ;;
+  hppa*64*)
+    shrext_cmds='.sl'
+    hardcode_into_libs=yes
+    dynamic_linker="$host_os dld.sl"
+    shlibpath_var=LD_LIBRARY_PATH # How should we handle SHLIB_PATH
+    shlibpath_overrides_runpath=yes # Unless +noenvvar is specified.
+    library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+    soname_spec='${libname}${release}${shared_ext}$major'
+    sys_lib_search_path_spec="/usr/lib/pa20_64 /usr/ccs/lib/pa20_64"
+    sys_lib_dlsearch_path_spec=$sys_lib_search_path_spec
+    ;;
+  *)
+    shrext_cmds='.sl'
+    dynamic_linker="$host_os dld.sl"
+    shlibpath_var=SHLIB_PATH
+    shlibpath_overrides_runpath=no # +s is required to enable SHLIB_PATH
+    library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+    soname_spec='${libname}${release}${shared_ext}$major'
+    ;;
+  esac
+  # HP-UX runs *really* slowly unless shared libraries are mode 555.
+  postinstall_cmds='chmod 555 $lib'
+  ;;
+
+interix[[3-9]]*)
+  version_type=linux
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major ${libname}${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  dynamic_linker='Interix 3.x ld.so.1 (PE, like ELF)'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=no
+  hardcode_into_libs=yes
+  ;;
+
+irix5* | irix6* | nonstopux*)
+  case $host_os in
+    nonstopux*) version_type=nonstopux ;;
+    *)
+	if test "$lt_cv_prog_gnu_ld" = yes; then
+		version_type=linux
+	else
+		version_type=irix
+	fi ;;
+  esac
+  need_lib_prefix=no
+  need_version=no
+  soname_spec='${libname}${release}${shared_ext}$major'
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major ${libname}${release}${shared_ext} $libname${shared_ext}'
+  case $host_os in
+  irix5* | nonstopux*)
+    libsuff= shlibsuff=
+    ;;
+  *)
+    case $LD in # libtool.m4 will add one of these switches to LD
+    *-32|*"-32 "|*-melf32bsmip|*"-melf32bsmip ")
+      libsuff= shlibsuff= libmagic=32-bit;;
+    *-n32|*"-n32 "|*-melf32bmipn32|*"-melf32bmipn32 ")
+      libsuff=32 shlibsuff=N32 libmagic=N32;;
+    *-64|*"-64 "|*-melf64bmip|*"-melf64bmip ")
+      libsuff=64 shlibsuff=64 libmagic=64-bit;;
+    *) libsuff= shlibsuff= libmagic=never-match;;
+    esac
+    ;;
+  esac
+  shlibpath_var=LD_LIBRARY${shlibsuff}_PATH
+  shlibpath_overrides_runpath=no
+  sys_lib_search_path_spec="/usr/lib${libsuff} /lib${libsuff} /usr/local/lib${libsuff}"
+  sys_lib_dlsearch_path_spec="/usr/lib${libsuff} /lib${libsuff}"
+  hardcode_into_libs=yes
+  ;;
+
+# No shared lib support for Linux oldld, aout, or coff.
+linux*oldld* | linux*aout* | linux*coff*)
+  dynamic_linker=no
+  ;;
+
+# This must be Linux ELF.
+linux* | k*bsd*-gnu)
+  version_type=linux
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  finish_cmds='PATH="\$PATH:/sbin" ldconfig -n $libdir'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=no
+  # Some binutils ld are patched to set DT_RUNPATH
+  save_LDFLAGS=$LDFLAGS
+  save_libdir=$libdir
+  eval "libdir=/foo; wl=\"$_LT_TAGVAR(lt_prog_compiler_wl, $1)\"; \
+       LDFLAGS=\"\$LDFLAGS $_LT_TAGVAR(hardcode_libdir_flag_spec, $1)\""
+  AC_LINK_IFELSE([AC_LANG_PROGRAM([],[])],
+    [AS_IF([ ($OBJDUMP -p conftest$ac_exeext) 2>/dev/null | grep "RUNPATH.*$libdir" >/dev/null],
+       [shlibpath_overrides_runpath=yes])])
+  LDFLAGS=$save_LDFLAGS
+  libdir=$save_libdir
+
+  # This implies no fast_install, which is unacceptable.
+  # Some rework will be needed to allow for fast_install
+  # before this can be enabled.
+  hardcode_into_libs=yes
+
+  # Add ABI-specific directories to the system library path.
+  sys_lib_dlsearch_path_spec="/lib64 /usr/lib64 /lib /usr/lib"
+
+  # Append ld.so.conf contents to the search path
+  if test -f /etc/ld.so.conf; then
+    lt_ld_extra=`awk '/^include / { system(sprintf("cd /etc; cat %s 2>/dev/null", \[$]2)); skip = 1; } { if (!skip) print \[$]0; skip = 0; }' < /etc/ld.so.conf | $SED -e 's/#.*//;/^[	 ]*hwcap[	 ]/d;s/[:,	]/ /g;s/=[^=]*$//;s/=[^= ]* / /g;/^$/d' | tr '\n' ' '`
+    sys_lib_dlsearch_path_spec="$sys_lib_dlsearch_path_spec $lt_ld_extra"
+  fi
+
+  # We used to test for /lib/ld.so.1 and disable shared libraries on
+  # powerpc, because MkLinux only supported shared libraries with the
+  # GNU dynamic linker.  Since this was broken with cross compilers,
+  # most powerpc-linux boxes support dynamic linking these days and
+  # people can always --disable-shared, the test was removed, and we
+  # assume the GNU/Linux dynamic linker is in use.
+  dynamic_linker='GNU/Linux ld.so'
+  ;;
+
+netbsd*)
+  version_type=sunos
+  need_lib_prefix=no
+  need_version=no
+  if echo __ELF__ | $CC -E - | $GREP __ELF__ >/dev/null; then
+    library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${shared_ext}$versuffix'
+    finish_cmds='PATH="\$PATH:/sbin" ldconfig -m $libdir'
+    dynamic_linker='NetBSD (a.out) ld.so'
+  else
+    library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major ${libname}${shared_ext}'
+    soname_spec='${libname}${release}${shared_ext}$major'
+    dynamic_linker='NetBSD ld.elf_so'
+  fi
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=yes
+  hardcode_into_libs=yes
+  ;;
+
+newsos6)
+  version_type=linux
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=yes
+  ;;
+
+*nto* | *qnx*)
+  version_type=qnx
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=no
+  hardcode_into_libs=yes
+  dynamic_linker='ldqnx.so'
+  ;;
+
+openbsd*)
+  version_type=sunos
+  sys_lib_dlsearch_path_spec="/usr/lib"
+  need_lib_prefix=no
+  # Some older versions of OpenBSD (3.3 at least) *do* need versioned libs.
+  case $host_os in
+    openbsd3.3 | openbsd3.3.*)	need_version=yes ;;
+    *)				need_version=no  ;;
+  esac
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${shared_ext}$versuffix'
+  finish_cmds='PATH="\$PATH:/sbin" ldconfig -m $libdir'
+  shlibpath_var=LD_LIBRARY_PATH
+  if test -z "`echo __ELF__ | $CC -E - | $GREP __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
+    case $host_os in
+      openbsd2.[[89]] | openbsd2.[[89]].*)
+	shlibpath_overrides_runpath=no
+	;;
+      *)
+	shlibpath_overrides_runpath=yes
+	;;
+      esac
+  else
+    shlibpath_overrides_runpath=yes
+  fi
+  ;;
+
+os2*)
+  libname_spec='$name'
+  shrext_cmds=".dll"
+  need_lib_prefix=no
+  library_names_spec='$libname${shared_ext} $libname.a'
+  dynamic_linker='OS/2 ld.exe'
+  shlibpath_var=LIBPATH
+  ;;
+
+osf3* | osf4* | osf5*)
+  version_type=osf
+  need_lib_prefix=no
+  need_version=no
+  soname_spec='${libname}${release}${shared_ext}$major'
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  shlibpath_var=LD_LIBRARY_PATH
+  sys_lib_search_path_spec="/usr/shlib /usr/ccs/lib /usr/lib/cmplrs/cc /usr/lib /usr/local/lib /var/shlib"
+  sys_lib_dlsearch_path_spec="$sys_lib_search_path_spec"
+  ;;
+
+rdos*)
+  dynamic_linker=no
+  ;;
+
+solaris*)
+  version_type=linux
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=yes
+  hardcode_into_libs=yes
+  # ldd complains unless libraries are executable
+  postinstall_cmds='chmod +x $lib'
+  ;;
+
+sunos4*)
+  version_type=sunos
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${shared_ext}$versuffix'
+  finish_cmds='PATH="\$PATH:/usr/etc" ldconfig $libdir'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=yes
+  if test "$with_gnu_ld" = yes; then
+    need_lib_prefix=no
+  fi
+  need_version=yes
+  ;;
+
+sysv4 | sysv4.3*)
+  version_type=linux
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  case $host_vendor in
+    sni)
+      shlibpath_overrides_runpath=no
+      need_lib_prefix=no
+      runpath_var=LD_RUN_PATH
+      ;;
+    siemens)
+      need_lib_prefix=no
+      ;;
+    motorola)
+      need_lib_prefix=no
+      need_version=no
+      shlibpath_overrides_runpath=no
+      sys_lib_search_path_spec='/lib /usr/lib /usr/ccs/lib'
+      ;;
+  esac
+  ;;
+
+sysv4*MP*)
+  if test -d /usr/nec ;then
+    version_type=linux
+    library_names_spec='$libname${shared_ext}.$versuffix $libname${shared_ext}.$major $libname${shared_ext}'
+    soname_spec='$libname${shared_ext}.$major'
+    shlibpath_var=LD_LIBRARY_PATH
+  fi
+  ;;
+
+sysv5* | sco3.2v5* | sco5v6* | unixware* | OpenUNIX* | sysv4*uw2*)
+  version_type=freebsd-elf
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext} $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=yes
+  hardcode_into_libs=yes
+  if test "$with_gnu_ld" = yes; then
+    sys_lib_search_path_spec='/usr/local/lib /usr/gnu/lib /usr/ccs/lib /usr/lib /lib'
+  else
+    sys_lib_search_path_spec='/usr/ccs/lib /usr/lib'
+    case $host_os in
+      sco3.2v5*)
+        sys_lib_search_path_spec="$sys_lib_search_path_spec /lib"
+	;;
+    esac
+  fi
+  sys_lib_dlsearch_path_spec='/usr/lib'
+  ;;
+
+tpf*)
+  # TPF is a cross-target only.  Preferred cross-host = GNU/Linux.
+  version_type=linux
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=no
+  hardcode_into_libs=yes
+  ;;
+
+uts4*)
+  version_type=linux
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  ;;
+
+*)
+  dynamic_linker=no
+  ;;
+esac
+AC_MSG_RESULT([$dynamic_linker])
+test "$dynamic_linker" = no && can_build_shared=no
+
+variables_saved_for_relink="PATH $shlibpath_var $runpath_var"
+if test "$GCC" = yes; then
+  variables_saved_for_relink="$variables_saved_for_relink GCC_EXEC_PREFIX COMPILER_PATH LIBRARY_PATH"
+fi
+
+if test "${lt_cv_sys_lib_search_path_spec+set}" = set; then
+  sys_lib_search_path_spec="$lt_cv_sys_lib_search_path_spec"
+fi
+if test "${lt_cv_sys_lib_dlsearch_path_spec+set}" = set; then
+  sys_lib_dlsearch_path_spec="$lt_cv_sys_lib_dlsearch_path_spec"
+fi
+
+_LT_DECL([], [variables_saved_for_relink], [1],
+    [Variables whose values should be saved in libtool wrapper scripts and
+    restored at link time])
+_LT_DECL([], [need_lib_prefix], [0],
+    [Do we need the "lib" prefix for modules?])
+_LT_DECL([], [need_version], [0], [Do we need a version for libraries?])
+_LT_DECL([], [version_type], [0], [Library versioning type])
+_LT_DECL([], [runpath_var], [0],  [Shared library runtime path variable])
+_LT_DECL([], [shlibpath_var], [0],[Shared library path variable])
+_LT_DECL([], [shlibpath_overrides_runpath], [0],
+    [Is shlibpath searched before the hard-coded library search path?])
+_LT_DECL([], [libname_spec], [1], [Format of library name prefix])
+_LT_DECL([], [library_names_spec], [1],
+    [[List of archive names.  First name is the real one, the rest are links.
+    The last name is the one that the linker finds with -lNAME]])
+_LT_DECL([], [soname_spec], [1],
+    [[The coded name of the library, if different from the real name]])
+_LT_DECL([], [postinstall_cmds], [2],
+    [Command to use after installation of a shared archive])
+_LT_DECL([], [postuninstall_cmds], [2],
+    [Command to use after uninstallation of a shared archive])
+_LT_DECL([], [finish_cmds], [2],
+    [Commands used to finish a libtool library installation in a directory])
+_LT_DECL([], [finish_eval], [1],
+    [[As "finish_cmds", except a single script fragment to be evaled but
+    not shown]])
+_LT_DECL([], [hardcode_into_libs], [0],
+    [Whether we should hardcode library paths into libraries])
+_LT_DECL([], [sys_lib_search_path_spec], [2],
+    [Compile-time system search path for libraries])
+_LT_DECL([], [sys_lib_dlsearch_path_spec], [2],
+    [Run-time system search path for libraries])
+])# _LT_SYS_DYNAMIC_LINKER
+
+
+# _LT_PATH_TOOL_PREFIX(TOOL)
+# --------------------------
+# find a file program which can recognize shared library
+AC_DEFUN([_LT_PATH_TOOL_PREFIX],
+[m4_require([_LT_DECL_EGREP])dnl
+AC_MSG_CHECKING([for $1])
+AC_CACHE_VAL(lt_cv_path_MAGIC_CMD,
+[case $MAGIC_CMD in
+[[\\/*] |  ?:[\\/]*])
+  lt_cv_path_MAGIC_CMD="$MAGIC_CMD" # Let the user override the test with a path.
+  ;;
+*)
+  lt_save_MAGIC_CMD="$MAGIC_CMD"
+  lt_save_ifs="$IFS"; IFS=$PATH_SEPARATOR
+dnl $ac_dummy forces splitting on constant user-supplied paths.
+dnl POSIX.2 word splitting is done only on the output of word expansions,
+dnl not every word.  This closes a longstanding sh security hole.
+  ac_dummy="m4_if([$2], , $PATH, [$2])"
+  for ac_dir in $ac_dummy; do
+    IFS="$lt_save_ifs"
+    test -z "$ac_dir" && ac_dir=.
+    if test -f $ac_dir/$1; then
+      lt_cv_path_MAGIC_CMD="$ac_dir/$1"
+      if test -n "$file_magic_test_file"; then
+	case $deplibs_check_method in
+	"file_magic "*)
+	  file_magic_regex=`expr "$deplibs_check_method" : "file_magic \(.*\)"`
+	  MAGIC_CMD="$lt_cv_path_MAGIC_CMD"
+	  if eval $file_magic_cmd \$file_magic_test_file 2> /dev/null |
+	    $EGREP "$file_magic_regex" > /dev/null; then
+	    :
+	  else
+	    cat <<_LT_EOF 1>&2
+
+*** Warning: the command libtool uses to detect shared libraries,
+*** $file_magic_cmd, produces output that libtool cannot recognize.
+*** The result is that libtool may fail to recognize shared libraries
+*** as such.  This will affect the creation of libtool libraries that
+*** depend on shared libraries, but programs linked with such libtool
+*** libraries will work regardless of this problem.  Nevertheless, you
+*** may want to report the problem to your system manager and/or to
+*** bug-libtool at gnu.org
+
+_LT_EOF
+	  fi ;;
+	esac
+      fi
+      break
+    fi
+  done
+  IFS="$lt_save_ifs"
+  MAGIC_CMD="$lt_save_MAGIC_CMD"
+  ;;
+esac])
+MAGIC_CMD="$lt_cv_path_MAGIC_CMD"
+if test -n "$MAGIC_CMD"; then
+  AC_MSG_RESULT($MAGIC_CMD)
+else
+  AC_MSG_RESULT(no)
+fi
+_LT_DECL([], [MAGIC_CMD], [0],
+	 [Used to examine libraries when file_magic_cmd begins with "file"])dnl
+])# _LT_PATH_TOOL_PREFIX
+
+# Old name:
+AU_ALIAS([AC_PATH_TOOL_PREFIX], [_LT_PATH_TOOL_PREFIX])
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([AC_PATH_TOOL_PREFIX], [])
+
+
+# _LT_PATH_MAGIC
+# --------------
+# find a file program which can recognize a shared library
+m4_defun([_LT_PATH_MAGIC],
+[_LT_PATH_TOOL_PREFIX(${ac_tool_prefix}file, /usr/bin$PATH_SEPARATOR$PATH)
+if test -z "$lt_cv_path_MAGIC_CMD"; then
+  if test -n "$ac_tool_prefix"; then
+    _LT_PATH_TOOL_PREFIX(file, /usr/bin$PATH_SEPARATOR$PATH)
+  else
+    MAGIC_CMD=:
+  fi
+fi
+])# _LT_PATH_MAGIC
+
+
+# LT_PATH_LD
+# ----------
+# find the pathname to the GNU or non-GNU linker
+AC_DEFUN([LT_PATH_LD],
+[AC_REQUIRE([AC_PROG_CC])dnl
+AC_REQUIRE([AC_CANONICAL_HOST])dnl
+AC_REQUIRE([AC_CANONICAL_BUILD])dnl
+m4_require([_LT_DECL_SED])dnl
+m4_require([_LT_DECL_EGREP])dnl
+
+AC_ARG_WITH([gnu-ld],
+    [AS_HELP_STRING([--with-gnu-ld],
+	[assume the C compiler uses GNU ld @<:@default=no@:>@])],
+    [test "$withval" = no || with_gnu_ld=yes],
+    [with_gnu_ld=no])dnl
+
+ac_prog=ld
+if test "$GCC" = yes; then
+  # Check if gcc -print-prog-name=ld gives a path.
+  AC_MSG_CHECKING([for ld used by $CC])
+  case $host in
+  *-*-mingw*)
+    # gcc leaves a trailing carriage return which upsets mingw
+    ac_prog=`($CC -print-prog-name=ld) 2>&5 | tr -d '\015'` ;;
+  *)
+    ac_prog=`($CC -print-prog-name=ld) 2>&5` ;;
+  esac
+  case $ac_prog in
+    # Accept absolute paths.
+    [[\\/]]* | ?:[[\\/]]*)
+      re_direlt='/[[^/]][[^/]]*/\.\./'
+      # Canonicalize the pathname of ld
+      ac_prog=`$ECHO "$ac_prog"| $SED 's%\\\\%/%g'`
+      while $ECHO "$ac_prog" | $GREP "$re_direlt" > /dev/null 2>&1; do
+	ac_prog=`$ECHO $ac_prog| $SED "s%$re_direlt%/%"`
+      done
+      test -z "$LD" && LD="$ac_prog"
+      ;;
+  "")
+    # If it fails, then pretend we aren't using GCC.
+    ac_prog=ld
+    ;;
+  *)
+    # If it is relative, then search for the first ld in PATH.
+    with_gnu_ld=unknown
+    ;;
+  esac
+elif test "$with_gnu_ld" = yes; then
+  AC_MSG_CHECKING([for GNU ld])
+else
+  AC_MSG_CHECKING([for non-GNU ld])
+fi
+AC_CACHE_VAL(lt_cv_path_LD,
+[if test -z "$LD"; then
+  lt_save_ifs="$IFS"; IFS=$PATH_SEPARATOR
+  for ac_dir in $PATH; do
+    IFS="$lt_save_ifs"
+    test -z "$ac_dir" && ac_dir=.
+    if test -f "$ac_dir/$ac_prog" || test -f "$ac_dir/$ac_prog$ac_exeext"; then
+      lt_cv_path_LD="$ac_dir/$ac_prog"
+      # Check to see if the program is GNU ld.  I'd rather use --version,
+      # but apparently some variants of GNU ld only accept -v.
+      # Break only if it was the GNU/non-GNU ld that we prefer.
+      case `"$lt_cv_path_LD" -v 2>&1 </dev/null` in
+      *GNU* | *'with BFD'*)
+	test "$with_gnu_ld" != no && break
+	;;
+      *)
+	test "$with_gnu_ld" != yes && break
+	;;
+      esac
+    fi
+  done
+  IFS="$lt_save_ifs"
+else
+  lt_cv_path_LD="$LD" # Let the user override the test with a path.
+fi])
+LD="$lt_cv_path_LD"
+if test -n "$LD"; then
+  AC_MSG_RESULT($LD)
+else
+  AC_MSG_RESULT(no)
+fi
+test -z "$LD" && AC_MSG_ERROR([no acceptable ld found in \$PATH])
+_LT_PATH_LD_GNU
+AC_SUBST([LD])
+
+_LT_TAGDECL([], [LD], [1], [The linker used to build libraries])
+])# LT_PATH_LD
+
+# Old names:
+AU_ALIAS([AM_PROG_LD], [LT_PATH_LD])
+AU_ALIAS([AC_PROG_LD], [LT_PATH_LD])
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([AM_PROG_LD], [])
+dnl AC_DEFUN([AC_PROG_LD], [])
+
+
+# _LT_PATH_LD_GNU
+#- --------------
+m4_defun([_LT_PATH_LD_GNU],
+[AC_CACHE_CHECK([if the linker ($LD) is GNU ld], lt_cv_prog_gnu_ld,
+[# I'd rather use --version here, but apparently some GNU lds only accept -v.
+case `$LD -v 2>&1 </dev/null` in
+*GNU* | *'with BFD'*)
+  lt_cv_prog_gnu_ld=yes
+  ;;
+*)
+  lt_cv_prog_gnu_ld=no
+  ;;
+esac])
+with_gnu_ld=$lt_cv_prog_gnu_ld
+])# _LT_PATH_LD_GNU
+
+
+# _LT_CMD_RELOAD
+# --------------
+# find reload flag for linker
+#   -- PORTME Some linkers may need a different reload flag.
+m4_defun([_LT_CMD_RELOAD],
+[AC_CACHE_CHECK([for $LD option to reload object files],
+  lt_cv_ld_reload_flag,
+  [lt_cv_ld_reload_flag='-r'])
+reload_flag=$lt_cv_ld_reload_flag
+case $reload_flag in
+"" | " "*) ;;
+*) reload_flag=" $reload_flag" ;;
+esac
+reload_cmds='$LD$reload_flag -o $output$reload_objs'
+case $host_os in
+  darwin*)
+    if test "$GCC" = yes; then
+      reload_cmds='$LTCC $LTCFLAGS -nostdlib ${wl}-r -o $output$reload_objs'
+    else
+      reload_cmds='$LD$reload_flag -o $output$reload_objs'
+    fi
+    ;;
+esac
+_LT_DECL([], [reload_flag], [1], [How to create reloadable object files])dnl
+_LT_DECL([], [reload_cmds], [2])dnl
+])# _LT_CMD_RELOAD
+
+
+# _LT_CHECK_MAGIC_METHOD
+# ----------------------
+# how to check for library dependencies
+#  -- PORTME fill in with the dynamic library characteristics
+m4_defun([_LT_CHECK_MAGIC_METHOD],
+[m4_require([_LT_DECL_EGREP])
+m4_require([_LT_DECL_OBJDUMP])
+AC_CACHE_CHECK([how to recognize dependent libraries],
+lt_cv_deplibs_check_method,
+[lt_cv_file_magic_cmd='$MAGIC_CMD'
+lt_cv_file_magic_test_file=
+lt_cv_deplibs_check_method='unknown'
+# Need to set the preceding variable on all platforms that support
+# interlibrary dependencies.
+# 'none' -- dependencies not supported.
+# `unknown' -- same as none, but documents that we really don't know.
+# 'pass_all' -- all dependencies passed with no checks.
+# 'test_compile' -- check by making test program.
+# 'file_magic [[regex]]' -- check by looking for files in library path
+# which responds to the $file_magic_cmd with a given extended regex.
+# If you have `file' or equivalent on your system and you're not sure
+# whether `pass_all' will *always* work, you probably want this one.
+
+case $host_os in
+aix[[4-9]]*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+beos*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+bsdi[[45]]*)
+  lt_cv_deplibs_check_method='file_magic ELF [[0-9]][[0-9]]*-bit [[ML]]SB (shared object|dynamic lib)'
+  lt_cv_file_magic_cmd='/usr/bin/file -L'
+  lt_cv_file_magic_test_file=/shlib/libc.so
+  ;;
+
+cygwin*)
+  # func_win32_libid is a shell function defined in ltmain.sh
+  lt_cv_deplibs_check_method='file_magic ^x86 archive import|^x86 DLL'
+  lt_cv_file_magic_cmd='func_win32_libid'
+  ;;
+
+mingw* | pw32*)
+  # Base MSYS/MinGW do not provide the 'file' command needed by
+  # func_win32_libid shell function, so use a weaker test based on 'objdump',
+  # unless we find 'file', for example because we are cross-compiling.
+  if ( file / ) >/dev/null 2>&1; then
+    lt_cv_deplibs_check_method='file_magic ^x86 archive import|^x86 DLL'
+    lt_cv_file_magic_cmd='func_win32_libid'
+  else
+    lt_cv_deplibs_check_method='file_magic file format pei*-i386(.*architecture: i386)?'
+    lt_cv_file_magic_cmd='$OBJDUMP -f'
+  fi
+  ;;
+
+cegcc)
+  # use the weaker test based on 'objdump'. See mingw*.
+  lt_cv_deplibs_check_method='file_magic file format pe-arm-.*little(.*architecture: arm)?'
+  lt_cv_file_magic_cmd='$OBJDUMP -f'
+  ;;
+
+darwin* | rhapsody*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+freebsd* | dragonfly*)
+  if echo __ELF__ | $CC -E - | $GREP __ELF__ > /dev/null; then
+    case $host_cpu in
+    i*86 )
+      # Not sure whether the presence of OpenBSD here was a mistake.
+      # Let's accept both of them until this is cleared up.
+      lt_cv_deplibs_check_method='file_magic (FreeBSD|OpenBSD|DragonFly)/i[[3-9]]86 (compact )?demand paged shared library'
+      lt_cv_file_magic_cmd=/usr/bin/file
+      lt_cv_file_magic_test_file=`echo /usr/lib/libc.so.*`
+      ;;
+    esac
+  else
+    lt_cv_deplibs_check_method=pass_all
+  fi
+  ;;
+
+gnu*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+hpux10.20* | hpux11*)
+  lt_cv_file_magic_cmd=/usr/bin/file
+  case $host_cpu in
+  ia64*)
+    lt_cv_deplibs_check_method='file_magic (s[[0-9]][[0-9]][[0-9]]|ELF-[[0-9]][[0-9]]) shared object file - IA64'
+    lt_cv_file_magic_test_file=/usr/lib/hpux32/libc.so
+    ;;
+  hppa*64*)
+    [lt_cv_deplibs_check_method='file_magic (s[0-9][0-9][0-9]|ELF-[0-9][0-9]) shared object file - PA-RISC [0-9].[0-9]']
+    lt_cv_file_magic_test_file=/usr/lib/pa20_64/libc.sl
+    ;;
+  *)
+    lt_cv_deplibs_check_method='file_magic (s[[0-9]][[0-9]][[0-9]]|PA-RISC[[0-9]].[[0-9]]) shared library'
+    lt_cv_file_magic_test_file=/usr/lib/libc.sl
+    ;;
+  esac
+  ;;
+
+interix[[3-9]]*)
+  # PIC code is broken on Interix 3.x, that's why |\.a not |_pic\.a here
+  lt_cv_deplibs_check_method='match_pattern /lib[[^/]]+(\.so|\.a)$'
+  ;;
+
+irix5* | irix6* | nonstopux*)
+  case $LD in
+  *-32|*"-32 ") libmagic=32-bit;;
+  *-n32|*"-n32 ") libmagic=N32;;
+  *-64|*"-64 ") libmagic=64-bit;;
+  *) libmagic=never-match;;
+  esac
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+# This must be Linux ELF.
+linux* | k*bsd*-gnu)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+netbsd*)
+  if echo __ELF__ | $CC -E - | $GREP __ELF__ > /dev/null; then
+    lt_cv_deplibs_check_method='match_pattern /lib[[^/]]+(\.so\.[[0-9]]+\.[[0-9]]+|_pic\.a)$'
+  else
+    lt_cv_deplibs_check_method='match_pattern /lib[[^/]]+(\.so|_pic\.a)$'
+  fi
+  ;;
+
+newos6*)
+  lt_cv_deplibs_check_method='file_magic ELF [[0-9]][[0-9]]*-bit [[ML]]SB (executable|dynamic lib)'
+  lt_cv_file_magic_cmd=/usr/bin/file
+  lt_cv_file_magic_test_file=/usr/lib/libnls.so
+  ;;
+
+*nto* | *qnx*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+openbsd*)
+  if test -z "`echo __ELF__ | $CC -E - | $GREP __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
+    lt_cv_deplibs_check_method='match_pattern /lib[[^/]]+(\.so\.[[0-9]]+\.[[0-9]]+|\.so|_pic\.a)$'
+  else
+    lt_cv_deplibs_check_method='match_pattern /lib[[^/]]+(\.so\.[[0-9]]+\.[[0-9]]+|_pic\.a)$'
+  fi
+  ;;
+
+osf3* | osf4* | osf5*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+rdos*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+solaris*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+sysv5* | sco3.2v5* | sco5v6* | unixware* | OpenUNIX* | sysv4*uw2*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+sysv4 | sysv4.3*)
+  case $host_vendor in
+  motorola)
+    lt_cv_deplibs_check_method='file_magic ELF [[0-9]][[0-9]]*-bit [[ML]]SB (shared object|dynamic lib) M[[0-9]][[0-9]]* Version [[0-9]]'
+    lt_cv_file_magic_test_file=`echo /usr/lib/libc.so*`
+    ;;
+  ncr)
+    lt_cv_deplibs_check_method=pass_all
+    ;;
+  sequent)
+    lt_cv_file_magic_cmd='/bin/file'
+    lt_cv_deplibs_check_method='file_magic ELF [[0-9]][[0-9]]*-bit [[LM]]SB (shared object|dynamic lib )'
+    ;;
+  sni)
+    lt_cv_file_magic_cmd='/bin/file'
+    lt_cv_deplibs_check_method="file_magic ELF [[0-9]][[0-9]]*-bit [[LM]]SB dynamic lib"
+    lt_cv_file_magic_test_file=/lib/libc.so
+    ;;
+  siemens)
+    lt_cv_deplibs_check_method=pass_all
+    ;;
+  pc)
+    lt_cv_deplibs_check_method=pass_all
+    ;;
+  esac
+  ;;
+
+tpf*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+esac
+])
+file_magic_cmd=$lt_cv_file_magic_cmd
+deplibs_check_method=$lt_cv_deplibs_check_method
+test -z "$deplibs_check_method" && deplibs_check_method=unknown
+
+_LT_DECL([], [deplibs_check_method], [1],
+    [Method to check whether dependent libraries are shared objects])
+_LT_DECL([], [file_magic_cmd], [1],
+    [Command to use when deplibs_check_method == "file_magic"])
+])# _LT_CHECK_MAGIC_METHOD
+
+
+# LT_PATH_NM
+# ----------
+# find the pathname to a BSD- or MS-compatible name lister
+AC_DEFUN([LT_PATH_NM],
+[AC_REQUIRE([AC_PROG_CC])dnl
+AC_CACHE_CHECK([for BSD- or MS-compatible name lister (nm)], lt_cv_path_NM,
+[if test -n "$NM"; then
+  # Let the user override the test.
+  lt_cv_path_NM="$NM"
+else
+  lt_nm_to_check="${ac_tool_prefix}nm"
+  if test -n "$ac_tool_prefix" && test "$build" = "$host"; then
+    lt_nm_to_check="$lt_nm_to_check nm"
+  fi
+  for lt_tmp_nm in $lt_nm_to_check; do
+    lt_save_ifs="$IFS"; IFS=$PATH_SEPARATOR
+    for ac_dir in $PATH /usr/ccs/bin/elf /usr/ccs/bin /usr/ucb /bin; do
+      IFS="$lt_save_ifs"
+      test -z "$ac_dir" && ac_dir=.
+      tmp_nm="$ac_dir/$lt_tmp_nm"
+      if test -f "$tmp_nm" || test -f "$tmp_nm$ac_exeext" ; then
+	# Check to see if the nm accepts a BSD-compat flag.
+	# Adding the `sed 1q' prevents false positives on HP-UX, which says:
+	#   nm: unknown option "B" ignored
+	# Tru64's nm complains that /dev/null is an invalid object file
+	case `"$tmp_nm" -B /dev/null 2>&1 | sed '1q'` in
+	*/dev/null* | *'Invalid file or object type'*)
+	  lt_cv_path_NM="$tmp_nm -B"
+	  break
+	  ;;
+	*)
+	  case `"$tmp_nm" -p /dev/null 2>&1 | sed '1q'` in
+	  */dev/null*)
+	    lt_cv_path_NM="$tmp_nm -p"
+	    break
+	    ;;
+	  *)
+	    lt_cv_path_NM=${lt_cv_path_NM="$tmp_nm"} # keep the first match, but
+	    continue # so that we can try to find one that supports BSD flags
+	    ;;
+	  esac
+	  ;;
+	esac
+      fi
+    done
+    IFS="$lt_save_ifs"
+  done
+  : ${lt_cv_path_NM=no}
+fi])
+if test "$lt_cv_path_NM" != "no"; then
+  NM="$lt_cv_path_NM"
+else
+  # Didn't find any BSD compatible name lister, look for dumpbin.
+  AC_CHECK_TOOLS(DUMPBIN, ["dumpbin -symbols" "link -dump -symbols"], :)
+  AC_SUBST([DUMPBIN])
+  if test "$DUMPBIN" != ":"; then
+    NM="$DUMPBIN"
+  fi
+fi
+test -z "$NM" && NM=nm
+AC_SUBST([NM])
+_LT_DECL([], [NM], [1], [A BSD- or MS-compatible name lister])dnl
+
+AC_CACHE_CHECK([the name lister ($NM) interface], [lt_cv_nm_interface],
+  [lt_cv_nm_interface="BSD nm"
+  echo "int some_variable = 0;" > conftest.$ac_ext
+  (eval echo "\"\$as_me:__oline__: $ac_compile\"" >&AS_MESSAGE_LOG_FD)
+  (eval "$ac_compile" 2>conftest.err)
+  cat conftest.err >&AS_MESSAGE_LOG_FD
+  (eval echo "\"\$as_me:__oline__: $NM \\\"conftest.$ac_objext\\\"\"" >&AS_MESSAGE_LOG_FD)
+  (eval "$NM \"conftest.$ac_objext\"" 2>conftest.err > conftest.out)
+  cat conftest.err >&AS_MESSAGE_LOG_FD
+  (eval echo "\"\$as_me:__oline__: output\"" >&AS_MESSAGE_LOG_FD)
+  cat conftest.out >&AS_MESSAGE_LOG_FD
+  if $GREP 'External.*some_variable' conftest.out > /dev/null; then
+    lt_cv_nm_interface="MS dumpbin"
+  fi
+  rm -f conftest*])
+])# LT_PATH_NM
+
+# Old names:
+AU_ALIAS([AM_PROG_NM], [LT_PATH_NM])
+AU_ALIAS([AC_PROG_NM], [LT_PATH_NM])
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([AM_PROG_NM], [])
+dnl AC_DEFUN([AC_PROG_NM], [])
+
+
+# LT_LIB_M
+# --------
+# check for math library
+AC_DEFUN([LT_LIB_M],
+[AC_REQUIRE([AC_CANONICAL_HOST])dnl
+LIBM=
+case $host in
+*-*-beos* | *-*-cygwin* | *-*-pw32* | *-*-darwin*)
+  # These system don't have libm, or don't need it
+  ;;
+*-ncr-sysv4.3*)
+  AC_CHECK_LIB(mw, _mwvalidcheckl, LIBM="-lmw")
+  AC_CHECK_LIB(m, cos, LIBM="$LIBM -lm")
+  ;;
+*)
+  AC_CHECK_LIB(m, cos, LIBM="-lm")
+  ;;
+esac
+AC_SUBST([LIBM])
+])# LT_LIB_M
+
+# Old name:
+AU_ALIAS([AC_CHECK_LIBM], [LT_LIB_M])
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([AC_CHECK_LIBM], [])
+
+
+# _LT_COMPILER_NO_RTTI([TAGNAME])
+# -------------------------------
+m4_defun([_LT_COMPILER_NO_RTTI],
+[m4_require([_LT_TAG_COMPILER])dnl
+
+_LT_TAGVAR(lt_prog_compiler_no_builtin_flag, $1)=
+
+if test "$GCC" = yes; then
+  _LT_TAGVAR(lt_prog_compiler_no_builtin_flag, $1)=' -fno-builtin'
+
+  _LT_COMPILER_OPTION([if $compiler supports -fno-rtti -fno-exceptions],
+    lt_cv_prog_compiler_rtti_exceptions,
+    [-fno-rtti -fno-exceptions], [],
+    [_LT_TAGVAR(lt_prog_compiler_no_builtin_flag, $1)="$_LT_TAGVAR(lt_prog_compiler_no_builtin_flag, $1) -fno-rtti -fno-exceptions"])
+fi
+_LT_TAGDECL([no_builtin_flag], [lt_prog_compiler_no_builtin_flag], [1],
+	[Compiler flag to turn off builtin functions])
+])# _LT_COMPILER_NO_RTTI
+
+
+# _LT_CMD_GLOBAL_SYMBOLS
+# ----------------------
+m4_defun([_LT_CMD_GLOBAL_SYMBOLS],
+[AC_REQUIRE([AC_CANONICAL_HOST])dnl
+AC_REQUIRE([AC_PROG_CC])dnl
+AC_REQUIRE([LT_PATH_NM])dnl
+AC_REQUIRE([LT_PATH_LD])dnl
+m4_require([_LT_DECL_SED])dnl
+m4_require([_LT_DECL_EGREP])dnl
+m4_require([_LT_TAG_COMPILER])dnl
+
+# Check for command to grab the raw symbol name followed by C symbol from nm.
+AC_MSG_CHECKING([command to parse $NM output from $compiler object])
+AC_CACHE_VAL([lt_cv_sys_global_symbol_pipe],
+[
+# These are sane defaults that work on at least a few old systems.
+# [They come from Ultrix.  What could be older than Ultrix?!! ;)]
+
+# Character class describing NM global symbol codes.
+symcode='[[BCDEGRST]]'
+
+# Regexp to match symbols that can be accessed directly from C.
+sympat='\([[_A-Za-z]][[_A-Za-z0-9]]*\)'
+
+# Define system-specific variables.
+case $host_os in
+aix*)
+  symcode='[[BCDT]]'
+  ;;
+cygwin* | mingw* | pw32* | cegcc*)
+  symcode='[[ABCDGISTW]]'
+  ;;
+hpux*)
+  if test "$host_cpu" = ia64; then
+    symcode='[[ABCDEGRST]]'
+  fi
+  ;;
+irix* | nonstopux*)
+  symcode='[[BCDEGRST]]'
+  ;;
+osf*)
+  symcode='[[BCDEGQRST]]'
+  ;;
+solaris*)
+  symcode='[[BDRT]]'
+  ;;
+sco3.2v5*)
+  symcode='[[DT]]'
+  ;;
+sysv4.2uw2*)
+  symcode='[[DT]]'
+  ;;
+sysv5* | sco5v6* | unixware* | OpenUNIX*)
+  symcode='[[ABDT]]'
+  ;;
+sysv4)
+  symcode='[[DFNSTU]]'
+  ;;
+esac
+
+# If we're using GNU nm, then use its standard symbol codes.
+case `$NM -V 2>&1` in
+*GNU* | *'with BFD'*)
+  symcode='[[ABCDGIRSTW]]' ;;
+esac
+
+# Transform an extracted symbol line into a proper C declaration.
+# Some systems (esp. on ia64) link data and code symbols differently,
+# so use this general approach.
+lt_cv_sys_global_symbol_to_cdecl="sed -n -e 's/^T .* \(.*\)$/extern int \1();/p' -e 's/^$symcode* .* \(.*\)$/extern char \1;/p'"
+
+# Transform an extracted symbol line into symbol name and symbol address
+lt_cv_sys_global_symbol_to_c_name_address="sed -n -e 's/^: \([[^ ]]*\) $/  {\\\"\1\\\", (void *) 0},/p' -e 's/^$symcode* \([[^ ]]*\) \([[^ ]]*\)$/  {\"\2\", (void *) \&\2},/p'"
+lt_cv_sys_global_symbol_to_c_name_address_lib_prefix="sed -n -e 's/^: \([[^ ]]*\) $/  {\\\"\1\\\", (void *) 0},/p' -e 's/^$symcode* \([[^ ]]*\) \(lib[[^ ]]*\)$/  {\"\2\", (void *) \&\2},/p' -e 's/^$symcode* \([[^ ]]*\) \([[^ ]]*\)$/  {\"lib\2\", (void *) \&\2},/p'"
+
+# Handle CRLF in mingw tool chain
+opt_cr=
+case $build_os in
+mingw*)
+  opt_cr=`$ECHO 'x\{0,1\}' | tr x '\015'` # option cr in regexp
+  ;;
+esac
+
+# Try without a prefix underscore, then with it.
+for ac_symprfx in "" "_"; do
+
+  # Transform symcode, sympat, and symprfx into a raw symbol and a C symbol.
+  symxfrm="\\1 $ac_symprfx\\2 \\2"
+
+  # Write the raw and C identifiers.
+  if test "$lt_cv_nm_interface" = "MS dumpbin"; then
+    # Fake it for dumpbin and say T for any non-static function
+    # and D for any global variable.
+    # Also find C++ and __fastcall symbols from MSVC++,
+    # which start with @ or ?.
+    lt_cv_sys_global_symbol_pipe="$AWK ['"\
+"     {last_section=section; section=\$ 3};"\
+"     /Section length .*#relocs.*(pick any)/{hide[last_section]=1};"\
+"     \$ 0!~/External *\|/{next};"\
+"     / 0+ UNDEF /{next}; / UNDEF \([^|]\)*()/{next};"\
+"     {if(hide[section]) next};"\
+"     {f=0}; \$ 0~/\(\).*\|/{f=1}; {printf f ? \"T \" : \"D \"};"\
+"     {split(\$ 0, a, /\||\r/); split(a[2], s)};"\
+"     s[1]~/^[@?]/{print s[1], s[1]; next};"\
+"     s[1]~prfx {split(s[1],t,\"@\"); print t[1], substr(t[1],length(prfx))}"\
+"     ' prfx=^$ac_symprfx]"
+  else
+    lt_cv_sys_global_symbol_pipe="sed -n -e 's/^.*[[	 ]]\($symcode$symcode*\)[[	 ]][[	 ]]*$ac_symprfx$sympat$opt_cr$/$symxfrm/p'"
+  fi
+
+  # Check to see that the pipe works correctly.
+  pipe_works=no
+
+  rm -f conftest*
+  cat > conftest.$ac_ext <<_LT_EOF
+#ifdef __cplusplus
+extern "C" {
+#endif
+char nm_test_var;
+void nm_test_func(void);
+void nm_test_func(void){}
+#ifdef __cplusplus
+}
+#endif
+int main(){nm_test_var='a';nm_test_func();return(0);}
+_LT_EOF
+
+  if AC_TRY_EVAL(ac_compile); then
+    # Now try to grab the symbols.
+    nlist=conftest.nm
+    if AC_TRY_EVAL(NM conftest.$ac_objext \| $lt_cv_sys_global_symbol_pipe \> $nlist) && test -s "$nlist"; then
+      # Try sorting and uniquifying the output.
+      if sort "$nlist" | uniq > "$nlist"T; then
+	mv -f "$nlist"T "$nlist"
+      else
+	rm -f "$nlist"T
+      fi
+
+      # Make sure that we snagged all the symbols we need.
+      if $GREP ' nm_test_var$' "$nlist" >/dev/null; then
+	if $GREP ' nm_test_func$' "$nlist" >/dev/null; then
+	  cat <<_LT_EOF > conftest.$ac_ext
+#ifdef __cplusplus
+extern "C" {
+#endif
+
+_LT_EOF
+	  # Now generate the symbol file.
+	  eval "$lt_cv_sys_global_symbol_to_cdecl"' < "$nlist" | $GREP -v main >> conftest.$ac_ext'
+
+	  cat <<_LT_EOF >> conftest.$ac_ext
+
+/* The mapping between symbol names and symbols.  */
+const struct {
+  const char *name;
+  void       *address;
+}
+lt__PROGRAM__LTX_preloaded_symbols[[]] =
+{
+  { "@PROGRAM@", (void *) 0 },
+_LT_EOF
+	  $SED "s/^$symcode$symcode* \(.*\) \(.*\)$/  {\"\2\", (void *) \&\2},/" < "$nlist" | $GREP -v main >> conftest.$ac_ext
+	  cat <<\_LT_EOF >> conftest.$ac_ext
+  {0, (void *) 0}
+};
+
+/* This works around a problem in FreeBSD linker */
+#ifdef FREEBSD_WORKAROUND
+static const void *lt_preloaded_setup() {
+  return lt__PROGRAM__LTX_preloaded_symbols;
+}
+#endif
+
+#ifdef __cplusplus
+}
+#endif
+_LT_EOF
+	  # Now try linking the two files.
+	  mv conftest.$ac_objext conftstm.$ac_objext
+	  lt_save_LIBS="$LIBS"
+	  lt_save_CFLAGS="$CFLAGS"
+	  LIBS="conftstm.$ac_objext"
+	  CFLAGS="$CFLAGS$_LT_TAGVAR(lt_prog_compiler_no_builtin_flag, $1)"
+	  if AC_TRY_EVAL(ac_link) && test -s conftest${ac_exeext}; then
+	    pipe_works=yes
+	  fi
+	  LIBS="$lt_save_LIBS"
+	  CFLAGS="$lt_save_CFLAGS"
+	else
+	  echo "cannot find nm_test_func in $nlist" >&AS_MESSAGE_LOG_FD
+	fi
+      else
+	echo "cannot find nm_test_var in $nlist" >&AS_MESSAGE_LOG_FD
+      fi
+    else
+      echo "cannot run $lt_cv_sys_global_symbol_pipe" >&AS_MESSAGE_LOG_FD
+    fi
+  else
+    echo "$progname: failed program was:" >&AS_MESSAGE_LOG_FD
+    cat conftest.$ac_ext >&5
+  fi
+  rm -rf conftest* conftst*
+
+  # Do not use the global_symbol_pipe unless it works.
+  if test "$pipe_works" = yes; then
+    break
+  else
+    lt_cv_sys_global_symbol_pipe=
+  fi
+done
+])
+if test -z "$lt_cv_sys_global_symbol_pipe"; then
+  lt_cv_sys_global_symbol_to_cdecl=
+fi
+if test -z "$lt_cv_sys_global_symbol_pipe$lt_cv_sys_global_symbol_to_cdecl"; then
+  AC_MSG_RESULT(failed)
+else
+  AC_MSG_RESULT(ok)
+fi
+
+_LT_DECL([global_symbol_pipe], [lt_cv_sys_global_symbol_pipe], [1],
+    [Take the output of nm and produce a listing of raw symbols and C names])
+_LT_DECL([global_symbol_to_cdecl], [lt_cv_sys_global_symbol_to_cdecl], [1],
+    [Transform the output of nm in a proper C declaration])
+_LT_DECL([global_symbol_to_c_name_address],
+    [lt_cv_sys_global_symbol_to_c_name_address], [1],
+    [Transform the output of nm in a C name address pair])
+_LT_DECL([global_symbol_to_c_name_address_lib_prefix],
+    [lt_cv_sys_global_symbol_to_c_name_address_lib_prefix], [1],
+    [Transform the output of nm in a C name address pair when lib prefix is needed])
+]) # _LT_CMD_GLOBAL_SYMBOLS
+
+
+# _LT_COMPILER_PIC([TAGNAME])
+# ---------------------------
+m4_defun([_LT_COMPILER_PIC],
+[m4_require([_LT_TAG_COMPILER])dnl
+_LT_TAGVAR(lt_prog_compiler_wl, $1)=
+_LT_TAGVAR(lt_prog_compiler_pic, $1)=
+_LT_TAGVAR(lt_prog_compiler_static, $1)=
+
+AC_MSG_CHECKING([for $compiler option to produce PIC])
+m4_if([$1], [CXX], [
+  # C++ specific cases for pic, static, wl, etc.
+  if test "$GXX" = yes; then
+    _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+    _LT_TAGVAR(lt_prog_compiler_static, $1)='-static'
+
+    case $host_os in
+    aix*)
+      # All AIX code is PIC.
+      if test "$host_cpu" = ia64; then
+	# AIX 5 now supports IA64 processor
+	_LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+      fi
+      ;;
+
+    amigaos*)
+      case $host_cpu in
+      powerpc)
+            # see comment about AmigaOS4 .so support
+            _LT_TAGVAR(lt_prog_compiler_pic, $1)='-fPIC'
+        ;;
+      m68k)
+            # FIXME: we need at least 68020 code to build shared libraries, but
+            # adding the `-m68020' flag to GCC prevents building anything better,
+            # like `-m68040'.
+            _LT_TAGVAR(lt_prog_compiler_pic, $1)='-m68020 -resident32 -malways-restore-a4'
+        ;;
+      esac
+      ;;
+
+    beos* | irix5* | irix6* | nonstopux* | osf3* | osf4* | osf5*)
+      # PIC is the default for these OSes.
+      ;;
+    mingw* | cygwin* | os2* | pw32* | cegcc*)
+      # This hack is so that the source file can tell whether it is being
+      # built for inclusion in a dll (and should export symbols for example).
+      # Although the cygwin gcc ignores -fPIC, still need this for old-style
+      # (--disable-auto-import) libraries
+      m4_if([$1], [GCJ], [],
+	[_LT_TAGVAR(lt_prog_compiler_pic, $1)='-DDLL_EXPORT'])
+      ;;
+    darwin* | rhapsody*)
+      # PIC is the default on this platform
+      # Common symbols not allowed in MH_DYLIB files
+      _LT_TAGVAR(lt_prog_compiler_pic, $1)='-fno-common'
+      ;;
+    *djgpp*)
+      # DJGPP does not support shared libraries at all
+      _LT_TAGVAR(lt_prog_compiler_pic, $1)=
+      ;;
+    interix[[3-9]]*)
+      # Interix 3.x gcc -fpic/-fPIC options generate broken code.
+      # Instead, we relocate shared libraries at runtime.
+      ;;
+    sysv4*MP*)
+      if test -d /usr/nec; then
+	_LT_TAGVAR(lt_prog_compiler_pic, $1)=-Kconform_pic
+      fi
+      ;;
+    hpux*)
+      # PIC is the default for 64-bit PA HP-UX, but not for 32-bit
+      # PA HP-UX.  On IA64 HP-UX, PIC is the default but the pic flag
+      # sets the default TLS model and affects inlining.
+      case $host_cpu in
+      hppa*64*)
+	;;
+      *)
+	_LT_TAGVAR(lt_prog_compiler_pic, $1)='-fPIC'
+	;;
+      esac
+      ;;
+    *qnx* | *nto*)
+      # QNX uses GNU C++, but need to define -shared option too, otherwise
+      # it will coredump.
+      _LT_TAGVAR(lt_prog_compiler_pic, $1)='-fPIC -shared'
+      ;;
+    *)
+      _LT_TAGVAR(lt_prog_compiler_pic, $1)='-fPIC'
+      ;;
+    esac
+  else
+    case $host_os in
+      aix[[4-9]]*)
+	# All AIX code is PIC.
+	if test "$host_cpu" = ia64; then
+	  # AIX 5 now supports IA64 processor
+	  _LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+	else
+	  _LT_TAGVAR(lt_prog_compiler_static, $1)='-bnso -bI:/lib/syscalls.exp'
+	fi
+	;;
+      chorus*)
+	case $cc_basename in
+	cxch68*)
+	  # Green Hills C++ Compiler
+	  # _LT_TAGVAR(lt_prog_compiler_static, $1)="--no_auto_instantiation -u __main -u __premain -u _abort -r $COOL_DIR/lib/libOrb.a $MVME_DIR/lib/CC/libC.a $MVME_DIR/lib/classix/libcx.s.a"
+	  ;;
+	esac
+	;;
+      dgux*)
+	case $cc_basename in
+	  ec++*)
+	    _LT_TAGVAR(lt_prog_compiler_pic, $1)='-KPIC'
+	    ;;
+	  ghcx*)
+	    # Green Hills C++ Compiler
+	    _LT_TAGVAR(lt_prog_compiler_pic, $1)='-pic'
+	    ;;
+	  *)
+	    ;;
+	esac
+	;;
+      freebsd* | dragonfly*)
+	# FreeBSD uses GNU C++
+	;;
+      hpux9* | hpux10* | hpux11*)
+	case $cc_basename in
+	  CC*)
+	    _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+	    _LT_TAGVAR(lt_prog_compiler_static, $1)='${wl}-a ${wl}archive'
+	    if test "$host_cpu" != ia64; then
+	      _LT_TAGVAR(lt_prog_compiler_pic, $1)='+Z'
+	    fi
+	    ;;
+	  aCC*)
+	    _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+	    _LT_TAGVAR(lt_prog_compiler_static, $1)='${wl}-a ${wl}archive'
+	    case $host_cpu in
+	    hppa*64*|ia64*)
+	      # +Z the default
+	      ;;
+	    *)
+	      _LT_TAGVAR(lt_prog_compiler_pic, $1)='+Z'
+	      ;;
+	    esac
+	    ;;
+	  *)
+	    ;;
+	esac
+	;;
+      interix*)
+	# This is c89, which is MS Visual C++ (no shared libs)
+	# Anyone wants to do a port?
+	;;
+      irix5* | irix6* | nonstopux*)
+	case $cc_basename in
+	  CC*)
+	    _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+	    _LT_TAGVAR(lt_prog_compiler_static, $1)='-non_shared'
+	    # CC pic flag -KPIC is the default.
+	    ;;
+	  *)
+	    ;;
+	esac
+	;;
+      linux* | k*bsd*-gnu)
+	case $cc_basename in
+	  KCC*)
+	    # KAI C++ Compiler
+	    _LT_TAGVAR(lt_prog_compiler_wl, $1)='--backend -Wl,'
+	    _LT_TAGVAR(lt_prog_compiler_pic, $1)='-fPIC'
+	    ;;
+	  ecpc* )
+	    # old Intel C++ for x86_64 which still supported -KPIC.
+	    _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+	    _LT_TAGVAR(lt_prog_compiler_pic, $1)='-KPIC'
+	    _LT_TAGVAR(lt_prog_compiler_static, $1)='-static'
+	    ;;
+	  icpc* )
+	    # Intel C++, used to be incompatible with GCC.
+	    # ICC 10 doesn't accept -KPIC any more.
+	    _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+	    _LT_TAGVAR(lt_prog_compiler_pic, $1)='-fPIC'
+	    _LT_TAGVAR(lt_prog_compiler_static, $1)='-static'
+	    ;;
+	  pgCC* | pgcpp*)
+	    # Portland Group C++ compiler
+	    _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+	    _LT_TAGVAR(lt_prog_compiler_pic, $1)='-fpic'
+	    _LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+	    ;;
+	  cxx*)
+	    # Compaq C++
+	    # Make sure the PIC flag is empty.  It appears that all Alpha
+	    # Linux and Compaq Tru64 Unix objects are PIC.
+	    _LT_TAGVAR(lt_prog_compiler_pic, $1)=
+	    _LT_TAGVAR(lt_prog_compiler_static, $1)='-non_shared'
+	    ;;
+	  xlc* | xlC*)
+	    # IBM XL 8.0 on PPC
+	    _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+	    _LT_TAGVAR(lt_prog_compiler_pic, $1)='-qpic'
+	    _LT_TAGVAR(lt_prog_compiler_static, $1)='-qstaticlink'
+	    ;;
+	  *)
+	    case `$CC -V 2>&1 | sed 5q` in
+	    *Sun\ C*)
+	      # Sun C++ 5.9
+	      _LT_TAGVAR(lt_prog_compiler_pic, $1)='-KPIC'
+	      _LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+	      _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Qoption ld '
+	      ;;
+	    esac
+	    ;;
+	esac
+	;;
+      lynxos*)
+	;;
+      m88k*)
+	;;
+      mvs*)
+	case $cc_basename in
+	  cxx*)
+	    _LT_TAGVAR(lt_prog_compiler_pic, $1)='-W c,exportall'
+	    ;;
+	  *)
+	    ;;
+	esac
+	;;
+      netbsd*)
+	;;
+      *qnx* | *nto*)
+        # QNX uses GNU C++, but need to define -shared option too, otherwise
+        # it will coredump.
+        _LT_TAGVAR(lt_prog_compiler_pic, $1)='-fPIC -shared'
+        ;;
+      osf3* | osf4* | osf5*)
+	case $cc_basename in
+	  KCC*)
+	    _LT_TAGVAR(lt_prog_compiler_wl, $1)='--backend -Wl,'
+	    ;;
+	  RCC*)
+	    # Rational C++ 2.4.1
+	    _LT_TAGVAR(lt_prog_compiler_pic, $1)='-pic'
+	    ;;
+	  cxx*)
+	    # Digital/Compaq C++
+	    _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+	    # Make sure the PIC flag is empty.  It appears that all Alpha
+	    # Linux and Compaq Tru64 Unix objects are PIC.
+	    _LT_TAGVAR(lt_prog_compiler_pic, $1)=
+	    _LT_TAGVAR(lt_prog_compiler_static, $1)='-non_shared'
+	    ;;
+	  *)
+	    ;;
+	esac
+	;;
+      psos*)
+	;;
+      solaris*)
+	case $cc_basename in
+	  CC*)
+	    # Sun C++ 4.2, 5.x and Centerline C++
+	    _LT_TAGVAR(lt_prog_compiler_pic, $1)='-KPIC'
+	    _LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+	    _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Qoption ld '
+	    ;;
+	  gcx*)
+	    # Green Hills C++ Compiler
+	    _LT_TAGVAR(lt_prog_compiler_pic, $1)='-PIC'
+	    ;;
+	  *)
+	    ;;
+	esac
+	;;
+      sunos4*)
+	case $cc_basename in
+	  CC*)
+	    # Sun C++ 4.x
+	    _LT_TAGVAR(lt_prog_compiler_pic, $1)='-pic'
+	    _LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+	    ;;
+	  lcc*)
+	    # Lucid
+	    _LT_TAGVAR(lt_prog_compiler_pic, $1)='-pic'
+	    ;;
+	  *)
+	    ;;
+	esac
+	;;
+      sysv5* | unixware* | sco3.2v5* | sco5v6* | OpenUNIX*)
+	case $cc_basename in
+	  CC*)
+	    _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+	    _LT_TAGVAR(lt_prog_compiler_pic, $1)='-KPIC'
+	    _LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+	    ;;
+	esac
+	;;
+      tandem*)
+	case $cc_basename in
+	  NCC*)
+	    # NonStop-UX NCC 3.20
+	    _LT_TAGVAR(lt_prog_compiler_pic, $1)='-KPIC'
+	    ;;
+	  *)
+	    ;;
+	esac
+	;;
+      vxworks*)
+	;;
+      *)
+	_LT_TAGVAR(lt_prog_compiler_can_build_shared, $1)=no
+	;;
+    esac
+  fi
+],
+[
+  if test "$GCC" = yes; then
+    _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+    _LT_TAGVAR(lt_prog_compiler_static, $1)='-static'
+
+    case $host_os in
+      aix*)
+      # All AIX code is PIC.
+      if test "$host_cpu" = ia64; then
+	# AIX 5 now supports IA64 processor
+	_LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+      fi
+      ;;
+
+    amigaos*)
+      case $host_cpu in
+      powerpc)
+            # see comment about AmigaOS4 .so support
+            _LT_TAGVAR(lt_prog_compiler_pic, $1)='-fPIC'
+        ;;
+      m68k)
+            # FIXME: we need at least 68020 code to build shared libraries, but
+            # adding the `-m68020' flag to GCC prevents building anything better,
+            # like `-m68040'.
+            _LT_TAGVAR(lt_prog_compiler_pic, $1)='-m68020 -resident32 -malways-restore-a4'
+        ;;
+      esac
+      ;;
+
+    beos* | irix5* | irix6* | nonstopux* | osf3* | osf4* | osf5*)
+      # PIC is the default for these OSes.
+      ;;
+
+    mingw* | cygwin* | pw32* | os2* | cegcc*)
+      # This hack is so that the source file can tell whether it is being
+      # built for inclusion in a dll (and should export symbols for example).
+      # Although the cygwin gcc ignores -fPIC, still need this for old-style
+      # (--disable-auto-import) libraries
+      m4_if([$1], [GCJ], [],
+	[_LT_TAGVAR(lt_prog_compiler_pic, $1)='-DDLL_EXPORT'])
+      ;;
+
+    darwin* | rhapsody*)
+      # PIC is the default on this platform
+      # Common symbols not allowed in MH_DYLIB files
+      _LT_TAGVAR(lt_prog_compiler_pic, $1)='-fno-common'
+      ;;
+
+    hpux*)
+      # PIC is the default for 64-bit PA HP-UX, but not for 32-bit
+      # PA HP-UX.  On IA64 HP-UX, PIC is the default but the pic flag
+      # sets the default TLS model and affects inlining.
+      case $host_cpu in
+      hppa*64*)
+	# +Z the default
+	;;
+      *)
+	_LT_TAGVAR(lt_prog_compiler_pic, $1)='-fPIC'
+	;;
+      esac
+      ;;
+
+    interix[[3-9]]*)
+      # Interix 3.x gcc -fpic/-fPIC options generate broken code.
+      # Instead, we relocate shared libraries at runtime.
+      ;;
+
+    msdosdjgpp*)
+      # Just because we use GCC doesn't mean we suddenly get shared libraries
+      # on systems that don't support them.
+      _LT_TAGVAR(lt_prog_compiler_can_build_shared, $1)=no
+      enable_shared=no
+      ;;
+
+    *nto* | *qnx*)
+      # QNX uses GNU C++, but need to define -shared option too, otherwise
+      # it will coredump.
+      _LT_TAGVAR(lt_prog_compiler_pic, $1)='-fPIC -shared'
+      ;;
+
+    sysv4*MP*)
+      if test -d /usr/nec; then
+	_LT_TAGVAR(lt_prog_compiler_pic, $1)=-Kconform_pic
+      fi
+      ;;
+
+    *)
+      _LT_TAGVAR(lt_prog_compiler_pic, $1)='-fPIC'
+      ;;
+    esac
+  else
+    # PORTME Check for flag to pass linker flags through the system compiler.
+    case $host_os in
+    aix*)
+      _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+      if test "$host_cpu" = ia64; then
+	# AIX 5 now supports IA64 processor
+	_LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+      else
+	_LT_TAGVAR(lt_prog_compiler_static, $1)='-bnso -bI:/lib/syscalls.exp'
+      fi
+      ;;
+
+    mingw* | cygwin* | pw32* | os2* | cegcc*)
+      # This hack is so that the source file can tell whether it is being
+      # built for inclusion in a dll (and should export symbols for example).
+      m4_if([$1], [GCJ], [],
+	[_LT_TAGVAR(lt_prog_compiler_pic, $1)='-DDLL_EXPORT'])
+      ;;
+
+    hpux9* | hpux10* | hpux11*)
+      _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+      # PIC is the default for IA64 HP-UX and 64-bit HP-UX, but
+      # not for PA HP-UX.
+      case $host_cpu in
+      hppa*64*|ia64*)
+	# +Z the default
+	;;
+      *)
+	_LT_TAGVAR(lt_prog_compiler_pic, $1)='+Z'
+	;;
+      esac
+      # Is there a better lt_prog_compiler_static that works with the bundled CC?
+      _LT_TAGVAR(lt_prog_compiler_static, $1)='${wl}-a ${wl}archive'
+      ;;
+
+    irix5* | irix6* | nonstopux*)
+      _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+      # PIC (with -KPIC) is the default.
+      _LT_TAGVAR(lt_prog_compiler_static, $1)='-non_shared'
+      ;;
+
+    linux* | k*bsd*-gnu)
+      case $cc_basename in
+      # old Intel for x86_64 which still supported -KPIC.
+      ecc*)
+	_LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+	_LT_TAGVAR(lt_prog_compiler_pic, $1)='-KPIC'
+	_LT_TAGVAR(lt_prog_compiler_static, $1)='-static'
+        ;;
+      # icc used to be incompatible with GCC.
+      # ICC 10 doesn't accept -KPIC any more.
+      icc* | ifort*)
+	_LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+	_LT_TAGVAR(lt_prog_compiler_pic, $1)='-fPIC'
+	_LT_TAGVAR(lt_prog_compiler_static, $1)='-static'
+        ;;
+      # Lahey Fortran 8.1.
+      lf95*)
+	_LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+	_LT_TAGVAR(lt_prog_compiler_pic, $1)='--shared'
+	_LT_TAGVAR(lt_prog_compiler_static, $1)='--static'
+	;;
+      pgcc* | pgf77* | pgf90* | pgf95*)
+        # Portland Group compilers (*not* the Pentium gcc compiler,
+	# which looks to be a dead project)
+	_LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+	_LT_TAGVAR(lt_prog_compiler_pic, $1)='-fpic'
+	_LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+        ;;
+      ccc*)
+        _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+        # All Alpha code is PIC.
+        _LT_TAGVAR(lt_prog_compiler_static, $1)='-non_shared'
+        ;;
+      xl*)
+	# IBM XL C 8.0/Fortran 10.1 on PPC
+	_LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+	_LT_TAGVAR(lt_prog_compiler_pic, $1)='-qpic'
+	_LT_TAGVAR(lt_prog_compiler_static, $1)='-qstaticlink'
+	;;
+      *)
+	case `$CC -V 2>&1 | sed 5q` in
+	*Sun\ C*)
+	  # Sun C 5.9
+	  _LT_TAGVAR(lt_prog_compiler_pic, $1)='-KPIC'
+	  _LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+	  _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+	  ;;
+	*Sun\ F*)
+	  # Sun Fortran 8.3 passes all unrecognized flags to the linker
+	  _LT_TAGVAR(lt_prog_compiler_pic, $1)='-KPIC'
+	  _LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+	  _LT_TAGVAR(lt_prog_compiler_wl, $1)=''
+	  ;;
+	esac
+	;;
+      esac
+      ;;
+
+    newsos6)
+      _LT_TAGVAR(lt_prog_compiler_pic, $1)='-KPIC'
+      _LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+      ;;
+
+    *nto* | *qnx*)
+      # QNX uses GNU C++, but need to define -shared option too, otherwise
+      # it will coredump.
+      _LT_TAGVAR(lt_prog_compiler_pic, $1)='-fPIC -shared'
+      ;;
+
+    osf3* | osf4* | osf5*)
+      _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+      # All OSF/1 code is PIC.
+      _LT_TAGVAR(lt_prog_compiler_static, $1)='-non_shared'
+      ;;
+
+    rdos*)
+      _LT_TAGVAR(lt_prog_compiler_static, $1)='-non_shared'
+      ;;
+
+    solaris*)
+      _LT_TAGVAR(lt_prog_compiler_pic, $1)='-KPIC'
+      _LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+      case $cc_basename in
+      f77* | f90* | f95*)
+	_LT_TAGVAR(lt_prog_compiler_wl, $1)='-Qoption ld ';;
+      *)
+	_LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,';;
+      esac
+      ;;
+
+    sunos4*)
+      _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Qoption ld '
+      _LT_TAGVAR(lt_prog_compiler_pic, $1)='-PIC'
+      _LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+      ;;
+
+    sysv4 | sysv4.2uw2* | sysv4.3*)
+      _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+      _LT_TAGVAR(lt_prog_compiler_pic, $1)='-KPIC'
+      _LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+      ;;
+
+    sysv4*MP*)
+      if test -d /usr/nec ;then
+	_LT_TAGVAR(lt_prog_compiler_pic, $1)='-Kconform_pic'
+	_LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+      fi
+      ;;
+
+    sysv5* | unixware* | sco3.2v5* | sco5v6* | OpenUNIX*)
+      _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+      _LT_TAGVAR(lt_prog_compiler_pic, $1)='-KPIC'
+      _LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+      ;;
+
+    unicos*)
+      _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+      _LT_TAGVAR(lt_prog_compiler_can_build_shared, $1)=no
+      ;;
+
+    uts4*)
+      _LT_TAGVAR(lt_prog_compiler_pic, $1)='-pic'
+      _LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+      ;;
+
+    *)
+      _LT_TAGVAR(lt_prog_compiler_can_build_shared, $1)=no
+      ;;
+    esac
+  fi
+])
+case $host_os in
+  # For platforms which do not support PIC, -DPIC is meaningless:
+  *djgpp*)
+    _LT_TAGVAR(lt_prog_compiler_pic, $1)=
+    ;;
+  *)
+    _LT_TAGVAR(lt_prog_compiler_pic, $1)="$_LT_TAGVAR(lt_prog_compiler_pic, $1)@&t at m4_if([$1],[],[ -DPIC],[m4_if([$1],[CXX],[ -DPIC],[])])"
+    ;;
+esac
+AC_MSG_RESULT([$_LT_TAGVAR(lt_prog_compiler_pic, $1)])
+_LT_TAGDECL([wl], [lt_prog_compiler_wl], [1],
+	[How to pass a linker flag through the compiler])
+
+#
+# Check to make sure the PIC flag actually works.
+#
+if test -n "$_LT_TAGVAR(lt_prog_compiler_pic, $1)"; then
+  _LT_COMPILER_OPTION([if $compiler PIC flag $_LT_TAGVAR(lt_prog_compiler_pic, $1) works],
+    [_LT_TAGVAR(lt_cv_prog_compiler_pic_works, $1)],
+    [$_LT_TAGVAR(lt_prog_compiler_pic, $1)@&t at m4_if([$1],[],[ -DPIC],[m4_if([$1],[CXX],[ -DPIC],[])])], [],
+    [case $_LT_TAGVAR(lt_prog_compiler_pic, $1) in
+     "" | " "*) ;;
+     *) _LT_TAGVAR(lt_prog_compiler_pic, $1)=" $_LT_TAGVAR(lt_prog_compiler_pic, $1)" ;;
+     esac],
+    [_LT_TAGVAR(lt_prog_compiler_pic, $1)=
+     _LT_TAGVAR(lt_prog_compiler_can_build_shared, $1)=no])
+fi
+_LT_TAGDECL([pic_flag], [lt_prog_compiler_pic], [1],
+	[Additional compiler flags for building library objects])
+
+#
+# Check to make sure the static flag actually works.
+#
+wl=$_LT_TAGVAR(lt_prog_compiler_wl, $1) eval lt_tmp_static_flag=\"$_LT_TAGVAR(lt_prog_compiler_static, $1)\"
+_LT_LINKER_OPTION([if $compiler static flag $lt_tmp_static_flag works],
+  _LT_TAGVAR(lt_cv_prog_compiler_static_works, $1),
+  $lt_tmp_static_flag,
+  [],
+  [_LT_TAGVAR(lt_prog_compiler_static, $1)=])
+_LT_TAGDECL([link_static_flag], [lt_prog_compiler_static], [1],
+	[Compiler flag to prevent dynamic linking])
+])# _LT_COMPILER_PIC
+
+
+# _LT_LINKER_SHLIBS([TAGNAME])
+# ----------------------------
+# See if the linker supports building shared libraries.
+m4_defun([_LT_LINKER_SHLIBS],
+[AC_REQUIRE([LT_PATH_LD])dnl
+AC_REQUIRE([LT_PATH_NM])dnl
+m4_require([_LT_FILEUTILS_DEFAULTS])dnl
+m4_require([_LT_DECL_EGREP])dnl
+m4_require([_LT_DECL_SED])dnl
+m4_require([_LT_CMD_GLOBAL_SYMBOLS])dnl
+m4_require([_LT_TAG_COMPILER])dnl
+AC_MSG_CHECKING([whether the $compiler linker ($LD) supports shared libraries])
+m4_if([$1], [CXX], [
+  _LT_TAGVAR(export_symbols_cmds, $1)='$NM $libobjs $convenience | $global_symbol_pipe | $SED '\''s/.* //'\'' | sort | uniq > $export_symbols'
+  case $host_os in
+  aix[[4-9]]*)
+    # If we're using GNU nm, then we don't want the "-C" option.
+    # -C means demangle to AIX nm, but means don't demangle with GNU nm
+    if $NM -V 2>&1 | $GREP 'GNU' > /dev/null; then
+      _LT_TAGVAR(export_symbols_cmds, $1)='$NM -Bpg $libobjs $convenience | awk '\''{ if (((\$ 2 == "T") || (\$ 2 == "D") || (\$ 2 == "B")) && ([substr](\$ 3,1,1) != ".")) { print \$ 3 } }'\'' | sort -u > $export_symbols'
+    else
+      _LT_TAGVAR(export_symbols_cmds, $1)='$NM -BCpg $libobjs $convenience | awk '\''{ if (((\$ 2 == "T") || (\$ 2 == "D") || (\$ 2 == "B")) && ([substr](\$ 3,1,1) != ".")) { print \$ 3 } }'\'' | sort -u > $export_symbols'
+    fi
+    ;;
+  pw32*)
+    _LT_TAGVAR(export_symbols_cmds, $1)="$ltdll_cmds"
+  ;;
+  cygwin* | mingw* | cegcc*)
+    _LT_TAGVAR(export_symbols_cmds, $1)='$NM $libobjs $convenience | $global_symbol_pipe | $SED -e '\''/^[[BCDGRS]][[ ]]/s/.*[[ ]]\([[^ ]]*\)/\1 DATA/;/^.*[[ ]]__nm__/s/^.*[[ ]]__nm__\([[^ ]]*\)[[ ]][[^ ]]*/\1 DATA/;/^I[[ ]]/d;/^[[AITW]][[ ]]/s/.* //'\'' | sort | uniq > $export_symbols'
+  ;;
+  *)
+    _LT_TAGVAR(export_symbols_cmds, $1)='$NM $libobjs $convenience | $global_symbol_pipe | $SED '\''s/.* //'\'' | sort | uniq > $export_symbols'
+  ;;
+  esac
+  _LT_TAGVAR(exclude_expsyms, $1)=['_GLOBAL_OFFSET_TABLE_|_GLOBAL__F[ID]_.*']
+], [
+  runpath_var=
+  _LT_TAGVAR(allow_undefined_flag, $1)=
+  _LT_TAGVAR(always_export_symbols, $1)=no
+  _LT_TAGVAR(archive_cmds, $1)=
+  _LT_TAGVAR(archive_expsym_cmds, $1)=
+  _LT_TAGVAR(compiler_needs_object, $1)=no
+  _LT_TAGVAR(enable_shared_with_static_runtimes, $1)=no
+  _LT_TAGVAR(export_dynamic_flag_spec, $1)=
+  _LT_TAGVAR(export_symbols_cmds, $1)='$NM $libobjs $convenience | $global_symbol_pipe | $SED '\''s/.* //'\'' | sort | uniq > $export_symbols'
+  _LT_TAGVAR(hardcode_automatic, $1)=no
+  _LT_TAGVAR(hardcode_direct, $1)=no
+  _LT_TAGVAR(hardcode_direct_absolute, $1)=no
+  _LT_TAGVAR(hardcode_libdir_flag_spec, $1)=
+  _LT_TAGVAR(hardcode_libdir_flag_spec_ld, $1)=
+  _LT_TAGVAR(hardcode_libdir_separator, $1)=
+  _LT_TAGVAR(hardcode_minus_L, $1)=no
+  _LT_TAGVAR(hardcode_shlibpath_var, $1)=unsupported
+  _LT_TAGVAR(inherit_rpath, $1)=no
+  _LT_TAGVAR(link_all_deplibs, $1)=unknown
+  _LT_TAGVAR(module_cmds, $1)=
+  _LT_TAGVAR(module_expsym_cmds, $1)=
+  _LT_TAGVAR(old_archive_from_new_cmds, $1)=
+  _LT_TAGVAR(old_archive_from_expsyms_cmds, $1)=
+  _LT_TAGVAR(thread_safe_flag_spec, $1)=
+  _LT_TAGVAR(whole_archive_flag_spec, $1)=
+  # include_expsyms should be a list of space-separated symbols to be *always*
+  # included in the symbol list
+  _LT_TAGVAR(include_expsyms, $1)=
+  # exclude_expsyms can be an extended regexp of symbols to exclude
+  # it will be wrapped by ` (' and `)$', so one must not match beginning or
+  # end of line.  Example: `a|bc|.*d.*' will exclude the symbols `a' and `bc',
+  # as well as any symbol that contains `d'.
+  _LT_TAGVAR(exclude_expsyms, $1)=['_GLOBAL_OFFSET_TABLE_|_GLOBAL__F[ID]_.*']
+  # Although _GLOBAL_OFFSET_TABLE_ is a valid symbol C name, most a.out
+  # platforms (ab)use it in PIC code, but their linkers get confused if
+  # the symbol is explicitly referenced.  Since portable code cannot
+  # rely on this symbol name, it's probably fine to never include it in
+  # preloaded symbol tables.
+  # Exclude shared library initialization/finalization symbols.
+dnl Note also adjust exclude_expsyms for C++ above.
+  extract_expsyms_cmds=
+
+  case $host_os in
+  cygwin* | mingw* | pw32* | cegcc*)
+    # FIXME: the MSVC++ port hasn't been tested in a loooong time
+    # When not using gcc, we currently assume that we are using
+    # Microsoft Visual C++.
+    if test "$GCC" != yes; then
+      with_gnu_ld=no
+    fi
+    ;;
+  interix*)
+    # we just hope/assume this is gcc and not c89 (= MSVC++)
+    with_gnu_ld=yes
+    ;;
+  openbsd*)
+    with_gnu_ld=no
+    ;;
+  esac
+
+  _LT_TAGVAR(ld_shlibs, $1)=yes
+  if test "$with_gnu_ld" = yes; then
+    # If archive_cmds runs LD, not CC, wlarc should be empty
+    wlarc='${wl}'
+
+    # Set some defaults for GNU ld with shared library support. These
+    # are reset later if shared libraries are not supported. Putting them
+    # here allows them to be overridden if necessary.
+    runpath_var=LD_RUN_PATH
+    _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath ${wl}$libdir'
+    _LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}--export-dynamic'
+    # ancient GNU ld didn't support --whole-archive et. al.
+    if $LD --help 2>&1 | $GREP 'no-whole-archive' > /dev/null; then
+      _LT_TAGVAR(whole_archive_flag_spec, $1)="$wlarc"'--whole-archive$convenience '"$wlarc"'--no-whole-archive'
+    else
+      _LT_TAGVAR(whole_archive_flag_spec, $1)=
+    fi
+    supports_anon_versioning=no
+    case `$LD -v 2>&1` in
+      *\ [[01]].* | *\ 2.[[0-9]].* | *\ 2.10.*) ;; # catch versions < 2.11
+      *\ 2.11.93.0.2\ *) supports_anon_versioning=yes ;; # RH7.3 ...
+      *\ 2.11.92.0.12\ *) supports_anon_versioning=yes ;; # Mandrake 8.2 ...
+      *\ 2.11.*) ;; # other 2.11 versions
+      *) supports_anon_versioning=yes ;;
+    esac
+
+    # See if GNU ld supports shared libraries.
+    case $host_os in
+    aix[[3-9]]*)
+      # On AIX/PPC, the GNU linker is very broken
+      if test "$host_cpu" != ia64; then
+	_LT_TAGVAR(ld_shlibs, $1)=no
+	cat <<_LT_EOF 1>&2
+
+*** Warning: the GNU linker, at least up to release 2.9.1, is reported
+*** to be unable to reliably create shared libraries on AIX.
+*** Therefore, libtool is disabling shared libraries support.  If you
+*** really care for shared libraries, you may want to modify your PATH
+*** so that a non-GNU linker is found, and then restart.
+
+_LT_EOF
+      fi
+      ;;
+
+    amigaos*)
+      case $host_cpu in
+      powerpc)
+            # see comment about AmigaOS4 .so support
+            _LT_TAGVAR(archive_cmds, $1)='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+            _LT_TAGVAR(archive_expsym_cmds, $1)=''
+        ;;
+      m68k)
+            _LT_TAGVAR(archive_cmds, $1)='$RM $output_objdir/a2ixlibrary.data~$ECHO "#define NAME $libname" > $output_objdir/a2ixlibrary.data~$ECHO "#define LIBRARY_ID 1" >> $output_objdir/a2ixlibrary.data~$ECHO "#define VERSION $major" >> $output_objdir/a2ixlibrary.data~$ECHO "#define REVISION $revision" >> $output_objdir/a2ixlibrary.data~$AR $AR_FLAGS $lib $libobjs~$RANLIB $lib~(cd $output_objdir && a2ixlibrary -32)'
+            _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-L$libdir'
+            _LT_TAGVAR(hardcode_minus_L, $1)=yes
+        ;;
+      esac
+      ;;
+
+    beos*)
+      if $LD --help 2>&1 | $GREP ': supported targets:.* elf' > /dev/null; then
+	_LT_TAGVAR(allow_undefined_flag, $1)=unsupported
+	# Joseph Beckenbach <jrb3 at best.com> says some releases of gcc
+	# support --undefined.  This deserves some investigation.  FIXME
+	_LT_TAGVAR(archive_cmds, $1)='$CC -nostart $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+      else
+	_LT_TAGVAR(ld_shlibs, $1)=no
+      fi
+      ;;
+
+    cygwin* | mingw* | pw32* | cegcc*)
+      # _LT_TAGVAR(hardcode_libdir_flag_spec, $1) is actually meaningless,
+      # as there is no search path for DLLs.
+      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-L$libdir'
+      _LT_TAGVAR(allow_undefined_flag, $1)=unsupported
+      _LT_TAGVAR(always_export_symbols, $1)=no
+      _LT_TAGVAR(enable_shared_with_static_runtimes, $1)=yes
+      _LT_TAGVAR(export_symbols_cmds, $1)='$NM $libobjs $convenience | $global_symbol_pipe | $SED -e '\''/^[[BCDGRS]][[ ]]/s/.*[[ ]]\([[^ ]]*\)/\1 DATA/'\'' | $SED -e '\''/^[[AITW]][[ ]]/s/.*[[ ]]//'\'' | sort | uniq > $export_symbols'
+
+      if $LD --help 2>&1 | $GREP 'auto-import' > /dev/null; then
+        _LT_TAGVAR(archive_cmds, $1)='$CC -shared $libobjs $deplibs $compiler_flags -o $output_objdir/$soname ${wl}--enable-auto-image-base -Xlinker --out-implib -Xlinker $lib'
+	# If the export-symbols file already is a .def file (1st line
+	# is EXPORTS), use it as is; otherwise, prepend...
+	_LT_TAGVAR(archive_expsym_cmds, $1)='if test "x`$SED 1q $export_symbols`" = xEXPORTS; then
+	  cp $export_symbols $output_objdir/$soname.def;
+	else
+	  echo EXPORTS > $output_objdir/$soname.def;
+	  cat $export_symbols >> $output_objdir/$soname.def;
+	fi~
+	$CC -shared $output_objdir/$soname.def $libobjs $deplibs $compiler_flags -o $output_objdir/$soname ${wl}--enable-auto-image-base -Xlinker --out-implib -Xlinker $lib'
+      else
+	_LT_TAGVAR(ld_shlibs, $1)=no
+      fi
+      ;;
+
+    interix[[3-9]]*)
+      _LT_TAGVAR(hardcode_direct, $1)=no
+      _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath,$libdir'
+      _LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}-E'
+      # Hack: On Interix 3.x, we cannot compile PIC because of a broken gcc.
+      # Instead, shared libraries are loaded at an image base (0x10000000 by
+      # default) and relocated if they conflict, which is a slow very memory
+      # consuming and fragmenting process.  To avoid this, we pick a random,
+      # 256 KiB-aligned image base between 0x50000000 and 0x6FFC0000 at link
+      # time.  Moving up from 0x10000000 also allows more sbrk(2) space.
+      _LT_TAGVAR(archive_cmds, $1)='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-h,$soname ${wl}--image-base,`expr ${RANDOM-$$} % 4096 / 2 \* 262144 + 1342177280` -o $lib'
+      _LT_TAGVAR(archive_expsym_cmds, $1)='sed "s,^,_," $export_symbols >$output_objdir/$soname.expsym~$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-h,$soname ${wl}--retain-symbols-file,$output_objdir/$soname.expsym ${wl}--image-base,`expr ${RANDOM-$$} % 4096 / 2 \* 262144 + 1342177280` -o $lib'
+      ;;
+
+    gnu* | linux* | tpf* | k*bsd*-gnu)
+      tmp_diet=no
+      if test "$host_os" = linux-dietlibc; then
+	case $cc_basename in
+	  diet\ *) tmp_diet=yes;;	# linux-dietlibc with static linking (!diet-dyn)
+	esac
+      fi
+      if $LD --help 2>&1 | $EGREP ': supported targets:.* elf' > /dev/null \
+	 && test "$tmp_diet" = no
+      then
+	tmp_addflag=
+	tmp_sharedflag='-shared'
+	case $cc_basename,$host_cpu in
+        pgcc*)				# Portland Group C compiler
+	  _LT_TAGVAR(whole_archive_flag_spec, $1)='${wl}--whole-archive`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience,$conv\"; done; $ECHO \"$new_convenience\"` ${wl}--no-whole-archive'
+	  tmp_addflag=' $pic_flag'
+	  ;;
+	pgf77* | pgf90* | pgf95*)	# Portland Group f77 and f90 compilers
+	  _LT_TAGVAR(whole_archive_flag_spec, $1)='${wl}--whole-archive`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience,$conv\"; done; $ECHO \"$new_convenience\"` ${wl}--no-whole-archive'
+	  tmp_addflag=' $pic_flag -Mnomain' ;;
+	ecc*,ia64* | icc*,ia64*)	# Intel C compiler on ia64
+	  tmp_addflag=' -i_dynamic' ;;
+	efc*,ia64* | ifort*,ia64*)	# Intel Fortran compiler on ia64
+	  tmp_addflag=' -i_dynamic -nofor_main' ;;
+	ifc* | ifort*)			# Intel Fortran compiler
+	  tmp_addflag=' -nofor_main' ;;
+	lf95*)				# Lahey Fortran 8.1
+	  _LT_TAGVAR(whole_archive_flag_spec, $1)=
+	  tmp_sharedflag='--shared' ;;
+	xl[[cC]]*)			# IBM XL C 8.0 on PPC (deal with xlf below)
+	  tmp_sharedflag='-qmkshrobj'
+	  tmp_addflag= ;;
+	esac
+	case `$CC -V 2>&1 | sed 5q` in
+	*Sun\ C*)			# Sun C 5.9
+	  _LT_TAGVAR(whole_archive_flag_spec, $1)='${wl}--whole-archive`new_convenience=; for conv in $convenience\"\"; do test -z \"$conv\" || new_convenience=\"$new_convenience,$conv\"; done; $ECHO \"$new_convenience\"` ${wl}--no-whole-archive'
+	  _LT_TAGVAR(compiler_needs_object, $1)=yes
+	  tmp_sharedflag='-G' ;;
+	*Sun\ F*)			# Sun Fortran 8.3
+	  tmp_sharedflag='-G' ;;
+	esac
+	_LT_TAGVAR(archive_cmds, $1)='$CC '"$tmp_sharedflag""$tmp_addflag"' $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+
+        if test "x$supports_anon_versioning" = xyes; then
+          _LT_TAGVAR(archive_expsym_cmds, $1)='echo "{ global:" > $output_objdir/$libname.ver~
+	    cat $export_symbols | sed -e "s/\(.*\)/\1;/" >> $output_objdir/$libname.ver~
+	    echo "local: *; };" >> $output_objdir/$libname.ver~
+	    $CC '"$tmp_sharedflag""$tmp_addflag"' $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname ${wl}-version-script ${wl}$output_objdir/$libname.ver -o $lib'
+        fi
+
+	case $cc_basename in
+	xlf*)
+	  # IBM XL Fortran 10.1 on PPC cannot create shared libs itself
+	  _LT_TAGVAR(whole_archive_flag_spec, $1)='--whole-archive$convenience --no-whole-archive'
+	  _LT_TAGVAR(hardcode_libdir_flag_spec, $1)=
+	  _LT_TAGVAR(hardcode_libdir_flag_spec_ld, $1)='-rpath $libdir'
+	  _LT_TAGVAR(archive_cmds, $1)='$LD -shared $libobjs $deplibs $compiler_flags -soname $soname -o $lib'
+	  if test "x$supports_anon_versioning" = xyes; then
+	    _LT_TAGVAR(archive_expsym_cmds, $1)='echo "{ global:" > $output_objdir/$libname.ver~
+	      cat $export_symbols | sed -e "s/\(.*\)/\1;/" >> $output_objdir/$libname.ver~
+	      echo "local: *; };" >> $output_objdir/$libname.ver~
+	      $LD -shared $libobjs $deplibs $compiler_flags -soname $soname -version-script $output_objdir/$libname.ver -o $lib'
+	  fi
+	  ;;
+	esac
+      else
+        _LT_TAGVAR(ld_shlibs, $1)=no
+      fi
+      ;;
+
+    netbsd*)
+      if echo __ELF__ | $CC -E - | $GREP __ELF__ >/dev/null; then
+	_LT_TAGVAR(archive_cmds, $1)='$LD -Bshareable $libobjs $deplibs $linker_flags -o $lib'
+	wlarc=
+      else
+	_LT_TAGVAR(archive_cmds, $1)='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+	_LT_TAGVAR(archive_expsym_cmds, $1)='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname ${wl}-retain-symbols-file $wl$export_symbols -o $lib'
+      fi
+      ;;
+
+    solaris*)
+      if $LD -v 2>&1 | $GREP 'BFD 2\.8' > /dev/null; then
+	_LT_TAGVAR(ld_shlibs, $1)=no
+	cat <<_LT_EOF 1>&2
+
+*** Warning: The releases 2.8.* of the GNU linker cannot reliably
+*** create shared libraries on Solaris systems.  Therefore, libtool
+*** is disabling shared libraries support.  We urge you to upgrade GNU
+*** binutils to release 2.9.1 or newer.  Another option is to modify
+*** your PATH or compiler configuration so that the native linker is
+*** used, and then restart.
+
+_LT_EOF
+      elif $LD --help 2>&1 | $GREP ': supported targets:.* elf' > /dev/null; then
+	_LT_TAGVAR(archive_cmds, $1)='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+	_LT_TAGVAR(archive_expsym_cmds, $1)='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname ${wl}-retain-symbols-file $wl$export_symbols -o $lib'
+      else
+	_LT_TAGVAR(ld_shlibs, $1)=no
+      fi
+      ;;
+
+    sysv5* | sco3.2v5* | sco5v6* | unixware* | OpenUNIX*)
+      case `$LD -v 2>&1` in
+        *\ [[01]].* | *\ 2.[[0-9]].* | *\ 2.1[[0-5]].*)
+	_LT_TAGVAR(ld_shlibs, $1)=no
+	cat <<_LT_EOF 1>&2
+
+*** Warning: Releases of the GNU linker prior to 2.16.91.0.3 can not
+*** reliably create shared libraries on SCO systems.  Therefore, libtool
+*** is disabling shared libraries support.  We urge you to upgrade GNU
+*** binutils to release 2.16.91.0.3 or newer.  Another option is to modify
+*** your PATH or compiler configuration so that the native linker is
+*** used, and then restart.
+
+_LT_EOF
+	;;
+	*)
+	  # For security reasons, it is highly recommended that you always
+	  # use absolute paths for naming shared libraries, and exclude the
+	  # DT_RUNPATH tag from executables and libraries.  But doing so
+	  # requires that you compile everything twice, which is a pain.
+	  if $LD --help 2>&1 | $GREP ': supported targets:.* elf' > /dev/null; then
+	    _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath ${wl}$libdir'
+	    _LT_TAGVAR(archive_cmds, $1)='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+	    _LT_TAGVAR(archive_expsym_cmds, $1)='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname ${wl}-retain-symbols-file $wl$export_symbols -o $lib'
+	  else
+	    _LT_TAGVAR(ld_shlibs, $1)=no
+	  fi
+	;;
+      esac
+      ;;
+
+    sunos4*)
+      _LT_TAGVAR(archive_cmds, $1)='$LD -assert pure-text -Bshareable -o $lib $libobjs $deplibs $linker_flags'
+      wlarc=
+      _LT_TAGVAR(hardcode_direct, $1)=yes
+      _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+      ;;
+
+    *)
+      if $LD --help 2>&1 | $GREP ': supported targets:.* elf' > /dev/null; then
+	_LT_TAGVAR(archive_cmds, $1)='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+	_LT_TAGVAR(archive_expsym_cmds, $1)='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname ${wl}-retain-symbols-file $wl$export_symbols -o $lib'
+      else
+	_LT_TAGVAR(ld_shlibs, $1)=no
+      fi
+      ;;
+    esac
+
+    if test "$_LT_TAGVAR(ld_shlibs, $1)" = no; then
+      runpath_var=
+      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)=
+      _LT_TAGVAR(export_dynamic_flag_spec, $1)=
+      _LT_TAGVAR(whole_archive_flag_spec, $1)=
+    fi
+  else
+    # PORTME fill in a description of your system's linker (not GNU ld)
+    case $host_os in
+    aix3*)
+      _LT_TAGVAR(allow_undefined_flag, $1)=unsupported
+      _LT_TAGVAR(always_export_symbols, $1)=yes
+      _LT_TAGVAR(archive_expsym_cmds, $1)='$LD -o $output_objdir/$soname $libobjs $deplibs $linker_flags -bE:$export_symbols -T512 -H512 -bM:SRE~$AR $AR_FLAGS $lib $output_objdir/$soname'
+      # Note: this linker hardcodes the directories in LIBPATH if there
+      # are no directories specified by -L.
+      _LT_TAGVAR(hardcode_minus_L, $1)=yes
+      if test "$GCC" = yes && test -z "$lt_prog_compiler_static"; then
+	# Neither direct hardcoding nor static linking is supported with a
+	# broken collect2.
+	_LT_TAGVAR(hardcode_direct, $1)=unsupported
+      fi
+      ;;
+
+    aix[[4-9]]*)
+      if test "$host_cpu" = ia64; then
+	# On IA64, the linker does run time linking by default, so we don't
+	# have to do anything special.
+	aix_use_runtimelinking=no
+	exp_sym_flag='-Bexport'
+	no_entry_flag=""
+      else
+	# If we're using GNU nm, then we don't want the "-C" option.
+	# -C means demangle to AIX nm, but means don't demangle with GNU nm
+	if $NM -V 2>&1 | $GREP 'GNU' > /dev/null; then
+	  _LT_TAGVAR(export_symbols_cmds, $1)='$NM -Bpg $libobjs $convenience | awk '\''{ if (((\$ 2 == "T") || (\$ 2 == "D") || (\$ 2 == "B")) && ([substr](\$ 3,1,1) != ".")) { print \$ 3 } }'\'' | sort -u > $export_symbols'
+	else
+	  _LT_TAGVAR(export_symbols_cmds, $1)='$NM -BCpg $libobjs $convenience | awk '\''{ if (((\$ 2 == "T") || (\$ 2 == "D") || (\$ 2 == "B")) && ([substr](\$ 3,1,1) != ".")) { print \$ 3 } }'\'' | sort -u > $export_symbols'
+	fi
+	aix_use_runtimelinking=no
+
+	# Test if we are trying to use run time linking or normal
+	# AIX style linking. If -brtl is somewhere in LDFLAGS, we
+	# need to do runtime linking.
+	case $host_os in aix4.[[23]]|aix4.[[23]].*|aix[[5-9]]*)
+	  for ld_flag in $LDFLAGS; do
+	  if (test $ld_flag = "-brtl" || test $ld_flag = "-Wl,-brtl"); then
+	    aix_use_runtimelinking=yes
+	    break
+	  fi
+	  done
+	  ;;
+	esac
+
+	exp_sym_flag='-bexport'
+	no_entry_flag='-bnoentry'
+      fi
+
+      # When large executables or shared objects are built, AIX ld can
+      # have problems creating the table of contents.  If linking a library
+      # or program results in "error TOC overflow" add -mminimal-toc to
+      # CXXFLAGS/CFLAGS for g++/gcc.  In the cases where that is not
+      # enough to fix the problem, add -Wl,-bbigtoc to LDFLAGS.
+
+      _LT_TAGVAR(archive_cmds, $1)=''
+      _LT_TAGVAR(hardcode_direct, $1)=yes
+      _LT_TAGVAR(hardcode_direct_absolute, $1)=yes
+      _LT_TAGVAR(hardcode_libdir_separator, $1)=':'
+      _LT_TAGVAR(link_all_deplibs, $1)=yes
+      _LT_TAGVAR(file_list_spec, $1)='${wl}-f,'
+
+      if test "$GCC" = yes; then
+	case $host_os in aix4.[[012]]|aix4.[[012]].*)
+	# We only want to do this on AIX 4.2 and lower, the check
+	# below for broken collect2 doesn't work under 4.3+
+	  collect2name=`${CC} -print-prog-name=collect2`
+	  if test -f "$collect2name" &&
+	   strings "$collect2name" | $GREP resolve_lib_name >/dev/null
+	  then
+	  # We have reworked collect2
+	  :
+	  else
+	  # We have old collect2
+	  _LT_TAGVAR(hardcode_direct, $1)=unsupported
+	  # It fails to find uninstalled libraries when the uninstalled
+	  # path is not listed in the libpath.  Setting hardcode_minus_L
+	  # to unsupported forces relinking
+	  _LT_TAGVAR(hardcode_minus_L, $1)=yes
+	  _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-L$libdir'
+	  _LT_TAGVAR(hardcode_libdir_separator, $1)=
+	  fi
+	  ;;
+	esac
+	shared_flag='-shared'
+	if test "$aix_use_runtimelinking" = yes; then
+	  shared_flag="$shared_flag "'${wl}-G'
+	fi
+      else
+	# not using gcc
+	if test "$host_cpu" = ia64; then
+	# VisualAge C++, Version 5.5 for AIX 5L for IA-64, Beta 3 Release
+	# chokes on -Wl,-G. The following line is correct:
+	  shared_flag='-G'
+	else
+	  if test "$aix_use_runtimelinking" = yes; then
+	    shared_flag='${wl}-G'
+	  else
+	    shared_flag='${wl}-bM:SRE'
+	  fi
+	fi
+      fi
+
+      _LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}-bexpall'
+      # It seems that -bexpall does not export symbols beginning with
+      # underscore (_), so it is better to generate a list of symbols to export.
+      _LT_TAGVAR(always_export_symbols, $1)=yes
+      if test "$aix_use_runtimelinking" = yes; then
+	# Warning - without using the other runtime loading flags (-brtl),
+	# -berok will link without error, but may produce a broken library.
+	_LT_TAGVAR(allow_undefined_flag, $1)='-berok'
+        # Determine the default libpath from the value encoded in an
+        # empty executable.
+        _LT_SYS_MODULE_PATH_AIX
+        _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-blibpath:$libdir:'"$aix_libpath"
+        _LT_TAGVAR(archive_expsym_cmds, $1)='$CC -o $output_objdir/$soname $libobjs $deplibs '"\${wl}$no_entry_flag"' $compiler_flags `if test "x${allow_undefined_flag}" != "x"; then $ECHO "X${wl}${allow_undefined_flag}" | $Xsed; else :; fi` '"\${wl}$exp_sym_flag:\$export_symbols $shared_flag"
+      else
+	if test "$host_cpu" = ia64; then
+	  _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-R $libdir:/usr/lib:/lib'
+	  _LT_TAGVAR(allow_undefined_flag, $1)="-z nodefs"
+	  _LT_TAGVAR(archive_expsym_cmds, $1)="\$CC $shared_flag"' -o $output_objdir/$soname $libobjs $deplibs '"\${wl}$no_entry_flag"' $compiler_flags ${wl}${allow_undefined_flag} '"\${wl}$exp_sym_flag:\$export_symbols"
+	else
+	 # Determine the default libpath from the value encoded in an
+	 # empty executable.
+	 _LT_SYS_MODULE_PATH_AIX
+	 _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-blibpath:$libdir:'"$aix_libpath"
+	  # Warning - without using the other run time loading flags,
+	  # -berok will link without error, but may produce a broken library.
+	  _LT_TAGVAR(no_undefined_flag, $1)=' ${wl}-bernotok'
+	  _LT_TAGVAR(allow_undefined_flag, $1)=' ${wl}-berok'
+	  # Exported symbols can be pulled into shared objects from archives
+	  _LT_TAGVAR(whole_archive_flag_spec, $1)='$convenience'
+	  _LT_TAGVAR(archive_cmds_need_lc, $1)=yes
+	  # This is similar to how AIX traditionally builds its shared libraries.
+	  _LT_TAGVAR(archive_expsym_cmds, $1)="\$CC $shared_flag"' -o $output_objdir/$soname $libobjs $deplibs ${wl}-bnoentry $compiler_flags ${wl}-bE:$export_symbols${allow_undefined_flag}~$AR $AR_FLAGS $output_objdir/$libname$release.a $output_objdir/$soname'
+	fi
+      fi
+      ;;
+
+    amigaos*)
+      case $host_cpu in
+      powerpc)
+            # see comment about AmigaOS4 .so support
+            _LT_TAGVAR(archive_cmds, $1)='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+            _LT_TAGVAR(archive_expsym_cmds, $1)=''
+        ;;
+      m68k)
+            _LT_TAGVAR(archive_cmds, $1)='$RM $output_objdir/a2ixlibrary.data~$ECHO "#define NAME $libname" > $output_objdir/a2ixlibrary.data~$ECHO "#define LIBRARY_ID 1" >> $output_objdir/a2ixlibrary.data~$ECHO "#define VERSION $major" >> $output_objdir/a2ixlibrary.data~$ECHO "#define REVISION $revision" >> $output_objdir/a2ixlibrary.data~$AR $AR_FLAGS $lib $libobjs~$RANLIB $lib~(cd $output_objdir && a2ixlibrary -32)'
+            _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-L$libdir'
+            _LT_TAGVAR(hardcode_minus_L, $1)=yes
+        ;;
+      esac
+      ;;
+
+    bsdi[[45]]*)
+      _LT_TAGVAR(export_dynamic_flag_spec, $1)=-rdynamic
+      ;;
+
+    cygwin* | mingw* | pw32* | cegcc*)
+      # When not using gcc, we currently assume that we are using
+      # Microsoft Visual C++.
+      # hardcode_libdir_flag_spec is actually meaningless, as there is
+      # no search path for DLLs.
+      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)=' '
+      _LT_TAGVAR(allow_undefined_flag, $1)=unsupported
+      # Tell ltmain to make .lib files, not .a files.
+      libext=lib
+      # Tell ltmain to make .dll files, not .so files.
+      shrext_cmds=".dll"
+      # FIXME: Setting linknames here is a bad hack.
+      _LT_TAGVAR(archive_cmds, $1)='$CC -o $lib $libobjs $compiler_flags `$ECHO "X$deplibs" | $Xsed -e '\''s/ -lc$//'\''` -link -dll~linknames='
+      # The linker will automatically build a .lib file if we build a DLL.
+      _LT_TAGVAR(old_archive_from_new_cmds, $1)='true'
+      # FIXME: Should let the user specify the lib program.
+      _LT_TAGVAR(old_archive_cmds, $1)='lib -OUT:$oldlib$oldobjs$old_deplibs'
+      _LT_TAGVAR(fix_srcfile_path, $1)='`cygpath -w "$srcfile"`'
+      _LT_TAGVAR(enable_shared_with_static_runtimes, $1)=yes
+      ;;
+
+    darwin* | rhapsody*)
+      _LT_DARWIN_LINKER_FEATURES($1)
+      ;;
+
+    dgux*)
+      _LT_TAGVAR(archive_cmds, $1)='$LD -G -h $soname -o $lib $libobjs $deplibs $linker_flags'
+      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-L$libdir'
+      _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+      ;;
+
+    freebsd1*)
+      _LT_TAGVAR(ld_shlibs, $1)=no
+      ;;
+
+    # FreeBSD 2.2.[012] allows us to include c++rt0.o to get C++ constructor
+    # support.  Future versions do this automatically, but an explicit c++rt0.o
+    # does not break anything, and helps significantly (at the cost of a little
+    # extra space).
+    freebsd2.2*)
+      _LT_TAGVAR(archive_cmds, $1)='$LD -Bshareable -o $lib $libobjs $deplibs $linker_flags /usr/lib/c++rt0.o'
+      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-R$libdir'
+      _LT_TAGVAR(hardcode_direct, $1)=yes
+      _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+      ;;
+
+    # Unfortunately, older versions of FreeBSD 2 do not have this feature.
+    freebsd2*)
+      _LT_TAGVAR(archive_cmds, $1)='$LD -Bshareable -o $lib $libobjs $deplibs $linker_flags'
+      _LT_TAGVAR(hardcode_direct, $1)=yes
+      _LT_TAGVAR(hardcode_minus_L, $1)=yes
+      _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+      ;;
+
+    # FreeBSD 3 and greater uses gcc -shared to do shared libraries.
+    freebsd* | dragonfly*)
+      _LT_TAGVAR(archive_cmds, $1)='$CC -shared -o $lib $libobjs $deplibs $compiler_flags'
+      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-R$libdir'
+      _LT_TAGVAR(hardcode_direct, $1)=yes
+      _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+      ;;
+
+    hpux9*)
+      if test "$GCC" = yes; then
+	_LT_TAGVAR(archive_cmds, $1)='$RM $output_objdir/$soname~$CC -shared -fPIC ${wl}+b ${wl}$install_libdir -o $output_objdir/$soname $libobjs $deplibs $compiler_flags~test $output_objdir/$soname = $lib || mv $output_objdir/$soname $lib'
+      else
+	_LT_TAGVAR(archive_cmds, $1)='$RM $output_objdir/$soname~$LD -b +b $install_libdir -o $output_objdir/$soname $libobjs $deplibs $linker_flags~test $output_objdir/$soname = $lib || mv $output_objdir/$soname $lib'
+      fi
+      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}+b ${wl}$libdir'
+      _LT_TAGVAR(hardcode_libdir_separator, $1)=:
+      _LT_TAGVAR(hardcode_direct, $1)=yes
+
+      # hardcode_minus_L: Not really in the search PATH,
+      # but as the default location of the library.
+      _LT_TAGVAR(hardcode_minus_L, $1)=yes
+      _LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}-E'
+      ;;
+
+    hpux10*)
+      if test "$GCC" = yes -a "$with_gnu_ld" = no; then
+	_LT_TAGVAR(archive_cmds, $1)='$CC -shared -fPIC ${wl}+h ${wl}$soname ${wl}+b ${wl}$install_libdir -o $lib $libobjs $deplibs $compiler_flags'
+      else
+	_LT_TAGVAR(archive_cmds, $1)='$LD -b +h $soname +b $install_libdir -o $lib $libobjs $deplibs $linker_flags'
+      fi
+      if test "$with_gnu_ld" = no; then
+	_LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}+b ${wl}$libdir'
+	_LT_TAGVAR(hardcode_libdir_flag_spec_ld, $1)='+b $libdir'
+	_LT_TAGVAR(hardcode_libdir_separator, $1)=:
+	_LT_TAGVAR(hardcode_direct, $1)=yes
+	_LT_TAGVAR(hardcode_direct_absolute, $1)=yes
+	_LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}-E'
+	# hardcode_minus_L: Not really in the search PATH,
+	# but as the default location of the library.
+	_LT_TAGVAR(hardcode_minus_L, $1)=yes
+      fi
+      ;;
+
+    hpux11*)
+      if test "$GCC" = yes -a "$with_gnu_ld" = no; then
+	case $host_cpu in
+	hppa*64*)
+	  _LT_TAGVAR(archive_cmds, $1)='$CC -shared ${wl}+h ${wl}$soname -o $lib $libobjs $deplibs $compiler_flags'
+	  ;;
+	ia64*)
+	  _LT_TAGVAR(archive_cmds, $1)='$CC -shared -fPIC ${wl}+h ${wl}$soname ${wl}+nodefaultrpath -o $lib $libobjs $deplibs $compiler_flags'
+	  ;;
+	*)
+	  _LT_TAGVAR(archive_cmds, $1)='$CC -shared -fPIC ${wl}+h ${wl}$soname ${wl}+b ${wl}$install_libdir -o $lib $libobjs $deplibs $compiler_flags'
+	  ;;
+	esac
+      else
+	case $host_cpu in
+	hppa*64*)
+	  _LT_TAGVAR(archive_cmds, $1)='$CC -b ${wl}+h ${wl}$soname -o $lib $libobjs $deplibs $compiler_flags'
+	  ;;
+	ia64*)
+	  _LT_TAGVAR(archive_cmds, $1)='$CC -b ${wl}+h ${wl}$soname ${wl}+nodefaultrpath -o $lib $libobjs $deplibs $compiler_flags'
+	  ;;
+	*)
+	  _LT_TAGVAR(archive_cmds, $1)='$CC -b ${wl}+h ${wl}$soname ${wl}+b ${wl}$install_libdir -o $lib $libobjs $deplibs $compiler_flags'
+	  ;;
+	esac
+      fi
+      if test "$with_gnu_ld" = no; then
+	_LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}+b ${wl}$libdir'
+	_LT_TAGVAR(hardcode_libdir_separator, $1)=:
+
+	case $host_cpu in
+	hppa*64*|ia64*)
+	  _LT_TAGVAR(hardcode_direct, $1)=no
+	  _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+	  ;;
+	*)
+	  _LT_TAGVAR(hardcode_direct, $1)=yes
+	  _LT_TAGVAR(hardcode_direct_absolute, $1)=yes
+	  _LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}-E'
+
+	  # hardcode_minus_L: Not really in the search PATH,
+	  # but as the default location of the library.
+	  _LT_TAGVAR(hardcode_minus_L, $1)=yes
+	  ;;
+	esac
+      fi
+      ;;
+
+    irix5* | irix6* | nonstopux*)
+      if test "$GCC" = yes; then
+	_LT_TAGVAR(archive_cmds, $1)='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && $ECHO "X${wl}-set_version ${wl}$verstring" | $Xsed` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
+	# Try to use the -exported_symbol ld option, if it does not
+	# work, assume that -exports_file does not work either and
+	# implicitly export all symbols.
+        save_LDFLAGS="$LDFLAGS"
+        LDFLAGS="$LDFLAGS -shared ${wl}-exported_symbol ${wl}foo ${wl}-update_registry ${wl}/dev/null"
+        AC_LINK_IFELSE(int foo(void) {},
+          _LT_TAGVAR(archive_expsym_cmds, $1)='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && $ECHO "X${wl}-set_version ${wl}$verstring" | $Xsed` ${wl}-update_registry ${wl}${output_objdir}/so_locations ${wl}-exports_file ${wl}$export_symbols -o $lib'
+        )
+        LDFLAGS="$save_LDFLAGS"
+      else
+	_LT_TAGVAR(archive_cmds, $1)='$CC -shared $libobjs $deplibs $compiler_flags -soname $soname `test -n "$verstring" && $ECHO "X-set_version $verstring" | $Xsed` -update_registry ${output_objdir}/so_locations -o $lib'
+	_LT_TAGVAR(archive_expsym_cmds, $1)='$CC -shared $libobjs $deplibs $compiler_flags -soname $soname `test -n "$verstring" && $ECHO "X-set_version $verstring" | $Xsed` -update_registry ${output_objdir}/so_locations -exports_file $export_symbols -o $lib'
+      fi
+      _LT_TAGVAR(archive_cmds_need_lc, $1)='no'
+      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath ${wl}$libdir'
+      _LT_TAGVAR(hardcode_libdir_separator, $1)=:
+      _LT_TAGVAR(inherit_rpath, $1)=yes
+      _LT_TAGVAR(link_all_deplibs, $1)=yes
+      ;;
+
+    netbsd*)
+      if echo __ELF__ | $CC -E - | $GREP __ELF__ >/dev/null; then
+	_LT_TAGVAR(archive_cmds, $1)='$LD -Bshareable -o $lib $libobjs $deplibs $linker_flags'  # a.out
+      else
+	_LT_TAGVAR(archive_cmds, $1)='$LD -shared -o $lib $libobjs $deplibs $linker_flags'      # ELF
+      fi
+      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-R$libdir'
+      _LT_TAGVAR(hardcode_direct, $1)=yes
+      _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+      ;;
+
+    newsos6)
+      _LT_TAGVAR(archive_cmds, $1)='$LD -G -h $soname -o $lib $libobjs $deplibs $linker_flags'
+      _LT_TAGVAR(hardcode_direct, $1)=yes
+      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath ${wl}$libdir'
+      _LT_TAGVAR(hardcode_libdir_separator, $1)=:
+      _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+      ;;
+
+    *nto* | *qnx*)
+      ;;
+
+    openbsd*)
+      if test -f /usr/libexec/ld.so; then
+	_LT_TAGVAR(hardcode_direct, $1)=yes
+	_LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+	_LT_TAGVAR(hardcode_direct_absolute, $1)=yes
+	if test -z "`echo __ELF__ | $CC -E - | $GREP __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
+	  _LT_TAGVAR(archive_cmds, $1)='$CC -shared $pic_flag -o $lib $libobjs $deplibs $compiler_flags'
+	  _LT_TAGVAR(archive_expsym_cmds, $1)='$CC -shared $pic_flag -o $lib $libobjs $deplibs $compiler_flags ${wl}-retain-symbols-file,$export_symbols'
+	  _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath,$libdir'
+	  _LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}-E'
+	else
+	  case $host_os in
+	   openbsd[[01]].* | openbsd2.[[0-7]] | openbsd2.[[0-7]].*)
+	     _LT_TAGVAR(archive_cmds, $1)='$LD -Bshareable -o $lib $libobjs $deplibs $linker_flags'
+	     _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-R$libdir'
+	     ;;
+	   *)
+	     _LT_TAGVAR(archive_cmds, $1)='$CC -shared $pic_flag -o $lib $libobjs $deplibs $compiler_flags'
+	     _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath,$libdir'
+	     ;;
+	  esac
+	fi
+      else
+	_LT_TAGVAR(ld_shlibs, $1)=no
+      fi
+      ;;
+
+    os2*)
+      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-L$libdir'
+      _LT_TAGVAR(hardcode_minus_L, $1)=yes
+      _LT_TAGVAR(allow_undefined_flag, $1)=unsupported
+      _LT_TAGVAR(archive_cmds, $1)='$ECHO "LIBRARY $libname INITINSTANCE" > $output_objdir/$libname.def~$ECHO "DESCRIPTION \"$libname\"" >> $output_objdir/$libname.def~$ECHO DATA >> $output_objdir/$libname.def~$ECHO " SINGLE NONSHARED" >> $output_objdir/$libname.def~$ECHO EXPORTS >> $output_objdir/$libname.def~emxexp $libobjs >> $output_objdir/$libname.def~$CC -Zdll -Zcrtdll -o $lib $libobjs $deplibs $compiler_flags $output_objdir/$libname.def'
+      _LT_TAGVAR(old_archive_from_new_cmds, $1)='emximp -o $output_objdir/$libname.a $output_objdir/$libname.def'
+      ;;
+
+    osf3*)
+      if test "$GCC" = yes; then
+	_LT_TAGVAR(allow_undefined_flag, $1)=' ${wl}-expect_unresolved ${wl}\*'
+	_LT_TAGVAR(archive_cmds, $1)='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && $ECHO "X${wl}-set_version ${wl}$verstring" | $Xsed` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
+      else
+	_LT_TAGVAR(allow_undefined_flag, $1)=' -expect_unresolved \*'
+	_LT_TAGVAR(archive_cmds, $1)='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags -soname $soname `test -n "$verstring" && $ECHO "X-set_version $verstring" | $Xsed` -update_registry ${output_objdir}/so_locations -o $lib'
+      fi
+      _LT_TAGVAR(archive_cmds_need_lc, $1)='no'
+      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath ${wl}$libdir'
+      _LT_TAGVAR(hardcode_libdir_separator, $1)=:
+      ;;
+
+    osf4* | osf5*)	# as osf3* with the addition of -msym flag
+      if test "$GCC" = yes; then
+	_LT_TAGVAR(allow_undefined_flag, $1)=' ${wl}-expect_unresolved ${wl}\*'
+	_LT_TAGVAR(archive_cmds, $1)='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags ${wl}-msym ${wl}-soname ${wl}$soname `test -n "$verstring" && $ECHO "X${wl}-set_version ${wl}$verstring" | $Xsed` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
+	_LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath ${wl}$libdir'
+      else
+	_LT_TAGVAR(allow_undefined_flag, $1)=' -expect_unresolved \*'
+	_LT_TAGVAR(archive_cmds, $1)='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags -msym -soname $soname `test -n "$verstring" && $ECHO "X-set_version $verstring" | $Xsed` -update_registry ${output_objdir}/so_locations -o $lib'
+	_LT_TAGVAR(archive_expsym_cmds, $1)='for i in `cat $export_symbols`; do printf "%s %s\\n" -exported_symbol "\$i" >> $lib.exp; done; printf "%s\\n" "-hidden">> $lib.exp~
+	$CC -shared${allow_undefined_flag} ${wl}-input ${wl}$lib.exp $compiler_flags $libobjs $deplibs -soname $soname `test -n "$verstring" && $ECHO "X-set_version $verstring" | $Xsed` -update_registry ${output_objdir}/so_locations -o $lib~$RM $lib.exp'
+
+	# Both c and cxx compiler support -rpath directly
+	_LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-rpath $libdir'
+      fi
+      _LT_TAGVAR(archive_cmds_need_lc, $1)='no'
+      _LT_TAGVAR(hardcode_libdir_separator, $1)=:
+      ;;
+
+    solaris*)
+      _LT_TAGVAR(no_undefined_flag, $1)=' -z defs'
+      if test "$GCC" = yes; then
+	wlarc='${wl}'
+	_LT_TAGVAR(archive_cmds, $1)='$CC -shared ${wl}-z ${wl}text ${wl}-h ${wl}$soname -o $lib $libobjs $deplibs $compiler_flags'
+	_LT_TAGVAR(archive_expsym_cmds, $1)='echo "{ global:" > $lib.exp~cat $export_symbols | $SED -e "s/\(.*\)/\1;/" >> $lib.exp~echo "local: *; };" >> $lib.exp~
+	  $CC -shared ${wl}-z ${wl}text ${wl}-M ${wl}$lib.exp ${wl}-h ${wl}$soname -o $lib $libobjs $deplibs $compiler_flags~$RM $lib.exp'
+      else
+	case `$CC -V 2>&1` in
+	*"Compilers 5.0"*)
+	  wlarc=''
+	  _LT_TAGVAR(archive_cmds, $1)='$LD -G${allow_undefined_flag} -h $soname -o $lib $libobjs $deplibs $linker_flags'
+	  _LT_TAGVAR(archive_expsym_cmds, $1)='echo "{ global:" > $lib.exp~cat $export_symbols | $SED -e "s/\(.*\)/\1;/" >> $lib.exp~echo "local: *; };" >> $lib.exp~
+	  $LD -G${allow_undefined_flag} -M $lib.exp -h $soname -o $lib $libobjs $deplibs $linker_flags~$RM $lib.exp'
+	  ;;
+	*)
+	  wlarc='${wl}'
+	  _LT_TAGVAR(archive_cmds, $1)='$CC -G${allow_undefined_flag} -h $soname -o $lib $libobjs $deplibs $compiler_flags'
+	  _LT_TAGVAR(archive_expsym_cmds, $1)='echo "{ global:" > $lib.exp~cat $export_symbols | $SED -e "s/\(.*\)/\1;/" >> $lib.exp~echo "local: *; };" >> $lib.exp~
+	  $CC -G${allow_undefined_flag} -M $lib.exp -h $soname -o $lib $libobjs $deplibs $compiler_flags~$RM $lib.exp'
+	  ;;
+	esac
+      fi
+      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-R$libdir'
+      _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+      case $host_os in
+      solaris2.[[0-5]] | solaris2.[[0-5]].*) ;;
+      *)
+	# The compiler driver will combine and reorder linker options,
+	# but understands `-z linker_flag'.  GCC discards it without `$wl',
+	# but is careful enough not to reorder.
+	# Supported since Solaris 2.6 (maybe 2.5.1?)
+	if test "$GCC" = yes; then
+	  _LT_TAGVAR(whole_archive_flag_spec, $1)='${wl}-z ${wl}allextract$convenience ${wl}-z ${wl}defaultextract'
+	else
+	  _LT_TAGVAR(whole_archive_flag_spec, $1)='-z allextract$convenience -z defaultextract'
+	fi
+	;;
+      esac
+      _LT_TAGVAR(link_all_deplibs, $1)=yes
+      ;;
+
+    sunos4*)
+      if test "x$host_vendor" = xsequent; then
+	# Use $CC to link under sequent, because it throws in some extra .o
+	# files that make .init and .fini sections work.
+	_LT_TAGVAR(archive_cmds, $1)='$CC -G ${wl}-h $soname -o $lib $libobjs $deplibs $compiler_flags'
+      else
+	_LT_TAGVAR(archive_cmds, $1)='$LD -assert pure-text -Bstatic -o $lib $libobjs $deplibs $linker_flags'
+      fi
+      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-L$libdir'
+      _LT_TAGVAR(hardcode_direct, $1)=yes
+      _LT_TAGVAR(hardcode_minus_L, $1)=yes
+      _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+      ;;
+
+    sysv4)
+      case $host_vendor in
+	sni)
+	  _LT_TAGVAR(archive_cmds, $1)='$LD -G -h $soname -o $lib $libobjs $deplibs $linker_flags'
+	  _LT_TAGVAR(hardcode_direct, $1)=yes # is this really true???
+	;;
+	siemens)
+	  ## LD is ld it makes a PLAMLIB
+	  ## CC just makes a GrossModule.
+	  _LT_TAGVAR(archive_cmds, $1)='$LD -G -o $lib $libobjs $deplibs $linker_flags'
+	  _LT_TAGVAR(reload_cmds, $1)='$CC -r -o $output$reload_objs'
+	  _LT_TAGVAR(hardcode_direct, $1)=no
+        ;;
+	motorola)
+	  _LT_TAGVAR(archive_cmds, $1)='$LD -G -h $soname -o $lib $libobjs $deplibs $linker_flags'
+	  _LT_TAGVAR(hardcode_direct, $1)=no #Motorola manual says yes, but my tests say they lie
+	;;
+      esac
+      runpath_var='LD_RUN_PATH'
+      _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+      ;;
+
+    sysv4.3*)
+      _LT_TAGVAR(archive_cmds, $1)='$LD -G -h $soname -o $lib $libobjs $deplibs $linker_flags'
+      _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+      _LT_TAGVAR(export_dynamic_flag_spec, $1)='-Bexport'
+      ;;
+
+    sysv4*MP*)
+      if test -d /usr/nec; then
+	_LT_TAGVAR(archive_cmds, $1)='$LD -G -h $soname -o $lib $libobjs $deplibs $linker_flags'
+	_LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+	runpath_var=LD_RUN_PATH
+	hardcode_runpath_var=yes
+	_LT_TAGVAR(ld_shlibs, $1)=yes
+      fi
+      ;;
+
+    sysv4*uw2* | sysv5OpenUNIX* | sysv5UnixWare7.[[01]].[[10]]* | unixware7* | sco3.2v5.0.[[024]]*)
+      _LT_TAGVAR(no_undefined_flag, $1)='${wl}-z,text'
+      _LT_TAGVAR(archive_cmds_need_lc, $1)=no
+      _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+      runpath_var='LD_RUN_PATH'
+
+      if test "$GCC" = yes; then
+	_LT_TAGVAR(archive_cmds, $1)='$CC -shared ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+	_LT_TAGVAR(archive_expsym_cmds, $1)='$CC -shared ${wl}-Bexport:$export_symbols ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+      else
+	_LT_TAGVAR(archive_cmds, $1)='$CC -G ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+	_LT_TAGVAR(archive_expsym_cmds, $1)='$CC -G ${wl}-Bexport:$export_symbols ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+      fi
+      ;;
+
+    sysv5* | sco3.2v5* | sco5v6*)
+      # Note: We can NOT use -z defs as we might desire, because we do not
+      # link with -lc, and that would cause any symbols used from libc to
+      # always be unresolved, which means just about no library would
+      # ever link correctly.  If we're not using GNU ld we use -z text
+      # though, which does catch some bad symbols but isn't as heavy-handed
+      # as -z defs.
+      _LT_TAGVAR(no_undefined_flag, $1)='${wl}-z,text'
+      _LT_TAGVAR(allow_undefined_flag, $1)='${wl}-z,nodefs'
+      _LT_TAGVAR(archive_cmds_need_lc, $1)=no
+      _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-R,$libdir'
+      _LT_TAGVAR(hardcode_libdir_separator, $1)=':'
+      _LT_TAGVAR(link_all_deplibs, $1)=yes
+      _LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}-Bexport'
+      runpath_var='LD_RUN_PATH'
+
+      if test "$GCC" = yes; then
+	_LT_TAGVAR(archive_cmds, $1)='$CC -shared ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+	_LT_TAGVAR(archive_expsym_cmds, $1)='$CC -shared ${wl}-Bexport:$export_symbols ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+      else
+	_LT_TAGVAR(archive_cmds, $1)='$CC -G ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+	_LT_TAGVAR(archive_expsym_cmds, $1)='$CC -G ${wl}-Bexport:$export_symbols ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+      fi
+      ;;
+
+    uts4*)
+      _LT_TAGVAR(archive_cmds, $1)='$LD -G -h $soname -o $lib $libobjs $deplibs $linker_flags'
+      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-L$libdir'
+      _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+      ;;
+
+    *)
+      _LT_TAGVAR(ld_shlibs, $1)=no
+      ;;
+    esac
+
+    if test x$host_vendor = xsni; then
+      case $host in
+      sysv4 | sysv4.2uw2* | sysv4.3* | sysv5*)
+	_LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}-Blargedynsym'
+	;;
+      esac
+    fi
+  fi
+])
+AC_MSG_RESULT([$_LT_TAGVAR(ld_shlibs, $1)])
+test "$_LT_TAGVAR(ld_shlibs, $1)" = no && can_build_shared=no
+
+_LT_TAGVAR(with_gnu_ld, $1)=$with_gnu_ld
+
+_LT_DECL([], [libext], [0], [Old archive suffix (normally "a")])dnl
+_LT_DECL([], [shrext_cmds], [1], [Shared library suffix (normally ".so")])dnl
+_LT_DECL([], [extract_expsyms_cmds], [2],
+    [The commands to extract the exported symbol list from a shared archive])
+
+#
+# Do we need to explicitly link libc?
+#
+case "x$_LT_TAGVAR(archive_cmds_need_lc, $1)" in
+x|xyes)
+  # Assume -lc should be added
+  _LT_TAGVAR(archive_cmds_need_lc, $1)=yes
+
+  if test "$enable_shared" = yes && test "$GCC" = yes; then
+    case $_LT_TAGVAR(archive_cmds, $1) in
+    *'~'*)
+      # FIXME: we may have to deal with multi-command sequences.
+      ;;
+    '$CC '*)
+      # Test whether the compiler implicitly links with -lc since on some
+      # systems, -lgcc has to come before -lc. If gcc already passes -lc
+      # to ld, don't add -lc before -lgcc.
+      AC_MSG_CHECKING([whether -lc should be explicitly linked in])
+      $RM conftest*
+      echo "$lt_simple_compile_test_code" > conftest.$ac_ext
+
+      if AC_TRY_EVAL(ac_compile) 2>conftest.err; then
+        soname=conftest
+        lib=conftest
+        libobjs=conftest.$ac_objext
+        deplibs=
+        wl=$_LT_TAGVAR(lt_prog_compiler_wl, $1)
+	pic_flag=$_LT_TAGVAR(lt_prog_compiler_pic, $1)
+        compiler_flags=-v
+        linker_flags=-v
+        verstring=
+        output_objdir=.
+        libname=conftest
+        lt_save_allow_undefined_flag=$_LT_TAGVAR(allow_undefined_flag, $1)
+        _LT_TAGVAR(allow_undefined_flag, $1)=
+        if AC_TRY_EVAL(_LT_TAGVAR(archive_cmds, $1) 2\>\&1 \| $GREP \" -lc \" \>/dev/null 2\>\&1)
+        then
+	  _LT_TAGVAR(archive_cmds_need_lc, $1)=no
+        else
+	  _LT_TAGVAR(archive_cmds_need_lc, $1)=yes
+        fi
+        _LT_TAGVAR(allow_undefined_flag, $1)=$lt_save_allow_undefined_flag
+      else
+        cat conftest.err 1>&5
+      fi
+      $RM conftest*
+      AC_MSG_RESULT([$_LT_TAGVAR(archive_cmds_need_lc, $1)])
+      ;;
+    esac
+  fi
+  ;;
+esac
+
+_LT_TAGDECL([build_libtool_need_lc], [archive_cmds_need_lc], [0],
+    [Whether or not to add -lc for building shared libraries])
+_LT_TAGDECL([allow_libtool_libs_with_static_runtimes],
+    [enable_shared_with_static_runtimes], [0],
+    [Whether or not to disallow shared libs when runtime libs are static])
+_LT_TAGDECL([], [export_dynamic_flag_spec], [1],
+    [Compiler flag to allow reflexive dlopens])
+_LT_TAGDECL([], [whole_archive_flag_spec], [1],
+    [Compiler flag to generate shared objects directly from archives])
+_LT_TAGDECL([], [compiler_needs_object], [1],
+    [Whether the compiler copes with passing no objects directly])
+_LT_TAGDECL([], [old_archive_from_new_cmds], [2],
+    [Create an old-style archive from a shared archive])
+_LT_TAGDECL([], [old_archive_from_expsyms_cmds], [2],
+    [Create a temporary old-style archive to link instead of a shared archive])
+_LT_TAGDECL([], [archive_cmds], [2], [Commands used to build a shared archive])
+_LT_TAGDECL([], [archive_expsym_cmds], [2])
+_LT_TAGDECL([], [module_cmds], [2],
+    [Commands used to build a loadable module if different from building
+    a shared archive.])
+_LT_TAGDECL([], [module_expsym_cmds], [2])
+_LT_TAGDECL([], [with_gnu_ld], [1],
+    [Whether we are building with GNU ld or not])
+_LT_TAGDECL([], [allow_undefined_flag], [1],
+    [Flag that allows shared libraries with undefined symbols to be built])
+_LT_TAGDECL([], [no_undefined_flag], [1],
+    [Flag that enforces no undefined symbols])
+_LT_TAGDECL([], [hardcode_libdir_flag_spec], [1],
+    [Flag to hardcode $libdir into a binary during linking.
+    This must work even if $libdir does not exist])
+_LT_TAGDECL([], [hardcode_libdir_flag_spec_ld], [1],
+    [[If ld is used when linking, flag to hardcode $libdir into a binary
+    during linking.  This must work even if $libdir does not exist]])
+_LT_TAGDECL([], [hardcode_libdir_separator], [1],
+    [Whether we need a single "-rpath" flag with a separated argument])
+_LT_TAGDECL([], [hardcode_direct], [0],
+    [Set to "yes" if using DIR/libNAME${shared_ext} during linking hardcodes
+    DIR into the resulting binary])
+_LT_TAGDECL([], [hardcode_direct_absolute], [0],
+    [Set to "yes" if using DIR/libNAME${shared_ext} during linking hardcodes
+    DIR into the resulting binary and the resulting library dependency is
+    "absolute", i.e impossible to change by setting ${shlibpath_var} if the
+    library is relocated])
+_LT_TAGDECL([], [hardcode_minus_L], [0],
+    [Set to "yes" if using the -LDIR flag during linking hardcodes DIR
+    into the resulting binary])
+_LT_TAGDECL([], [hardcode_shlibpath_var], [0],
+    [Set to "yes" if using SHLIBPATH_VAR=DIR during linking hardcodes DIR
+    into the resulting binary])
+_LT_TAGDECL([], [hardcode_automatic], [0],
+    [Set to "yes" if building a shared library automatically hardcodes DIR
+    into the library and all subsequent libraries and executables linked
+    against it])
+_LT_TAGDECL([], [inherit_rpath], [0],
+    [Set to yes if linker adds runtime paths of dependent libraries
+    to runtime path list])
+_LT_TAGDECL([], [link_all_deplibs], [0],
+    [Whether libtool must link a program against all its dependency libraries])
+_LT_TAGDECL([], [fix_srcfile_path], [1],
+    [Fix the shell variable $srcfile for the compiler])
+_LT_TAGDECL([], [always_export_symbols], [0],
+    [Set to "yes" if exported symbols are required])
+_LT_TAGDECL([], [export_symbols_cmds], [2],
+    [The commands to list exported symbols])
+_LT_TAGDECL([], [exclude_expsyms], [1],
+    [Symbols that should not be listed in the preloaded symbols])
+_LT_TAGDECL([], [include_expsyms], [1],
+    [Symbols that must always be exported])
+_LT_TAGDECL([], [prelink_cmds], [2],
+    [Commands necessary for linking programs (against libraries) with templates])
+_LT_TAGDECL([], [file_list_spec], [1],
+    [Specify filename containing input files])
+dnl FIXME: Not yet implemented
+dnl _LT_TAGDECL([], [thread_safe_flag_spec], [1],
+dnl    [Compiler flag to generate thread safe objects])
+])# _LT_LINKER_SHLIBS
+
+
+# _LT_LANG_C_CONFIG([TAG])
+# ------------------------
+# Ensure that the configuration variables for a C compiler are suitably
+# defined.  These variables are subsequently used by _LT_CONFIG to write
+# the compiler configuration to `libtool'.
+m4_defun([_LT_LANG_C_CONFIG],
+[m4_require([_LT_DECL_EGREP])dnl
+lt_save_CC="$CC"
+AC_LANG_PUSH(C)
+
+# Source file extension for C test sources.
+ac_ext=c
+
+# Object file extension for compiled C test sources.
+objext=o
+_LT_TAGVAR(objext, $1)=$objext
+
+# Code to be used in simple compile tests
+lt_simple_compile_test_code="int some_variable = 0;"
+
+# Code to be used in simple link tests
+lt_simple_link_test_code='int main(){return(0);}'
+
+_LT_TAG_COMPILER
+# Save the default compiler, since it gets overwritten when the other
+# tags are being tested, and _LT_TAGVAR(compiler, []) is a NOP.
+compiler_DEFAULT=$CC
+
+# save warnings/boilerplate of simple test code
+_LT_COMPILER_BOILERPLATE
+_LT_LINKER_BOILERPLATE
+
+if test -n "$compiler"; then
+  _LT_COMPILER_NO_RTTI($1)
+  _LT_COMPILER_PIC($1)
+  _LT_COMPILER_C_O($1)
+  _LT_COMPILER_FILE_LOCKS($1)
+  _LT_LINKER_SHLIBS($1)
+  _LT_SYS_DYNAMIC_LINKER($1)
+  _LT_LINKER_HARDCODE_LIBPATH($1)
+  LT_SYS_DLOPEN_SELF
+  _LT_CMD_STRIPLIB
+
+  # Report which library types will actually be built
+  AC_MSG_CHECKING([if libtool supports shared libraries])
+  AC_MSG_RESULT([$can_build_shared])
+
+  AC_MSG_CHECKING([whether to build shared libraries])
+  test "$can_build_shared" = "no" && enable_shared=no
+
+  # On AIX, shared libraries and static libraries use the same namespace, and
+  # are all built from PIC.
+  case $host_os in
+  aix3*)
+    test "$enable_shared" = yes && enable_static=no
+    if test -n "$RANLIB"; then
+      archive_cmds="$archive_cmds~\$RANLIB \$lib"
+      postinstall_cmds='$RANLIB $lib'
+    fi
+    ;;
+
+  aix[[4-9]]*)
+    if test "$host_cpu" != ia64 && test "$aix_use_runtimelinking" = no ; then
+      test "$enable_shared" = yes && enable_static=no
+    fi
+    ;;
+  esac
+  AC_MSG_RESULT([$enable_shared])
+
+  AC_MSG_CHECKING([whether to build static libraries])
+  # Make sure either enable_shared or enable_static is yes.
+  test "$enable_shared" = yes || enable_static=yes
+  AC_MSG_RESULT([$enable_static])
+
+  _LT_CONFIG($1)
+fi
+AC_LANG_POP
+CC="$lt_save_CC"
+])# _LT_LANG_C_CONFIG
+
+
+# _LT_PROG_CXX
+# ------------
+# Since AC_PROG_CXX is broken, in that it returns g++ if there is no c++
+# compiler, we have our own version here.
+m4_defun([_LT_PROG_CXX],
+[
+pushdef([AC_MSG_ERROR], [_lt_caught_CXX_error=yes])
+AC_PROG_CXX
+if test -n "$CXX" && ( test "X$CXX" != "Xno" &&
+    ( (test "X$CXX" = "Xg++" && `g++ -v >/dev/null 2>&1` ) ||
+    (test "X$CXX" != "Xg++"))) ; then
+  AC_PROG_CXXCPP
+else
+  _lt_caught_CXX_error=yes
+fi
+popdef([AC_MSG_ERROR])
+])# _LT_PROG_CXX
+
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([_LT_PROG_CXX], [])
+
+
+# _LT_LANG_CXX_CONFIG([TAG])
+# --------------------------
+# Ensure that the configuration variables for a C++ compiler are suitably
+# defined.  These variables are subsequently used by _LT_CONFIG to write
+# the compiler configuration to `libtool'.
+m4_defun([_LT_LANG_CXX_CONFIG],
+[AC_REQUIRE([_LT_PROG_CXX])dnl
+m4_require([_LT_FILEUTILS_DEFAULTS])dnl
+m4_require([_LT_DECL_EGREP])dnl
+
+AC_LANG_PUSH(C++)
+_LT_TAGVAR(archive_cmds_need_lc, $1)=no
+_LT_TAGVAR(allow_undefined_flag, $1)=
+_LT_TAGVAR(always_export_symbols, $1)=no
+_LT_TAGVAR(archive_expsym_cmds, $1)=
+_LT_TAGVAR(compiler_needs_object, $1)=no
+_LT_TAGVAR(export_dynamic_flag_spec, $1)=
+_LT_TAGVAR(hardcode_direct, $1)=no
+_LT_TAGVAR(hardcode_direct_absolute, $1)=no
+_LT_TAGVAR(hardcode_libdir_flag_spec, $1)=
+_LT_TAGVAR(hardcode_libdir_flag_spec_ld, $1)=
+_LT_TAGVAR(hardcode_libdir_separator, $1)=
+_LT_TAGVAR(hardcode_minus_L, $1)=no
+_LT_TAGVAR(hardcode_shlibpath_var, $1)=unsupported
+_LT_TAGVAR(hardcode_automatic, $1)=no
+_LT_TAGVAR(inherit_rpath, $1)=no
+_LT_TAGVAR(module_cmds, $1)=
+_LT_TAGVAR(module_expsym_cmds, $1)=
+_LT_TAGVAR(link_all_deplibs, $1)=unknown
+_LT_TAGVAR(old_archive_cmds, $1)=$old_archive_cmds
+_LT_TAGVAR(no_undefined_flag, $1)=
+_LT_TAGVAR(whole_archive_flag_spec, $1)=
+_LT_TAGVAR(enable_shared_with_static_runtimes, $1)=no
+
+# Source file extension for C++ test sources.
+ac_ext=cpp
+
+# Object file extension for compiled C++ test sources.
+objext=o
+_LT_TAGVAR(objext, $1)=$objext
+
+# No sense in running all these tests if we already determined that
+# the CXX compiler isn't working.  Some variables (like enable_shared)
+# are currently assumed to apply to all compilers on this platform,
+# and will be corrupted by setting them based on a non-working compiler.
+if test "$_lt_caught_CXX_error" != yes; then
+  # Code to be used in simple compile tests
+  lt_simple_compile_test_code="int some_variable = 0;"
+
+  # Code to be used in simple link tests
+  lt_simple_link_test_code='int main(int, char *[[]]) { return(0); }'
+
+  # ltmain only uses $CC for tagged configurations so make sure $CC is set.
+  _LT_TAG_COMPILER
+
+  # save warnings/boilerplate of simple test code
+  _LT_COMPILER_BOILERPLATE
+  _LT_LINKER_BOILERPLATE
+
+  # Allow CC to be a program name with arguments.
+  lt_save_CC=$CC
+  lt_save_LD=$LD
+  lt_save_GCC=$GCC
+  GCC=$GXX
+  lt_save_with_gnu_ld=$with_gnu_ld
+  lt_save_path_LD=$lt_cv_path_LD
+  if test -n "${lt_cv_prog_gnu_ldcxx+set}"; then
+    lt_cv_prog_gnu_ld=$lt_cv_prog_gnu_ldcxx
+  else
+    $as_unset lt_cv_prog_gnu_ld
+  fi
+  if test -n "${lt_cv_path_LDCXX+set}"; then
+    lt_cv_path_LD=$lt_cv_path_LDCXX
+  else
+    $as_unset lt_cv_path_LD
+  fi
+  test -z "${LDCXX+set}" || LD=$LDCXX
+  CC=${CXX-"c++"}
+  compiler=$CC
+  _LT_TAGVAR(compiler, $1)=$CC
+  _LT_CC_BASENAME([$compiler])
+
+  if test -n "$compiler"; then
+    # We don't want -fno-exception when compiling C++ code, so set the
+    # no_builtin_flag separately
+    if test "$GXX" = yes; then
+      _LT_TAGVAR(lt_prog_compiler_no_builtin_flag, $1)=' -fno-builtin'
+    else
+      _LT_TAGVAR(lt_prog_compiler_no_builtin_flag, $1)=
+    fi
+
+    if test "$GXX" = yes; then
+      # Set up default GNU C++ configuration
+
+      LT_PATH_LD
+
+      # Check if GNU C++ uses GNU ld as the underlying linker, since the
+      # archiving commands below assume that GNU ld is being used.
+      if test "$with_gnu_ld" = yes; then
+        _LT_TAGVAR(archive_cmds, $1)='$CC -shared -nostdlib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname $wl$soname -o $lib'
+        _LT_TAGVAR(archive_expsym_cmds, $1)='$CC -shared -nostdlib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname $wl$soname ${wl}-retain-symbols-file $wl$export_symbols -o $lib'
+
+        _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath ${wl}$libdir'
+        _LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}--export-dynamic'
+
+        # If archive_cmds runs LD, not CC, wlarc should be empty
+        # XXX I think wlarc can be eliminated in ltcf-cxx, but I need to
+        #     investigate it a little bit more. (MM)
+        wlarc='${wl}'
+
+        # ancient GNU ld didn't support --whole-archive et. al.
+        if eval "`$CC -print-prog-name=ld` --help 2>&1" |
+	  $GREP 'no-whole-archive' > /dev/null; then
+          _LT_TAGVAR(whole_archive_flag_spec, $1)="$wlarc"'--whole-archive$convenience '"$wlarc"'--no-whole-archive'
+        else
+          _LT_TAGVAR(whole_archive_flag_spec, $1)=
+        fi
+      else
+        with_gnu_ld=no
+        wlarc=
+
+        # A generic and very simple default shared library creation
+        # command for GNU C++ for the case where it uses the native
+        # linker, instead of GNU ld.  If possible, this setting should
+        # overridden to take advantage of the native linker features on
+        # the platform it is being used on.
+        _LT_TAGVAR(archive_cmds, $1)='$CC -shared -nostdlib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags -o $lib'
+      fi
+
+      # Commands to make compiler produce verbose output that lists
+      # what "hidden" libraries, object files and flags are used when
+      # linking a shared library.
+      output_verbose_link_cmd='$CC -shared $CFLAGS -v conftest.$objext 2>&1 | $GREP "\-L"'
+
+    else
+      GXX=no
+      with_gnu_ld=no
+      wlarc=
+    fi
+
+    # PORTME: fill in a description of your system's C++ link characteristics
+    AC_MSG_CHECKING([whether the $compiler linker ($LD) supports shared libraries])
+    _LT_TAGVAR(ld_shlibs, $1)=yes
+    case $host_os in
+      aix3*)
+        # FIXME: insert proper C++ library support
+        _LT_TAGVAR(ld_shlibs, $1)=no
+        ;;
+      aix[[4-9]]*)
+        if test "$host_cpu" = ia64; then
+          # On IA64, the linker does run time linking by default, so we don't
+          # have to do anything special.
+          aix_use_runtimelinking=no
+          exp_sym_flag='-Bexport'
+          no_entry_flag=""
+        else
+          aix_use_runtimelinking=no
+
+          # Test if we are trying to use run time linking or normal
+          # AIX style linking. If -brtl is somewhere in LDFLAGS, we
+          # need to do runtime linking.
+          case $host_os in aix4.[[23]]|aix4.[[23]].*|aix[[5-9]]*)
+	    for ld_flag in $LDFLAGS; do
+	      case $ld_flag in
+	      *-brtl*)
+	        aix_use_runtimelinking=yes
+	        break
+	        ;;
+	      esac
+	    done
+	    ;;
+          esac
+
+          exp_sym_flag='-bexport'
+          no_entry_flag='-bnoentry'
+        fi
+
+        # When large executables or shared objects are built, AIX ld can
+        # have problems creating the table of contents.  If linking a library
+        # or program results in "error TOC overflow" add -mminimal-toc to
+        # CXXFLAGS/CFLAGS for g++/gcc.  In the cases where that is not
+        # enough to fix the problem, add -Wl,-bbigtoc to LDFLAGS.
+
+        _LT_TAGVAR(archive_cmds, $1)=''
+        _LT_TAGVAR(hardcode_direct, $1)=yes
+        _LT_TAGVAR(hardcode_direct_absolute, $1)=yes
+        _LT_TAGVAR(hardcode_libdir_separator, $1)=':'
+        _LT_TAGVAR(link_all_deplibs, $1)=yes
+        _LT_TAGVAR(file_list_spec, $1)='${wl}-f,'
+
+        if test "$GXX" = yes; then
+          case $host_os in aix4.[[012]]|aix4.[[012]].*)
+          # We only want to do this on AIX 4.2 and lower, the check
+          # below for broken collect2 doesn't work under 4.3+
+	  collect2name=`${CC} -print-prog-name=collect2`
+	  if test -f "$collect2name" &&
+	     strings "$collect2name" | $GREP resolve_lib_name >/dev/null
+	  then
+	    # We have reworked collect2
+	    :
+	  else
+	    # We have old collect2
+	    _LT_TAGVAR(hardcode_direct, $1)=unsupported
+	    # It fails to find uninstalled libraries when the uninstalled
+	    # path is not listed in the libpath.  Setting hardcode_minus_L
+	    # to unsupported forces relinking
+	    _LT_TAGVAR(hardcode_minus_L, $1)=yes
+	    _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-L$libdir'
+	    _LT_TAGVAR(hardcode_libdir_separator, $1)=
+	  fi
+          esac
+          shared_flag='-shared'
+	  if test "$aix_use_runtimelinking" = yes; then
+	    shared_flag="$shared_flag "'${wl}-G'
+	  fi
+        else
+          # not using gcc
+          if test "$host_cpu" = ia64; then
+	  # VisualAge C++, Version 5.5 for AIX 5L for IA-64, Beta 3 Release
+	  # chokes on -Wl,-G. The following line is correct:
+	  shared_flag='-G'
+          else
+	    if test "$aix_use_runtimelinking" = yes; then
+	      shared_flag='${wl}-G'
+	    else
+	      shared_flag='${wl}-bM:SRE'
+	    fi
+          fi
+        fi
+
+        _LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}-bexpall'
+        # It seems that -bexpall does not export symbols beginning with
+        # underscore (_), so it is better to generate a list of symbols to
+	# export.
+        _LT_TAGVAR(always_export_symbols, $1)=yes
+        if test "$aix_use_runtimelinking" = yes; then
+          # Warning - without using the other runtime loading flags (-brtl),
+          # -berok will link without error, but may produce a broken library.
+          _LT_TAGVAR(allow_undefined_flag, $1)='-berok'
+          # Determine the default libpath from the value encoded in an empty
+          # executable.
+          _LT_SYS_MODULE_PATH_AIX
+          _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-blibpath:$libdir:'"$aix_libpath"
+
+          _LT_TAGVAR(archive_expsym_cmds, $1)='$CC -o $output_objdir/$soname $libobjs $deplibs '"\${wl}$no_entry_flag"' $compiler_flags `if test "x${allow_undefined_flag}" != "x"; then $ECHO "X${wl}${allow_undefined_flag}" | $Xsed; else :; fi` '"\${wl}$exp_sym_flag:\$export_symbols $shared_flag"
+        else
+          if test "$host_cpu" = ia64; then
+	    _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-R $libdir:/usr/lib:/lib'
+	    _LT_TAGVAR(allow_undefined_flag, $1)="-z nodefs"
+	    _LT_TAGVAR(archive_expsym_cmds, $1)="\$CC $shared_flag"' -o $output_objdir/$soname $libobjs $deplibs '"\${wl}$no_entry_flag"' $compiler_flags ${wl}${allow_undefined_flag} '"\${wl}$exp_sym_flag:\$export_symbols"
+          else
+	    # Determine the default libpath from the value encoded in an
+	    # empty executable.
+	    _LT_SYS_MODULE_PATH_AIX
+	    _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-blibpath:$libdir:'"$aix_libpath"
+	    # Warning - without using the other run time loading flags,
+	    # -berok will link without error, but may produce a broken library.
+	    _LT_TAGVAR(no_undefined_flag, $1)=' ${wl}-bernotok'
+	    _LT_TAGVAR(allow_undefined_flag, $1)=' ${wl}-berok'
+	    # Exported symbols can be pulled into shared objects from archives
+	    _LT_TAGVAR(whole_archive_flag_spec, $1)='$convenience'
+	    _LT_TAGVAR(archive_cmds_need_lc, $1)=yes
+	    # This is similar to how AIX traditionally builds its shared
+	    # libraries.
+	    _LT_TAGVAR(archive_expsym_cmds, $1)="\$CC $shared_flag"' -o $output_objdir/$soname $libobjs $deplibs ${wl}-bnoentry $compiler_flags ${wl}-bE:$export_symbols${allow_undefined_flag}~$AR $AR_FLAGS $output_objdir/$libname$release.a $output_objdir/$soname'
+          fi
+        fi
+        ;;
+
+      beos*)
+	if $LD --help 2>&1 | $GREP ': supported targets:.* elf' > /dev/null; then
+	  _LT_TAGVAR(allow_undefined_flag, $1)=unsupported
+	  # Joseph Beckenbach <jrb3 at best.com> says some releases of gcc
+	  # support --undefined.  This deserves some investigation.  FIXME
+	  _LT_TAGVAR(archive_cmds, $1)='$CC -nostart $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+	else
+	  _LT_TAGVAR(ld_shlibs, $1)=no
+	fi
+	;;
+
+      chorus*)
+        case $cc_basename in
+          *)
+	  # FIXME: insert proper C++ library support
+	  _LT_TAGVAR(ld_shlibs, $1)=no
+	  ;;
+        esac
+        ;;
+
+      cygwin* | mingw* | pw32* | cegcc*)
+        # _LT_TAGVAR(hardcode_libdir_flag_spec, $1) is actually meaningless,
+        # as there is no search path for DLLs.
+        _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-L$libdir'
+        _LT_TAGVAR(allow_undefined_flag, $1)=unsupported
+        _LT_TAGVAR(always_export_symbols, $1)=no
+        _LT_TAGVAR(enable_shared_with_static_runtimes, $1)=yes
+
+        if $LD --help 2>&1 | $GREP 'auto-import' > /dev/null; then
+          _LT_TAGVAR(archive_cmds, $1)='$CC -shared -nostdlib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags -o $output_objdir/$soname ${wl}--enable-auto-image-base -Xlinker --out-implib -Xlinker $lib'
+          # If the export-symbols file already is a .def file (1st line
+          # is EXPORTS), use it as is; otherwise, prepend...
+          _LT_TAGVAR(archive_expsym_cmds, $1)='if test "x`$SED 1q $export_symbols`" = xEXPORTS; then
+	    cp $export_symbols $output_objdir/$soname.def;
+          else
+	    echo EXPORTS > $output_objdir/$soname.def;
+	    cat $export_symbols >> $output_objdir/$soname.def;
+          fi~
+          $CC -shared -nostdlib $output_objdir/$soname.def $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags -o $output_objdir/$soname ${wl}--enable-auto-image-base -Xlinker --out-implib -Xlinker $lib'
+        else
+          _LT_TAGVAR(ld_shlibs, $1)=no
+        fi
+        ;;
+      darwin* | rhapsody*)
+        _LT_DARWIN_LINKER_FEATURES($1)
+	;;
+
+      dgux*)
+        case $cc_basename in
+          ec++*)
+	    # FIXME: insert proper C++ library support
+	    _LT_TAGVAR(ld_shlibs, $1)=no
+	    ;;
+          ghcx*)
+	    # Green Hills C++ Compiler
+	    # FIXME: insert proper C++ library support
+	    _LT_TAGVAR(ld_shlibs, $1)=no
+	    ;;
+          *)
+	    # FIXME: insert proper C++ library support
+	    _LT_TAGVAR(ld_shlibs, $1)=no
+	    ;;
+        esac
+        ;;
+
+      freebsd[[12]]*)
+        # C++ shared libraries reported to be fairly broken before
+	# switch to ELF
+        _LT_TAGVAR(ld_shlibs, $1)=no
+        ;;
+
+      freebsd-elf*)
+        _LT_TAGVAR(archive_cmds_need_lc, $1)=no
+        ;;
+
+      freebsd* | dragonfly*)
+        # FreeBSD 3 and later use GNU C++ and GNU ld with standard ELF
+        # conventions
+        _LT_TAGVAR(ld_shlibs, $1)=yes
+        ;;
+
+      gnu*)
+        ;;
+
+      hpux9*)
+        _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}+b ${wl}$libdir'
+        _LT_TAGVAR(hardcode_libdir_separator, $1)=:
+        _LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}-E'
+        _LT_TAGVAR(hardcode_direct, $1)=yes
+        _LT_TAGVAR(hardcode_minus_L, $1)=yes # Not in the search PATH,
+				             # but as the default
+				             # location of the library.
+
+        case $cc_basename in
+          CC*)
+            # FIXME: insert proper C++ library support
+            _LT_TAGVAR(ld_shlibs, $1)=no
+            ;;
+          aCC*)
+            _LT_TAGVAR(archive_cmds, $1)='$RM $output_objdir/$soname~$CC -b ${wl}+b ${wl}$install_libdir -o $output_objdir/$soname $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags~test $output_objdir/$soname = $lib || mv $output_objdir/$soname $lib'
+            # Commands to make compiler produce verbose output that lists
+            # what "hidden" libraries, object files and flags are used when
+            # linking a shared library.
+            #
+            # There doesn't appear to be a way to prevent this compiler from
+            # explicitly linking system object files so we need to strip them
+            # from the output so that they don't get included in the library
+            # dependencies.
+            output_verbose_link_cmd='templist=`($CC -b $CFLAGS -v conftest.$objext 2>&1) | $EGREP "\-L"`; list=""; for z in $templist; do case $z in conftest.$objext) list="$list $z";; *.$objext);; *) list="$list $z";;esac; done; $ECHO "X$list" | $Xsed'
+            ;;
+          *)
+            if test "$GXX" = yes; then
+              _LT_TAGVAR(archive_cmds, $1)='$RM $output_objdir/$soname~$CC -shared -nostdlib -fPIC ${wl}+b ${wl}$install_libdir -o $output_objdir/$soname $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags~test $output_objdir/$soname = $lib || mv $output_objdir/$soname $lib'
+            else
+              # FIXME: insert proper C++ library support
+              _LT_TAGVAR(ld_shlibs, $1)=no
+            fi
+            ;;
+        esac
+        ;;
+
+      hpux10*|hpux11*)
+        if test $with_gnu_ld = no; then
+	  _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}+b ${wl}$libdir'
+	  _LT_TAGVAR(hardcode_libdir_separator, $1)=:
+
+          case $host_cpu in
+            hppa*64*|ia64*)
+              ;;
+            *)
+	      _LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}-E'
+              ;;
+          esac
+        fi
+        case $host_cpu in
+          hppa*64*|ia64*)
+            _LT_TAGVAR(hardcode_direct, $1)=no
+            _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+            ;;
+          *)
+            _LT_TAGVAR(hardcode_direct, $1)=yes
+            _LT_TAGVAR(hardcode_direct_absolute, $1)=yes
+            _LT_TAGVAR(hardcode_minus_L, $1)=yes # Not in the search PATH,
+					         # but as the default
+					         # location of the library.
+            ;;
+        esac
+
+        case $cc_basename in
+          CC*)
+	    # FIXME: insert proper C++ library support
+	    _LT_TAGVAR(ld_shlibs, $1)=no
+	    ;;
+          aCC*)
+	    case $host_cpu in
+	      hppa*64*)
+	        _LT_TAGVAR(archive_cmds, $1)='$CC -b ${wl}+h ${wl}$soname -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags'
+	        ;;
+	      ia64*)
+	        _LT_TAGVAR(archive_cmds, $1)='$CC -b ${wl}+h ${wl}$soname ${wl}+nodefaultrpath -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags'
+	        ;;
+	      *)
+	        _LT_TAGVAR(archive_cmds, $1)='$CC -b ${wl}+h ${wl}$soname ${wl}+b ${wl}$install_libdir -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags'
+	        ;;
+	    esac
+	    # Commands to make compiler produce verbose output that lists
+	    # what "hidden" libraries, object files and flags are used when
+	    # linking a shared library.
+	    #
+	    # There doesn't appear to be a way to prevent this compiler from
+	    # explicitly linking system object files so we need to strip them
+	    # from the output so that they don't get included in the library
+	    # dependencies.
+	    output_verbose_link_cmd='templist=`($CC -b $CFLAGS -v conftest.$objext 2>&1) | $GREP "\-L"`; list=""; for z in $templist; do case $z in conftest.$objext) list="$list $z";; *.$objext);; *) list="$list $z";;esac; done; $ECHO "X$list" | $Xsed'
+	    ;;
+          *)
+	    if test "$GXX" = yes; then
+	      if test $with_gnu_ld = no; then
+	        case $host_cpu in
+	          hppa*64*)
+	            _LT_TAGVAR(archive_cmds, $1)='$CC -shared -nostdlib -fPIC ${wl}+h ${wl}$soname -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags'
+	            ;;
+	          ia64*)
+	            _LT_TAGVAR(archive_cmds, $1)='$CC -shared -nostdlib -fPIC ${wl}+h ${wl}$soname ${wl}+nodefaultrpath -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags'
+	            ;;
+	          *)
+	            _LT_TAGVAR(archive_cmds, $1)='$CC -shared -nostdlib -fPIC ${wl}+h ${wl}$soname ${wl}+b ${wl}$install_libdir -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags'
+	            ;;
+	        esac
+	      fi
+	    else
+	      # FIXME: insert proper C++ library support
+	      _LT_TAGVAR(ld_shlibs, $1)=no
+	    fi
+	    ;;
+        esac
+        ;;
+
+      interix[[3-9]]*)
+	_LT_TAGVAR(hardcode_direct, $1)=no
+	_LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+	_LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath,$libdir'
+	_LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}-E'
+	# Hack: On Interix 3.x, we cannot compile PIC because of a broken gcc.
+	# Instead, shared libraries are loaded at an image base (0x10000000 by
+	# default) and relocated if they conflict, which is a slow very memory
+	# consuming and fragmenting process.  To avoid this, we pick a random,
+	# 256 KiB-aligned image base between 0x50000000 and 0x6FFC0000 at link
+	# time.  Moving up from 0x10000000 also allows more sbrk(2) space.
+	_LT_TAGVAR(archive_cmds, $1)='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-h,$soname ${wl}--image-base,`expr ${RANDOM-$$} % 4096 / 2 \* 262144 + 1342177280` -o $lib'
+	_LT_TAGVAR(archive_expsym_cmds, $1)='sed "s,^,_," $export_symbols >$output_objdir/$soname.expsym~$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-h,$soname ${wl}--retain-symbols-file,$output_objdir/$soname.expsym ${wl}--image-base,`expr ${RANDOM-$$} % 4096 / 2 \* 262144 + 1342177280` -o $lib'
+	;;
+      irix5* | irix6*)
+        case $cc_basename in
+          CC*)
+	    # SGI C++
+	    _LT_TAGVAR(archive_cmds, $1)='$CC -shared -all -multigot $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags -soname $soname `test -n "$verstring" && $ECHO "X-set_version $verstring" | $Xsed` -update_registry ${output_objdir}/so_locations -o $lib'
+
+	    # Archives containing C++ object files must be created using
+	    # "CC -ar", where "CC" is the IRIX C++ compiler.  This is
+	    # necessary to make sure instantiated templates are included
+	    # in the archive.
+	    _LT_TAGVAR(old_archive_cmds, $1)='$CC -ar -WR,-u -o $oldlib $oldobjs'
+	    ;;
+          *)
+	    if test "$GXX" = yes; then
+	      if test "$with_gnu_ld" = no; then
+	        _LT_TAGVAR(archive_cmds, $1)='$CC -shared -nostdlib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && $ECHO "X${wl}-set_version ${wl}$verstring" | $Xsed` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
+	      else
+	        _LT_TAGVAR(archive_cmds, $1)='$CC -shared -nostdlib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && $ECHO "X${wl}-set_version ${wl}$verstring" | $Xsed` -o $lib'
+	      fi
+	    fi
+	    _LT_TAGVAR(link_all_deplibs, $1)=yes
+	    ;;
+        esac
+        _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath ${wl}$libdir'
+        _LT_TAGVAR(hardcode_libdir_separator, $1)=:
+        _LT_TAGVAR(inherit_rpath, $1)=yes
+        ;;
+
+      linux* | k*bsd*-gnu)
+        case $cc_basename in
+          KCC*)
+	    # Kuck and Associates, Inc. (KAI) C++ Compiler
+
+	    # KCC will only create a shared library if the output file
+	    # ends with ".so" (or ".sl" for HP-UX), so rename the library
+	    # to its proper name (with version) after linking.
+	    _LT_TAGVAR(archive_cmds, $1)='tempext=`echo $shared_ext | $SED -e '\''s/\([[^()0-9A-Za-z{}]]\)/\\\\\1/g'\''`; templib=`echo $lib | $SED -e "s/\${tempext}\..*/.so/"`; $CC $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags --soname $soname -o \$templib; mv \$templib $lib'
+	    _LT_TAGVAR(archive_expsym_cmds, $1)='tempext=`echo $shared_ext | $SED -e '\''s/\([[^()0-9A-Za-z{}]]\)/\\\\\1/g'\''`; templib=`echo $lib | $SED -e "s/\${tempext}\..*/.so/"`; $CC $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags --soname $soname -o \$templib ${wl}-retain-symbols-file,$export_symbols; mv \$templib $lib'
+	    # Commands to make compiler produce verbose output that lists
+	    # what "hidden" libraries, object files and flags are used when
+	    # linking a shared library.
+	    #
+	    # There doesn't appear to be a way to prevent this compiler from
+	    # explicitly linking system object files so we need to strip them
+	    # from the output so that they don't get included in the library
+	    # dependencies.
+	    output_verbose_link_cmd='templist=`$CC $CFLAGS -v conftest.$objext -o libconftest$shared_ext 2>&1 | $GREP "ld"`; rm -f libconftest$shared_ext; list=""; for z in $templist; do case $z in conftest.$objext) list="$list $z";; *.$objext);; *) list="$list $z";;esac; done; $ECHO "X$list" | $Xsed'
+
+	    _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath,$libdir'
+	    _LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}--export-dynamic'
+
+	    # Archives containing C++ object files must be created using
+	    # "CC -Bstatic", where "CC" is the KAI C++ compiler.
+	    _LT_TAGVAR(old_archive_cmds, $1)='$CC -Bstatic -o $oldlib $oldobjs'
+	    ;;
+	  icpc* | ecpc* )
+	    # Intel C++
+	    with_gnu_ld=yes
+	    # version 8.0 and above of icpc choke on multiply defined symbols
+	    # if we add $predep_objects and $postdep_objects, however 7.1 and
+	    # earlier do not add the objects themselves.
+	    case `$CC -V 2>&1` in
+	      *"Version 7."*)
+	        _LT_TAGVAR(archive_cmds, $1)='$CC -shared $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname $wl$soname -o $lib'
+		_LT_TAGVAR(archive_expsym_cmds, $1)='$CC -shared $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname $wl$soname ${wl}-retain-symbols-file $wl$export_symbols -o $lib'
+		;;
+	      *)  # Version 8.0 or newer
+	        tmp_idyn=
+	        case $host_cpu in
+		  ia64*) tmp_idyn=' -i_dynamic';;
+		esac
+	        _LT_TAGVAR(archive_cmds, $1)='$CC -shared'"$tmp_idyn"' $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+		_LT_TAGVAR(archive_expsym_cmds, $1)='$CC -shared'"$tmp_idyn"' $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname ${wl}-retain-symbols-file $wl$export_symbols -o $lib'
+		;;
+	    esac
+	    _LT_TAGVAR(archive_cmds_need_lc, $1)=no
+	    _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath,$libdir'
+	    _LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}--export-dynamic'
+	    _LT_TAGVAR(whole_archive_flag_spec, $1)='${wl}--whole-archive$convenience ${wl}--no-whole-archive'
+	    ;;
+          pgCC* | pgcpp*)
+            # Portland Group C++ compiler
+	    case `$CC -V` in
+	    *pgCC\ [[1-5]]* | *pgcpp\ [[1-5]]*)
+	      _LT_TAGVAR(prelink_cmds, $1)='tpldir=Template.dir~
+		rm -rf $tpldir~
+		$CC --prelink_objects --instantiation_dir $tpldir $objs $libobjs $compile_deplibs~
+		compile_command="$compile_command `find $tpldir -name \*.o | $NL2SP`"'
+	      _LT_TAGVAR(old_archive_cmds, $1)='tpldir=Template.dir~
+		rm -rf $tpldir~
+		$CC --prelink_objects --instantiation_dir $tpldir $oldobjs$old_deplibs~
+		$AR $AR_FLAGS $oldlib$oldobjs$old_deplibs `find $tpldir -name \*.o | $NL2SP`~
+		$RANLIB $oldlib'
+	      _LT_TAGVAR(archive_cmds, $1)='tpldir=Template.dir~
+		rm -rf $tpldir~
+		$CC --prelink_objects --instantiation_dir $tpldir $predep_objects $libobjs $deplibs $convenience $postdep_objects~
+		$CC -shared $pic_flag $predep_objects $libobjs $deplibs `find $tpldir -name \*.o | $NL2SP` $postdep_objects $compiler_flags ${wl}-soname ${wl}$soname -o $lib'
+	      _LT_TAGVAR(archive_expsym_cmds, $1)='tpldir=Template.dir~
+		rm -rf $tpldir~
+		$CC --prelink_objects --instantiation_dir $tpldir $predep_objects $libobjs $deplibs $convenience $postdep_objects~
+		$CC -shared $pic_flag $predep_objects $libobjs $deplibs `find $tpldir -name \*.o | $NL2SP` $postdep_objects $compiler_flags ${wl}-soname ${wl}$soname ${wl}-retain-symbols-file ${wl}$export_symbols -o $lib'
+	      ;;
+	    *) # Version 6 will use weak symbols
+	      _LT_TAGVAR(archive_cmds, $1)='$CC -shared $pic_flag $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname ${wl}$soname -o $lib'
+	      _LT_TAGVAR(archive_expsym_cmds, $1)='$CC -shared $pic_flag $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname ${wl}$soname ${wl}-retain-symbols-file ${wl}$export_symbols -o $lib'
+	      ;;
+	    esac
+
+	    _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}--rpath ${wl}$libdir'
+	    _LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}--export-dynamic'
+	    _LT_TAGVAR(whole_archive_flag_spec, $1)='${wl}--whole-archive`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience,$conv\"; done; $ECHO \"$new_convenience\"` ${wl}--no-whole-archive'
+            ;;
+	  cxx*)
+	    # Compaq C++
+	    _LT_TAGVAR(archive_cmds, $1)='$CC -shared $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname $wl$soname -o $lib'
+	    _LT_TAGVAR(archive_expsym_cmds, $1)='$CC -shared $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname $wl$soname  -o $lib ${wl}-retain-symbols-file $wl$export_symbols'
+
+	    runpath_var=LD_RUN_PATH
+	    _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-rpath $libdir'
+	    _LT_TAGVAR(hardcode_libdir_separator, $1)=:
+
+	    # Commands to make compiler produce verbose output that lists
+	    # what "hidden" libraries, object files and flags are used when
+	    # linking a shared library.
+	    #
+	    # There doesn't appear to be a way to prevent this compiler from
+	    # explicitly linking system object files so we need to strip them
+	    # from the output so that they don't get included in the library
+	    # dependencies.
+	    output_verbose_link_cmd='templist=`$CC -shared $CFLAGS -v conftest.$objext 2>&1 | $GREP "ld"`; templist=`$ECHO "X$templist" | $Xsed -e "s/\(^.*ld.*\)\( .*ld .*$\)/\1/"`; list=""; for z in $templist; do case $z in conftest.$objext) list="$list $z";; *.$objext);; *) list="$list $z";;esac; done; $ECHO "X$list" | $Xsed'
+	    ;;
+	  xl*)
+	    # IBM XL 8.0 on PPC, with GNU ld
+	    _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath ${wl}$libdir'
+	    _LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}--export-dynamic'
+	    _LT_TAGVAR(archive_cmds, $1)='$CC -qmkshrobj $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+	    if test "x$supports_anon_versioning" = xyes; then
+	      _LT_TAGVAR(archive_expsym_cmds, $1)='echo "{ global:" > $output_objdir/$libname.ver~
+		cat $export_symbols | sed -e "s/\(.*\)/\1;/" >> $output_objdir/$libname.ver~
+		echo "local: *; };" >> $output_objdir/$libname.ver~
+		$CC -qmkshrobj $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname ${wl}-version-script ${wl}$output_objdir/$libname.ver -o $lib'
+	    fi
+	    ;;
+	  *)
+	    case `$CC -V 2>&1 | sed 5q` in
+	    *Sun\ C*)
+	      # Sun C++ 5.9
+	      _LT_TAGVAR(no_undefined_flag, $1)=' -zdefs'
+	      _LT_TAGVAR(archive_cmds, $1)='$CC -G${allow_undefined_flag} -h$soname -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags'
+	      _LT_TAGVAR(archive_expsym_cmds, $1)='$CC -G${allow_undefined_flag} -h$soname -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-retain-symbols-file ${wl}$export_symbols'
+	      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-R$libdir'
+	      _LT_TAGVAR(whole_archive_flag_spec, $1)='${wl}--whole-archive`new_convenience=; for conv in $convenience\"\"; do test -z \"$conv\" || new_convenience=\"$new_convenience,$conv\"; done; $ECHO \"$new_convenience\"` ${wl}--no-whole-archive'
+	      _LT_TAGVAR(compiler_needs_object, $1)=yes
+
+	      # Not sure whether something based on
+	      # $CC $CFLAGS -v conftest.$objext -o libconftest$shared_ext 2>&1
+	      # would be better.
+	      output_verbose_link_cmd='echo'
+
+	      # Archives containing C++ object files must be created using
+	      # "CC -xar", where "CC" is the Sun C++ compiler.  This is
+	      # necessary to make sure instantiated templates are included
+	      # in the archive.
+	      _LT_TAGVAR(old_archive_cmds, $1)='$CC -xar -o $oldlib $oldobjs'
+	      ;;
+	    esac
+	    ;;
+	esac
+	;;
+
+      lynxos*)
+        # FIXME: insert proper C++ library support
+	_LT_TAGVAR(ld_shlibs, $1)=no
+	;;
+
+      m88k*)
+        # FIXME: insert proper C++ library support
+        _LT_TAGVAR(ld_shlibs, $1)=no
+	;;
+
+      mvs*)
+        case $cc_basename in
+          cxx*)
+	    # FIXME: insert proper C++ library support
+	    _LT_TAGVAR(ld_shlibs, $1)=no
+	    ;;
+	  *)
+	    # FIXME: insert proper C++ library support
+	    _LT_TAGVAR(ld_shlibs, $1)=no
+	    ;;
+	esac
+	;;
+
+      netbsd*)
+        if echo __ELF__ | $CC -E - | $GREP __ELF__ >/dev/null; then
+	  _LT_TAGVAR(archive_cmds, $1)='$LD -Bshareable  -o $lib $predep_objects $libobjs $deplibs $postdep_objects $linker_flags'
+	  wlarc=
+	  _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-R$libdir'
+	  _LT_TAGVAR(hardcode_direct, $1)=yes
+	  _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+	fi
+	# Workaround some broken pre-1.5 toolchains
+	output_verbose_link_cmd='$CC -shared $CFLAGS -v conftest.$objext 2>&1 | $GREP conftest.$objext | $SED -e "s:-lgcc -lc -lgcc::"'
+	;;
+
+      *nto* | *qnx*)
+        _LT_TAGVAR(ld_shlibs, $1)=yes
+	;;
+
+      openbsd2*)
+        # C++ shared libraries are fairly broken
+	_LT_TAGVAR(ld_shlibs, $1)=no
+	;;
+
+      openbsd*)
+	if test -f /usr/libexec/ld.so; then
+	  _LT_TAGVAR(hardcode_direct, $1)=yes
+	  _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+	  _LT_TAGVAR(hardcode_direct_absolute, $1)=yes
+	  _LT_TAGVAR(archive_cmds, $1)='$CC -shared $pic_flag $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags -o $lib'
+	  _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath,$libdir'
+	  if test -z "`echo __ELF__ | $CC -E - | grep __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
+	    _LT_TAGVAR(archive_expsym_cmds, $1)='$CC -shared $pic_flag $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-retain-symbols-file,$export_symbols -o $lib'
+	    _LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}-E'
+	    _LT_TAGVAR(whole_archive_flag_spec, $1)="$wlarc"'--whole-archive$convenience '"$wlarc"'--no-whole-archive'
+	  fi
+	  output_verbose_link_cmd=echo
+	else
+	  _LT_TAGVAR(ld_shlibs, $1)=no
+	fi
+	;;
+
+      osf3* | osf4* | osf5*)
+        case $cc_basename in
+          KCC*)
+	    # Kuck and Associates, Inc. (KAI) C++ Compiler
+
+	    # KCC will only create a shared library if the output file
+	    # ends with ".so" (or ".sl" for HP-UX), so rename the library
+	    # to its proper name (with version) after linking.
+	    _LT_TAGVAR(archive_cmds, $1)='tempext=`echo $shared_ext | $SED -e '\''s/\([[^()0-9A-Za-z{}]]\)/\\\\\1/g'\''`; templib=`echo "$lib" | $SED -e "s/\${tempext}\..*/.so/"`; $CC $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags --soname $soname -o \$templib; mv \$templib $lib'
+
+	    _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath,$libdir'
+	    _LT_TAGVAR(hardcode_libdir_separator, $1)=:
+
+	    # Archives containing C++ object files must be created using
+	    # the KAI C++ compiler.
+	    case $host in
+	      osf3*) _LT_TAGVAR(old_archive_cmds, $1)='$CC -Bstatic -o $oldlib $oldobjs' ;;
+	      *) _LT_TAGVAR(old_archive_cmds, $1)='$CC -o $oldlib $oldobjs' ;;
+	    esac
+	    ;;
+          RCC*)
+	    # Rational C++ 2.4.1
+	    # FIXME: insert proper C++ library support
+	    _LT_TAGVAR(ld_shlibs, $1)=no
+	    ;;
+          cxx*)
+	    case $host in
+	      osf3*)
+	        _LT_TAGVAR(allow_undefined_flag, $1)=' ${wl}-expect_unresolved ${wl}\*'
+	        _LT_TAGVAR(archive_cmds, $1)='$CC -shared${allow_undefined_flag} $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname $soname `test -n "$verstring" && $ECHO "X${wl}-set_version $verstring" | $Xsed` -update_registry ${output_objdir}/so_locations -o $lib'
+	        _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath ${wl}$libdir'
+		;;
+	      *)
+	        _LT_TAGVAR(allow_undefined_flag, $1)=' -expect_unresolved \*'
+	        _LT_TAGVAR(archive_cmds, $1)='$CC -shared${allow_undefined_flag} $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags -msym -soname $soname `test -n "$verstring" && $ECHO "X-set_version $verstring" | $Xsed` -update_registry ${output_objdir}/so_locations -o $lib'
+	        _LT_TAGVAR(archive_expsym_cmds, $1)='for i in `cat $export_symbols`; do printf "%s %s\\n" -exported_symbol "\$i" >> $lib.exp; done~
+	          echo "-hidden">> $lib.exp~
+	          $CC -shared$allow_undefined_flag $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags -msym -soname $soname ${wl}-input ${wl}$lib.exp  `test -n "$verstring" && $ECHO "X-set_version $verstring" | $Xsed` -update_registry ${output_objdir}/so_locations -o $lib~
+	          $RM $lib.exp'
+	        _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-rpath $libdir'
+		;;
+	    esac
+
+	    _LT_TAGVAR(hardcode_libdir_separator, $1)=:
+
+	    # Commands to make compiler produce verbose output that lists
+	    # what "hidden" libraries, object files and flags are used when
+	    # linking a shared library.
+	    #
+	    # There doesn't appear to be a way to prevent this compiler from
+	    # explicitly linking system object files so we need to strip them
+	    # from the output so that they don't get included in the library
+	    # dependencies.
+	    output_verbose_link_cmd='templist=`$CC -shared $CFLAGS -v conftest.$objext 2>&1 | $GREP "ld" | $GREP -v "ld:"`; templist=`$ECHO "X$templist" | $Xsed -e "s/\(^.*ld.*\)\( .*ld.*$\)/\1/"`; list=""; for z in $templist; do case $z in conftest.$objext) list="$list $z";; *.$objext);; *) list="$list $z";;esac; done; $ECHO "X$list" | $Xsed'
+	    ;;
+	  *)
+	    if test "$GXX" = yes && test "$with_gnu_ld" = no; then
+	      _LT_TAGVAR(allow_undefined_flag, $1)=' ${wl}-expect_unresolved ${wl}\*'
+	      case $host in
+	        osf3*)
+	          _LT_TAGVAR(archive_cmds, $1)='$CC -shared -nostdlib ${allow_undefined_flag} $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && $ECHO "X${wl}-set_version ${wl}$verstring" | $Xsed` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
+		  ;;
+	        *)
+	          _LT_TAGVAR(archive_cmds, $1)='$CC -shared -nostdlib ${allow_undefined_flag} $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-msym ${wl}-soname ${wl}$soname `test -n "$verstring" && $ECHO "${wl}-set_version ${wl}$verstring" | $Xsed` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
+		  ;;
+	      esac
+
+	      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath ${wl}$libdir'
+	      _LT_TAGVAR(hardcode_libdir_separator, $1)=:
+
+	      # Commands to make compiler produce verbose output that lists
+	      # what "hidden" libraries, object files and flags are used when
+	      # linking a shared library.
+	      output_verbose_link_cmd='$CC -shared $CFLAGS -v conftest.$objext 2>&1 | $GREP "\-L"'
+
+	    else
+	      # FIXME: insert proper C++ library support
+	      _LT_TAGVAR(ld_shlibs, $1)=no
+	    fi
+	    ;;
+        esac
+        ;;
+
+      psos*)
+        # FIXME: insert proper C++ library support
+        _LT_TAGVAR(ld_shlibs, $1)=no
+        ;;
+
+      sunos4*)
+        case $cc_basename in
+          CC*)
+	    # Sun C++ 4.x
+	    # FIXME: insert proper C++ library support
+	    _LT_TAGVAR(ld_shlibs, $1)=no
+	    ;;
+          lcc*)
+	    # Lucid
+	    # FIXME: insert proper C++ library support
+	    _LT_TAGVAR(ld_shlibs, $1)=no
+	    ;;
+          *)
+	    # FIXME: insert proper C++ library support
+	    _LT_TAGVAR(ld_shlibs, $1)=no
+	    ;;
+        esac
+        ;;
+
+      solaris*)
+        case $cc_basename in
+          CC*)
+	    # Sun C++ 4.2, 5.x and Centerline C++
+            _LT_TAGVAR(archive_cmds_need_lc,$1)=yes
+	    _LT_TAGVAR(no_undefined_flag, $1)=' -zdefs'
+	    _LT_TAGVAR(archive_cmds, $1)='$CC -G${allow_undefined_flag}  -h$soname -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags'
+	    _LT_TAGVAR(archive_expsym_cmds, $1)='echo "{ global:" > $lib.exp~cat $export_symbols | $SED -e "s/\(.*\)/\1;/" >> $lib.exp~echo "local: *; };" >> $lib.exp~
+	      $CC -G${allow_undefined_flag} ${wl}-M ${wl}$lib.exp -h$soname -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags~$RM $lib.exp'
+
+	    _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-R$libdir'
+	    _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+	    case $host_os in
+	      solaris2.[[0-5]] | solaris2.[[0-5]].*) ;;
+	      *)
+		# The compiler driver will combine and reorder linker options,
+		# but understands `-z linker_flag'.
+	        # Supported since Solaris 2.6 (maybe 2.5.1?)
+		_LT_TAGVAR(whole_archive_flag_spec, $1)='-z allextract$convenience -z defaultextract'
+	        ;;
+	    esac
+	    _LT_TAGVAR(link_all_deplibs, $1)=yes
+
+	    output_verbose_link_cmd='echo'
+
+	    # Archives containing C++ object files must be created using
+	    # "CC -xar", where "CC" is the Sun C++ compiler.  This is
+	    # necessary to make sure instantiated templates are included
+	    # in the archive.
+	    _LT_TAGVAR(old_archive_cmds, $1)='$CC -xar -o $oldlib $oldobjs'
+	    ;;
+          gcx*)
+	    # Green Hills C++ Compiler
+	    _LT_TAGVAR(archive_cmds, $1)='$CC -shared $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-h $wl$soname -o $lib'
+
+	    # The C++ compiler must be used to create the archive.
+	    _LT_TAGVAR(old_archive_cmds, $1)='$CC $LDFLAGS -archive -o $oldlib $oldobjs'
+	    ;;
+          *)
+	    # GNU C++ compiler with Solaris linker
+	    if test "$GXX" = yes && test "$with_gnu_ld" = no; then
+	      _LT_TAGVAR(no_undefined_flag, $1)=' ${wl}-z ${wl}defs'
+	      if $CC --version | $GREP -v '^2\.7' > /dev/null; then
+	        _LT_TAGVAR(archive_cmds, $1)='$CC -shared -nostdlib $LDFLAGS $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-h $wl$soname -o $lib'
+	        _LT_TAGVAR(archive_expsym_cmds, $1)='echo "{ global:" > $lib.exp~cat $export_symbols | $SED -e "s/\(.*\)/\1;/" >> $lib.exp~echo "local: *; };" >> $lib.exp~
+		  $CC -shared -nostdlib ${wl}-M $wl$lib.exp -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags~$RM $lib.exp'
+
+	        # Commands to make compiler produce verbose output that lists
+	        # what "hidden" libraries, object files and flags are used when
+	        # linking a shared library.
+	        output_verbose_link_cmd='$CC -shared $CFLAGS -v conftest.$objext 2>&1 | $GREP "\-L"'
+	      else
+	        # g++ 2.7 appears to require `-G' NOT `-shared' on this
+	        # platform.
+	        _LT_TAGVAR(archive_cmds, $1)='$CC -G -nostdlib $LDFLAGS $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-h $wl$soname -o $lib'
+	        _LT_TAGVAR(archive_expsym_cmds, $1)='echo "{ global:" > $lib.exp~cat $export_symbols | $SED -e "s/\(.*\)/\1;/" >> $lib.exp~echo "local: *; };" >> $lib.exp~
+		  $CC -G -nostdlib ${wl}-M $wl$lib.exp -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags~$RM $lib.exp'
+
+	        # Commands to make compiler produce verbose output that lists
+	        # what "hidden" libraries, object files and flags are used when
+	        # linking a shared library.
+	        output_verbose_link_cmd='$CC -G $CFLAGS -v conftest.$objext 2>&1 | $GREP "\-L"'
+	      fi
+
+	      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-R $wl$libdir'
+	      case $host_os in
+		solaris2.[[0-5]] | solaris2.[[0-5]].*) ;;
+		*)
+		  _LT_TAGVAR(whole_archive_flag_spec, $1)='${wl}-z ${wl}allextract$convenience ${wl}-z ${wl}defaultextract'
+		  ;;
+	      esac
+	    fi
+	    ;;
+        esac
+        ;;
+
+    sysv4*uw2* | sysv5OpenUNIX* | sysv5UnixWare7.[[01]].[[10]]* | unixware7* | sco3.2v5.0.[[024]]*)
+      _LT_TAGVAR(no_undefined_flag, $1)='${wl}-z,text'
+      _LT_TAGVAR(archive_cmds_need_lc, $1)=no
+      _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+      runpath_var='LD_RUN_PATH'
+
+      case $cc_basename in
+        CC*)
+	  _LT_TAGVAR(archive_cmds, $1)='$CC -G ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+	  _LT_TAGVAR(archive_expsym_cmds, $1)='$CC -G ${wl}-Bexport:$export_symbols ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+	  ;;
+	*)
+	  _LT_TAGVAR(archive_cmds, $1)='$CC -shared ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+	  _LT_TAGVAR(archive_expsym_cmds, $1)='$CC -shared ${wl}-Bexport:$export_symbols ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+	  ;;
+      esac
+      ;;
+
+      sysv5* | sco3.2v5* | sco5v6*)
+	# Note: We can NOT use -z defs as we might desire, because we do not
+	# link with -lc, and that would cause any symbols used from libc to
+	# always be unresolved, which means just about no library would
+	# ever link correctly.  If we're not using GNU ld we use -z text
+	# though, which does catch some bad symbols but isn't as heavy-handed
+	# as -z defs.
+	_LT_TAGVAR(no_undefined_flag, $1)='${wl}-z,text'
+	_LT_TAGVAR(allow_undefined_flag, $1)='${wl}-z,nodefs'
+	_LT_TAGVAR(archive_cmds_need_lc, $1)=no
+	_LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+	_LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-R,$libdir'
+	_LT_TAGVAR(hardcode_libdir_separator, $1)=':'
+	_LT_TAGVAR(link_all_deplibs, $1)=yes
+	_LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}-Bexport'
+	runpath_var='LD_RUN_PATH'
+
+	case $cc_basename in
+          CC*)
+	    _LT_TAGVAR(archive_cmds, $1)='$CC -G ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+	    _LT_TAGVAR(archive_expsym_cmds, $1)='$CC -G ${wl}-Bexport:$export_symbols ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+	    ;;
+	  *)
+	    _LT_TAGVAR(archive_cmds, $1)='$CC -shared ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+	    _LT_TAGVAR(archive_expsym_cmds, $1)='$CC -shared ${wl}-Bexport:$export_symbols ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+	    ;;
+	esac
+      ;;
+
+      tandem*)
+        case $cc_basename in
+          NCC*)
+	    # NonStop-UX NCC 3.20
+	    # FIXME: insert proper C++ library support
+	    _LT_TAGVAR(ld_shlibs, $1)=no
+	    ;;
+          *)
+	    # FIXME: insert proper C++ library support
+	    _LT_TAGVAR(ld_shlibs, $1)=no
+	    ;;
+        esac
+        ;;
+
+      vxworks*)
+        # FIXME: insert proper C++ library support
+        _LT_TAGVAR(ld_shlibs, $1)=no
+        ;;
+
+      *)
+        # FIXME: insert proper C++ library support
+        _LT_TAGVAR(ld_shlibs, $1)=no
+        ;;
+    esac
+
+    AC_MSG_RESULT([$_LT_TAGVAR(ld_shlibs, $1)])
+    test "$_LT_TAGVAR(ld_shlibs, $1)" = no && can_build_shared=no
+
+    _LT_TAGVAR(GCC, $1)="$GXX"
+    _LT_TAGVAR(LD, $1)="$LD"
+
+    ## CAVEAT EMPTOR:
+    ## There is no encapsulation within the following macros, do not change
+    ## the running order or otherwise move them around unless you know exactly
+    ## what you are doing...
+    _LT_SYS_HIDDEN_LIBDEPS($1)
+    _LT_COMPILER_PIC($1)
+    _LT_COMPILER_C_O($1)
+    _LT_COMPILER_FILE_LOCKS($1)
+    _LT_LINKER_SHLIBS($1)
+    _LT_SYS_DYNAMIC_LINKER($1)
+    _LT_LINKER_HARDCODE_LIBPATH($1)
+
+    _LT_CONFIG($1)
+  fi # test -n "$compiler"
+
+  CC=$lt_save_CC
+  LDCXX=$LD
+  LD=$lt_save_LD
+  GCC=$lt_save_GCC
+  with_gnu_ld=$lt_save_with_gnu_ld
+  lt_cv_path_LDCXX=$lt_cv_path_LD
+  lt_cv_path_LD=$lt_save_path_LD
+  lt_cv_prog_gnu_ldcxx=$lt_cv_prog_gnu_ld
+  lt_cv_prog_gnu_ld=$lt_save_with_gnu_ld
+fi # test "$_lt_caught_CXX_error" != yes
+
+AC_LANG_POP
+])# _LT_LANG_CXX_CONFIG
+
+
+# _LT_SYS_HIDDEN_LIBDEPS([TAGNAME])
+# ---------------------------------
+# Figure out "hidden" library dependencies from verbose
+# compiler output when linking a shared library.
+# Parse the compiler output and extract the necessary
+# objects, libraries and library flags.
+m4_defun([_LT_SYS_HIDDEN_LIBDEPS],
+[m4_require([_LT_FILEUTILS_DEFAULTS])dnl
+# Dependencies to place before and after the object being linked:
+_LT_TAGVAR(predep_objects, $1)=
+_LT_TAGVAR(postdep_objects, $1)=
+_LT_TAGVAR(predeps, $1)=
+_LT_TAGVAR(postdeps, $1)=
+_LT_TAGVAR(compiler_lib_search_path, $1)=
+
+dnl we can't use the lt_simple_compile_test_code here,
+dnl because it contains code intended for an executable,
+dnl not a library.  It's possible we should let each
+dnl tag define a new lt_????_link_test_code variable,
+dnl but it's only used here...
+m4_if([$1], [], [cat > conftest.$ac_ext <<_LT_EOF
+int a;
+void foo (void) { a = 0; }
+_LT_EOF
+], [$1], [CXX], [cat > conftest.$ac_ext <<_LT_EOF
+class Foo
+{
+public:
+  Foo (void) { a = 0; }
+private:
+  int a;
+};
+_LT_EOF
+], [$1], [F77], [cat > conftest.$ac_ext <<_LT_EOF
+      subroutine foo
+      implicit none
+      integer*4 a
+      a=0
+      return
+      end
+_LT_EOF
+], [$1], [FC], [cat > conftest.$ac_ext <<_LT_EOF
+      subroutine foo
+      implicit none
+      integer a
+      a=0
+      return
+      end
+_LT_EOF
+], [$1], [GCJ], [cat > conftest.$ac_ext <<_LT_EOF
+public class foo {
+  private int a;
+  public void bar (void) {
+    a = 0;
+  }
+};
+_LT_EOF
+])
+dnl Parse the compiler output and extract the necessary
+dnl objects, libraries and library flags.
+if AC_TRY_EVAL(ac_compile); then
+  # Parse the compiler output and extract the necessary
+  # objects, libraries and library flags.
+
+  # Sentinel used to keep track of whether or not we are before
+  # the conftest object file.
+  pre_test_object_deps_done=no
+
+  for p in `eval "$output_verbose_link_cmd"`; do
+    case $p in
+
+    -L* | -R* | -l*)
+       # Some compilers place space between "-{L,R}" and the path.
+       # Remove the space.
+       if test $p = "-L" ||
+          test $p = "-R"; then
+	 prev=$p
+	 continue
+       else
+	 prev=
+       fi
+
+       if test "$pre_test_object_deps_done" = no; then
+	 case $p in
+	 -L* | -R*)
+	   # Internal compiler library paths should come after those
+	   # provided the user.  The postdeps already come after the
+	   # user supplied libs so there is no need to process them.
+	   if test -z "$_LT_TAGVAR(compiler_lib_search_path, $1)"; then
+	     _LT_TAGVAR(compiler_lib_search_path, $1)="${prev}${p}"
+	   else
+	     _LT_TAGVAR(compiler_lib_search_path, $1)="${_LT_TAGVAR(compiler_lib_search_path, $1)} ${prev}${p}"
+	   fi
+	   ;;
+	 # The "-l" case would never come before the object being
+	 # linked, so don't bother handling this case.
+	 esac
+       else
+	 if test -z "$_LT_TAGVAR(postdeps, $1)"; then
+	   _LT_TAGVAR(postdeps, $1)="${prev}${p}"
+	 else
+	   _LT_TAGVAR(postdeps, $1)="${_LT_TAGVAR(postdeps, $1)} ${prev}${p}"
+	 fi
+       fi
+       ;;
+
+    *.$objext)
+       # This assumes that the test object file only shows up
+       # once in the compiler output.
+       if test "$p" = "conftest.$objext"; then
+	 pre_test_object_deps_done=yes
+	 continue
+       fi
+
+       if test "$pre_test_object_deps_done" = no; then
+	 if test -z "$_LT_TAGVAR(predep_objects, $1)"; then
+	   _LT_TAGVAR(predep_objects, $1)="$p"
+	 else
+	   _LT_TAGVAR(predep_objects, $1)="$_LT_TAGVAR(predep_objects, $1) $p"
+	 fi
+       else
+	 if test -z "$_LT_TAGVAR(postdep_objects, $1)"; then
+	   _LT_TAGVAR(postdep_objects, $1)="$p"
+	 else
+	   _LT_TAGVAR(postdep_objects, $1)="$_LT_TAGVAR(postdep_objects, $1) $p"
+	 fi
+       fi
+       ;;
+
+    *) ;; # Ignore the rest.
+
+    esac
+  done
+
+  # Clean up.
+  rm -f a.out a.exe
+else
+  echo "libtool.m4: error: problem compiling $1 test program"
+fi
+
+$RM -f confest.$objext
+
+# PORTME: override above test on systems where it is broken
+m4_if([$1], [CXX],
+[case $host_os in
+interix[[3-9]]*)
+  # Interix 3.5 installs completely hosed .la files for C++, so rather than
+  # hack all around it, let's just trust "g++" to DTRT.
+  _LT_TAGVAR(predep_objects,$1)=
+  _LT_TAGVAR(postdep_objects,$1)=
+  _LT_TAGVAR(postdeps,$1)=
+  ;;
+
+linux*)
+  case `$CC -V 2>&1 | sed 5q` in
+  *Sun\ C*)
+    # Sun C++ 5.9
+
+    # The more standards-conforming stlport4 library is
+    # incompatible with the Cstd library. Avoid specifying
+    # it if it's in CXXFLAGS. Ignore libCrun as
+    # -library=stlport4 depends on it.
+    case " $CXX $CXXFLAGS " in
+    *" -library=stlport4 "*)
+      solaris_use_stlport4=yes
+      ;;
+    esac
+
+    if test "$solaris_use_stlport4" != yes; then
+      _LT_TAGVAR(postdeps,$1)='-library=Cstd -library=Crun'
+    fi
+    ;;
+  esac
+  ;;
+
+solaris*)
+  case $cc_basename in
+  CC*)
+    # The more standards-conforming stlport4 library is
+    # incompatible with the Cstd library. Avoid specifying
+    # it if it's in CXXFLAGS. Ignore libCrun as
+    # -library=stlport4 depends on it.
+    case " $CXX $CXXFLAGS " in
+    *" -library=stlport4 "*)
+      solaris_use_stlport4=yes
+      ;;
+    esac
+
+    # Adding this requires a known-good setup of shared libraries for
+    # Sun compiler versions before 5.6, else PIC objects from an old
+    # archive will be linked into the output, leading to subtle bugs.
+    if test "$solaris_use_stlport4" != yes; then
+      _LT_TAGVAR(postdeps,$1)='-library=Cstd -library=Crun'
+    fi
+    ;;
+  esac
+  ;;
+esac
+])
+
+case " $_LT_TAGVAR(postdeps, $1) " in
+*" -lc "*) _LT_TAGVAR(archive_cmds_need_lc, $1)=no ;;
+esac
+ _LT_TAGVAR(compiler_lib_search_dirs, $1)=
+if test -n "${_LT_TAGVAR(compiler_lib_search_path, $1)}"; then
+ _LT_TAGVAR(compiler_lib_search_dirs, $1)=`echo " ${_LT_TAGVAR(compiler_lib_search_path, $1)}" | ${SED} -e 's! -L! !g' -e 's!^ !!'`
+fi
+_LT_TAGDECL([], [compiler_lib_search_dirs], [1],
+    [The directories searched by this compiler when creating a shared library])
+_LT_TAGDECL([], [predep_objects], [1],
+    [Dependencies to place before and after the objects being linked to
+    create a shared library])
+_LT_TAGDECL([], [postdep_objects], [1])
+_LT_TAGDECL([], [predeps], [1])
+_LT_TAGDECL([], [postdeps], [1])
+_LT_TAGDECL([], [compiler_lib_search_path], [1],
+    [The library search path used internally by the compiler when linking
+    a shared library])
+])# _LT_SYS_HIDDEN_LIBDEPS
+
+
+# _LT_PROG_F77
+# ------------
+# Since AC_PROG_F77 is broken, in that it returns the empty string
+# if there is no fortran compiler, we have our own version here.
+m4_defun([_LT_PROG_F77],
+[
+pushdef([AC_MSG_ERROR], [_lt_disable_F77=yes])
+AC_PROG_F77
+if test -z "$F77" || test "X$F77" = "Xno"; then
+  _lt_disable_F77=yes
+fi
+popdef([AC_MSG_ERROR])
+])# _LT_PROG_F77
+
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([_LT_PROG_F77], [])
+
+
+# _LT_LANG_F77_CONFIG([TAG])
+# --------------------------
+# Ensure that the configuration variables for a Fortran 77 compiler are
+# suitably defined.  These variables are subsequently used by _LT_CONFIG
+# to write the compiler configuration to `libtool'.
+m4_defun([_LT_LANG_F77_CONFIG],
+[AC_REQUIRE([_LT_PROG_F77])dnl
+AC_LANG_PUSH(Fortran 77)
+
+_LT_TAGVAR(archive_cmds_need_lc, $1)=no
+_LT_TAGVAR(allow_undefined_flag, $1)=
+_LT_TAGVAR(always_export_symbols, $1)=no
+_LT_TAGVAR(archive_expsym_cmds, $1)=
+_LT_TAGVAR(export_dynamic_flag_spec, $1)=
+_LT_TAGVAR(hardcode_direct, $1)=no
+_LT_TAGVAR(hardcode_direct_absolute, $1)=no
+_LT_TAGVAR(hardcode_libdir_flag_spec, $1)=
+_LT_TAGVAR(hardcode_libdir_flag_spec_ld, $1)=
+_LT_TAGVAR(hardcode_libdir_separator, $1)=
+_LT_TAGVAR(hardcode_minus_L, $1)=no
+_LT_TAGVAR(hardcode_automatic, $1)=no
+_LT_TAGVAR(inherit_rpath, $1)=no
+_LT_TAGVAR(module_cmds, $1)=
+_LT_TAGVAR(module_expsym_cmds, $1)=
+_LT_TAGVAR(link_all_deplibs, $1)=unknown
+_LT_TAGVAR(old_archive_cmds, $1)=$old_archive_cmds
+_LT_TAGVAR(no_undefined_flag, $1)=
+_LT_TAGVAR(whole_archive_flag_spec, $1)=
+_LT_TAGVAR(enable_shared_with_static_runtimes, $1)=no
+
+# Source file extension for f77 test sources.
+ac_ext=f
+
+# Object file extension for compiled f77 test sources.
+objext=o
+_LT_TAGVAR(objext, $1)=$objext
+
+# No sense in running all these tests if we already determined that
+# the F77 compiler isn't working.  Some variables (like enable_shared)
+# are currently assumed to apply to all compilers on this platform,
+# and will be corrupted by setting them based on a non-working compiler.
+if test "$_lt_disable_F77" != yes; then
+  # Code to be used in simple compile tests
+  lt_simple_compile_test_code="\
+      subroutine t
+      return
+      end
+"
+
+  # Code to be used in simple link tests
+  lt_simple_link_test_code="\
+      program t
+      end
+"
+
+  # ltmain only uses $CC for tagged configurations so make sure $CC is set.
+  _LT_TAG_COMPILER
+
+  # save warnings/boilerplate of simple test code
+  _LT_COMPILER_BOILERPLATE
+  _LT_LINKER_BOILERPLATE
+
+  # Allow CC to be a program name with arguments.
+  lt_save_CC="$CC"
+  lt_save_GCC=$GCC
+  CC=${F77-"f77"}
+  compiler=$CC
+  _LT_TAGVAR(compiler, $1)=$CC
+  _LT_CC_BASENAME([$compiler])
+  GCC=$G77
+  if test -n "$compiler"; then
+    AC_MSG_CHECKING([if libtool supports shared libraries])
+    AC_MSG_RESULT([$can_build_shared])
+
+    AC_MSG_CHECKING([whether to build shared libraries])
+    test "$can_build_shared" = "no" && enable_shared=no
+
+    # On AIX, shared libraries and static libraries use the same namespace, and
+    # are all built from PIC.
+    case $host_os in
+      aix3*)
+        test "$enable_shared" = yes && enable_static=no
+        if test -n "$RANLIB"; then
+          archive_cmds="$archive_cmds~\$RANLIB \$lib"
+          postinstall_cmds='$RANLIB $lib'
+        fi
+        ;;
+      aix[[4-9]]*)
+	if test "$host_cpu" != ia64 && test "$aix_use_runtimelinking" = no ; then
+	  test "$enable_shared" = yes && enable_static=no
+	fi
+        ;;
+    esac
+    AC_MSG_RESULT([$enable_shared])
+
+    AC_MSG_CHECKING([whether to build static libraries])
+    # Make sure either enable_shared or enable_static is yes.
+    test "$enable_shared" = yes || enable_static=yes
+    AC_MSG_RESULT([$enable_static])
+
+    _LT_TAGVAR(GCC, $1)="$G77"
+    _LT_TAGVAR(LD, $1)="$LD"
+
+    ## CAVEAT EMPTOR:
+    ## There is no encapsulation within the following macros, do not change
+    ## the running order or otherwise move them around unless you know exactly
+    ## what you are doing...
+    _LT_COMPILER_PIC($1)
+    _LT_COMPILER_C_O($1)
+    _LT_COMPILER_FILE_LOCKS($1)
+    _LT_LINKER_SHLIBS($1)
+    _LT_SYS_DYNAMIC_LINKER($1)
+    _LT_LINKER_HARDCODE_LIBPATH($1)
+
+    _LT_CONFIG($1)
+  fi # test -n "$compiler"
+
+  GCC=$lt_save_GCC
+  CC="$lt_save_CC"
+fi # test "$_lt_disable_F77" != yes
+
+AC_LANG_POP
+])# _LT_LANG_F77_CONFIG
+
+
+# _LT_PROG_FC
+# -----------
+# Since AC_PROG_FC is broken, in that it returns the empty string
+# if there is no fortran compiler, we have our own version here.
+m4_defun([_LT_PROG_FC],
+[
+pushdef([AC_MSG_ERROR], [_lt_disable_FC=yes])
+AC_PROG_FC
+if test -z "$FC" || test "X$FC" = "Xno"; then
+  _lt_disable_FC=yes
+fi
+popdef([AC_MSG_ERROR])
+])# _LT_PROG_FC
+
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([_LT_PROG_FC], [])
+
+
+# _LT_LANG_FC_CONFIG([TAG])
+# -------------------------
+# Ensure that the configuration variables for a Fortran compiler are
+# suitably defined.  These variables are subsequently used by _LT_CONFIG
+# to write the compiler configuration to `libtool'.
+m4_defun([_LT_LANG_FC_CONFIG],
+[AC_REQUIRE([_LT_PROG_FC])dnl
+AC_LANG_PUSH(Fortran)
+
+_LT_TAGVAR(archive_cmds_need_lc, $1)=no
+_LT_TAGVAR(allow_undefined_flag, $1)=
+_LT_TAGVAR(always_export_symbols, $1)=no
+_LT_TAGVAR(archive_expsym_cmds, $1)=
+_LT_TAGVAR(export_dynamic_flag_spec, $1)=
+_LT_TAGVAR(hardcode_direct, $1)=no
+_LT_TAGVAR(hardcode_direct_absolute, $1)=no
+_LT_TAGVAR(hardcode_libdir_flag_spec, $1)=
+_LT_TAGVAR(hardcode_libdir_flag_spec_ld, $1)=
+_LT_TAGVAR(hardcode_libdir_separator, $1)=
+_LT_TAGVAR(hardcode_minus_L, $1)=no
+_LT_TAGVAR(hardcode_automatic, $1)=no
+_LT_TAGVAR(inherit_rpath, $1)=no
+_LT_TAGVAR(module_cmds, $1)=
+_LT_TAGVAR(module_expsym_cmds, $1)=
+_LT_TAGVAR(link_all_deplibs, $1)=unknown
+_LT_TAGVAR(old_archive_cmds, $1)=$old_archive_cmds
+_LT_TAGVAR(no_undefined_flag, $1)=
+_LT_TAGVAR(whole_archive_flag_spec, $1)=
+_LT_TAGVAR(enable_shared_with_static_runtimes, $1)=no
+
+# Source file extension for fc test sources.
+ac_ext=${ac_fc_srcext-f}
+
+# Object file extension for compiled fc test sources.
+objext=o
+_LT_TAGVAR(objext, $1)=$objext
+
+# No sense in running all these tests if we already determined that
+# the FC compiler isn't working.  Some variables (like enable_shared)
+# are currently assumed to apply to all compilers on this platform,
+# and will be corrupted by setting them based on a non-working compiler.
+if test "$_lt_disable_FC" != yes; then
+  # Code to be used in simple compile tests
+  lt_simple_compile_test_code="\
+      subroutine t
+      return
+      end
+"
+
+  # Code to be used in simple link tests
+  lt_simple_link_test_code="\
+      program t
+      end
+"
+
+  # ltmain only uses $CC for tagged configurations so make sure $CC is set.
+  _LT_TAG_COMPILER
+
+  # save warnings/boilerplate of simple test code
+  _LT_COMPILER_BOILERPLATE
+  _LT_LINKER_BOILERPLATE
+
+  # Allow CC to be a program name with arguments.
+  lt_save_CC="$CC"
+  lt_save_GCC=$GCC
+  CC=${FC-"f95"}
+  compiler=$CC
+  GCC=$ac_cv_fc_compiler_gnu
+
+  _LT_TAGVAR(compiler, $1)=$CC
+  _LT_CC_BASENAME([$compiler])
+
+  if test -n "$compiler"; then
+    AC_MSG_CHECKING([if libtool supports shared libraries])
+    AC_MSG_RESULT([$can_build_shared])
+
+    AC_MSG_CHECKING([whether to build shared libraries])
+    test "$can_build_shared" = "no" && enable_shared=no
+
+    # On AIX, shared libraries and static libraries use the same namespace, and
+    # are all built from PIC.
+    case $host_os in
+      aix3*)
+        test "$enable_shared" = yes && enable_static=no
+        if test -n "$RANLIB"; then
+          archive_cmds="$archive_cmds~\$RANLIB \$lib"
+          postinstall_cmds='$RANLIB $lib'
+        fi
+        ;;
+      aix[[4-9]]*)
+	if test "$host_cpu" != ia64 && test "$aix_use_runtimelinking" = no ; then
+	  test "$enable_shared" = yes && enable_static=no
+	fi
+        ;;
+    esac
+    AC_MSG_RESULT([$enable_shared])
+
+    AC_MSG_CHECKING([whether to build static libraries])
+    # Make sure either enable_shared or enable_static is yes.
+    test "$enable_shared" = yes || enable_static=yes
+    AC_MSG_RESULT([$enable_static])
+
+    _LT_TAGVAR(GCC, $1)="$ac_cv_fc_compiler_gnu"
+    _LT_TAGVAR(LD, $1)="$LD"
+
+    ## CAVEAT EMPTOR:
+    ## There is no encapsulation within the following macros, do not change
+    ## the running order or otherwise move them around unless you know exactly
+    ## what you are doing...
+    _LT_SYS_HIDDEN_LIBDEPS($1)
+    _LT_COMPILER_PIC($1)
+    _LT_COMPILER_C_O($1)
+    _LT_COMPILER_FILE_LOCKS($1)
+    _LT_LINKER_SHLIBS($1)
+    _LT_SYS_DYNAMIC_LINKER($1)
+    _LT_LINKER_HARDCODE_LIBPATH($1)
+
+    _LT_CONFIG($1)
+  fi # test -n "$compiler"
+
+  GCC=$lt_save_GCC
+  CC="$lt_save_CC"
+fi # test "$_lt_disable_FC" != yes
+
+AC_LANG_POP
+])# _LT_LANG_FC_CONFIG
+
+
+# _LT_LANG_GCJ_CONFIG([TAG])
+# --------------------------
+# Ensure that the configuration variables for the GNU Java Compiler compiler
+# are suitably defined.  These variables are subsequently used by _LT_CONFIG
+# to write the compiler configuration to `libtool'.
+m4_defun([_LT_LANG_GCJ_CONFIG],
+[AC_REQUIRE([LT_PROG_GCJ])dnl
+AC_LANG_SAVE
+
+# Source file extension for Java test sources.
+ac_ext=java
+
+# Object file extension for compiled Java test sources.
+objext=o
+_LT_TAGVAR(objext, $1)=$objext
+
+# Code to be used in simple compile tests
+lt_simple_compile_test_code="class foo {}"
+
+# Code to be used in simple link tests
+lt_simple_link_test_code='public class conftest { public static void main(String[[]] argv) {}; }'
+
+# ltmain only uses $CC for tagged configurations so make sure $CC is set.
+_LT_TAG_COMPILER
+
+# save warnings/boilerplate of simple test code
+_LT_COMPILER_BOILERPLATE
+_LT_LINKER_BOILERPLATE
+
+# Allow CC to be a program name with arguments.
+lt_save_CC="$CC"
+lt_save_GCC=$GCC
+GCC=yes
+CC=${GCJ-"gcj"}
+compiler=$CC
+_LT_TAGVAR(compiler, $1)=$CC
+_LT_TAGVAR(LD, $1)="$LD"
+_LT_CC_BASENAME([$compiler])
+
+# GCJ did not exist at the time GCC didn't implicitly link libc in.
+_LT_TAGVAR(archive_cmds_need_lc, $1)=no
+
+_LT_TAGVAR(old_archive_cmds, $1)=$old_archive_cmds
+
+if test -n "$compiler"; then
+  _LT_COMPILER_NO_RTTI($1)
+  _LT_COMPILER_PIC($1)
+  _LT_COMPILER_C_O($1)
+  _LT_COMPILER_FILE_LOCKS($1)
+  _LT_LINKER_SHLIBS($1)
+  _LT_LINKER_HARDCODE_LIBPATH($1)
+
+  _LT_CONFIG($1)
+fi
+
+AC_LANG_RESTORE
+
+GCC=$lt_save_GCC
+CC="$lt_save_CC"
+])# _LT_LANG_GCJ_CONFIG
+
+
+# _LT_LANG_RC_CONFIG([TAG])
+# -------------------------
+# Ensure that the configuration variables for the Windows resource compiler
+# are suitably defined.  These variables are subsequently used by _LT_CONFIG
+# to write the compiler configuration to `libtool'.
+m4_defun([_LT_LANG_RC_CONFIG],
+[AC_REQUIRE([LT_PROG_RC])dnl
+AC_LANG_SAVE
+
+# Source file extension for RC test sources.
+ac_ext=rc
+
+# Object file extension for compiled RC test sources.
+objext=o
+_LT_TAGVAR(objext, $1)=$objext
+
+# Code to be used in simple compile tests
+lt_simple_compile_test_code='sample MENU { MENUITEM "&Soup", 100, CHECKED }'
+
+# Code to be used in simple link tests
+lt_simple_link_test_code="$lt_simple_compile_test_code"
+
+# ltmain only uses $CC for tagged configurations so make sure $CC is set.
+_LT_TAG_COMPILER
+
+# save warnings/boilerplate of simple test code
+_LT_COMPILER_BOILERPLATE
+_LT_LINKER_BOILERPLATE
+
+# Allow CC to be a program name with arguments.
+lt_save_CC="$CC"
+lt_save_GCC=$GCC
+GCC=
+CC=${RC-"windres"}
+compiler=$CC
+_LT_TAGVAR(compiler, $1)=$CC
+_LT_CC_BASENAME([$compiler])
+_LT_TAGVAR(lt_cv_prog_compiler_c_o, $1)=yes
+
+if test -n "$compiler"; then
+  :
+  _LT_CONFIG($1)
+fi
+
+GCC=$lt_save_GCC
+AC_LANG_RESTORE
+CC="$lt_save_CC"
+])# _LT_LANG_RC_CONFIG
+
+
+# LT_PROG_GCJ
+# -----------
+AC_DEFUN([LT_PROG_GCJ],
+[m4_ifdef([AC_PROG_GCJ], [AC_PROG_GCJ],
+  [m4_ifdef([A][M_PROG_GCJ], [A][M_PROG_GCJ],
+    [AC_CHECK_TOOL(GCJ, gcj,)
+      test "x${GCJFLAGS+set}" = xset || GCJFLAGS="-g -O2"
+      AC_SUBST(GCJFLAGS)])])[]dnl
+])
+
+# Old name:
+AU_ALIAS([LT_AC_PROG_GCJ], [LT_PROG_GCJ])
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([LT_AC_PROG_GCJ], [])
+
+
+# LT_PROG_RC
+# ----------
+AC_DEFUN([LT_PROG_RC],
+[AC_CHECK_TOOL(RC, windres,)
+])
+
+# Old name:
+AU_ALIAS([LT_AC_PROG_RC], [LT_PROG_RC])
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([LT_AC_PROG_RC], [])
+
+
+# _LT_DECL_EGREP
+# --------------
+# If we don't have a new enough Autoconf to choose the best grep
+# available, choose the one first in the user's PATH.
+m4_defun([_LT_DECL_EGREP],
+[AC_REQUIRE([AC_PROG_EGREP])dnl
+AC_REQUIRE([AC_PROG_FGREP])dnl
+test -z "$GREP" && GREP=grep
+_LT_DECL([], [GREP], [1], [A grep program that handles long lines])
+_LT_DECL([], [EGREP], [1], [An ERE matcher])
+_LT_DECL([], [FGREP], [1], [A literal string matcher])
+dnl Non-bleeding-edge autoconf doesn't subst GREP, so do it here too
+AC_SUBST([GREP])
+])
+
+
+# _LT_DECL_OBJDUMP
+# --------------
+# If we don't have a new enough Autoconf to choose the best objdump
+# available, choose the one first in the user's PATH.
+m4_defun([_LT_DECL_OBJDUMP],
+[AC_CHECK_TOOL(OBJDUMP, objdump, false)
+test -z "$OBJDUMP" && OBJDUMP=objdump
+_LT_DECL([], [OBJDUMP], [1], [An object symbol dumper])
+AC_SUBST([OBJDUMP])
+])
+
+
+# _LT_DECL_SED
+# ------------
+# Check for a fully-functional sed program, that truncates
+# as few characters as possible.  Prefer GNU sed if found.
+m4_defun([_LT_DECL_SED],
+[AC_PROG_SED
+test -z "$SED" && SED=sed
+Xsed="$SED -e 1s/^X//"
+_LT_DECL([], [SED], [1], [A sed program that does not truncate output])
+_LT_DECL([], [Xsed], ["\$SED -e 1s/^X//"],
+    [Sed that helps us avoid accidentally triggering echo(1) options like -n])
+])# _LT_DECL_SED
+
+m4_ifndef([AC_PROG_SED], [
+# NOTE: This macro has been submitted for inclusion into   #
+#  GNU Autoconf as AC_PROG_SED.  When it is available in   #
+#  a released version of Autoconf we should remove this    #
+#  macro and use it instead.                               #
+
+m4_defun([AC_PROG_SED],
+[AC_MSG_CHECKING([for a sed that does not truncate output])
+AC_CACHE_VAL(lt_cv_path_SED,
+[# Loop through the user's path and test for sed and gsed.
+# Then use that list of sed's as ones to test for truncation.
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for lt_ac_prog in sed gsed; do
+    for ac_exec_ext in '' $ac_executable_extensions; do
+      if $as_executable_p "$as_dir/$lt_ac_prog$ac_exec_ext"; then
+        lt_ac_sed_list="$lt_ac_sed_list $as_dir/$lt_ac_prog$ac_exec_ext"
+      fi
+    done
+  done
+done
+IFS=$as_save_IFS
+lt_ac_max=0
+lt_ac_count=0
+# Add /usr/xpg4/bin/sed as it is typically found on Solaris
+# along with /bin/sed that truncates output.
+for lt_ac_sed in $lt_ac_sed_list /usr/xpg4/bin/sed; do
+  test ! -f $lt_ac_sed && continue
+  cat /dev/null > conftest.in
+  lt_ac_count=0
+  echo $ECHO_N "0123456789$ECHO_C" >conftest.in
+  # Check for GNU sed and select it if it is found.
+  if "$lt_ac_sed" --version 2>&1 < /dev/null | grep 'GNU' > /dev/null; then
+    lt_cv_path_SED=$lt_ac_sed
+    break
+  fi
+  while true; do
+    cat conftest.in conftest.in >conftest.tmp
+    mv conftest.tmp conftest.in
+    cp conftest.in conftest.nl
+    echo >>conftest.nl
+    $lt_ac_sed -e 's/a$//' < conftest.nl >conftest.out || break
+    cmp -s conftest.out conftest.nl || break
+    # 10000 chars as input seems more than enough
+    test $lt_ac_count -gt 10 && break
+    lt_ac_count=`expr $lt_ac_count + 1`
+    if test $lt_ac_count -gt $lt_ac_max; then
+      lt_ac_max=$lt_ac_count
+      lt_cv_path_SED=$lt_ac_sed
+    fi
+  done
+done
+])
+SED=$lt_cv_path_SED
+AC_SUBST([SED])
+AC_MSG_RESULT([$SED])
+])#AC_PROG_SED
+])#m4_ifndef
+
+# Old name:
+AU_ALIAS([LT_AC_PROG_SED], [AC_PROG_SED])
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([LT_AC_PROG_SED], [])
+
+
+# _LT_CHECK_SHELL_FEATURES
+# ------------------------
+# Find out whether the shell is Bourne or XSI compatible,
+# or has some other useful features.
+m4_defun([_LT_CHECK_SHELL_FEATURES],
+[AC_MSG_CHECKING([whether the shell understands some XSI constructs])
+# Try some XSI features
+xsi_shell=no
+( _lt_dummy="a/b/c"
+  test "${_lt_dummy##*/},${_lt_dummy%/*},"${_lt_dummy%"$_lt_dummy"}, \
+      = c,a/b,, \
+    && eval 'test $(( 1 + 1 )) -eq 2 \
+    && test "${#_lt_dummy}" -eq 5' ) >/dev/null 2>&1 \
+  && xsi_shell=yes
+AC_MSG_RESULT([$xsi_shell])
+_LT_CONFIG_LIBTOOL_INIT([xsi_shell='$xsi_shell'])
+
+AC_MSG_CHECKING([whether the shell understands "+="])
+lt_shell_append=no
+( foo=bar; set foo baz; eval "$[1]+=\$[2]" && test "$foo" = barbaz ) \
+    >/dev/null 2>&1 \
+  && lt_shell_append=yes
+AC_MSG_RESULT([$lt_shell_append])
+_LT_CONFIG_LIBTOOL_INIT([lt_shell_append='$lt_shell_append'])
+
+if ( (MAIL=60; unset MAIL) || exit) >/dev/null 2>&1; then
+  lt_unset=unset
+else
+  lt_unset=false
+fi
+_LT_DECL([], [lt_unset], [0], [whether the shell understands "unset"])dnl
+
+# test EBCDIC or ASCII
+case `echo X|tr X '\101'` in
+ A) # ASCII based system
+    # \n is not interpreted correctly by Solaris 8 /usr/ucb/tr
+  lt_SP2NL='tr \040 \012'
+  lt_NL2SP='tr \015\012 \040\040'
+  ;;
+ *) # EBCDIC based system
+  lt_SP2NL='tr \100 \n'
+  lt_NL2SP='tr \r\n \100\100'
+  ;;
+esac
+_LT_DECL([SP2NL], [lt_SP2NL], [1], [turn spaces into newlines])dnl
+_LT_DECL([NL2SP], [lt_NL2SP], [1], [turn newlines into spaces])dnl
+])# _LT_CHECK_SHELL_FEATURES
+
+
+# _LT_PROG_XSI_SHELLFNS
+# ---------------------
+# Bourne and XSI compatible variants of some useful shell functions.
+m4_defun([_LT_PROG_XSI_SHELLFNS],
+[case $xsi_shell in
+  yes)
+    cat << \_LT_EOF >> "$cfgfile"
+
+# func_dirname file append nondir_replacement
+# Compute the dirname of FILE.  If nonempty, add APPEND to the result,
+# otherwise set result to NONDIR_REPLACEMENT.
+func_dirname ()
+{
+  case ${1} in
+    */*) func_dirname_result="${1%/*}${2}" ;;
+    *  ) func_dirname_result="${3}" ;;
+  esac
+}
+
+# func_basename file
+func_basename ()
+{
+  func_basename_result="${1##*/}"
+}
+
+# func_dirname_and_basename file append nondir_replacement
+# perform func_basename and func_dirname in a single function
+# call:
+#   dirname:  Compute the dirname of FILE.  If nonempty,
+#             add APPEND to the result, otherwise set result
+#             to NONDIR_REPLACEMENT.
+#             value returned in "$func_dirname_result"
+#   basename: Compute filename of FILE.
+#             value retuned in "$func_basename_result"
+# Implementation must be kept synchronized with func_dirname
+# and func_basename. For efficiency, we do not delegate to
+# those functions but instead duplicate the functionality here.
+func_dirname_and_basename ()
+{
+  case ${1} in
+    */*) func_dirname_result="${1%/*}${2}" ;;
+    *  ) func_dirname_result="${3}" ;;
+  esac
+  func_basename_result="${1##*/}"
+}
+
+# func_stripname prefix suffix name
+# strip PREFIX and SUFFIX off of NAME.
+# PREFIX and SUFFIX must not contain globbing or regex special
+# characters, hashes, percent signs, but SUFFIX may contain a leading
+# dot (in which case that matches only a dot).
+func_stripname ()
+{
+  # pdksh 5.2.14 does not do ${X%$Y} correctly if both X and Y are
+  # positional parameters, so assign one to ordinary parameter first.
+  func_stripname_result=${3}
+  func_stripname_result=${func_stripname_result#"${1}"}
+  func_stripname_result=${func_stripname_result%"${2}"}
+}
+
+# func_opt_split
+func_opt_split ()
+{
+  func_opt_split_opt=${1%%=*}
+  func_opt_split_arg=${1#*=}
+}
+
+# func_lo2o object
+func_lo2o ()
+{
+  case ${1} in
+    *.lo) func_lo2o_result=${1%.lo}.${objext} ;;
+    *)    func_lo2o_result=${1} ;;
+  esac
+}
+
+# func_xform libobj-or-source
+func_xform ()
+{
+  func_xform_result=${1%.*}.lo
+}
+
+# func_arith arithmetic-term...
+func_arith ()
+{
+  func_arith_result=$(( $[*] ))
+}
+
+# func_len string
+# STRING may not start with a hyphen.
+func_len ()
+{
+  func_len_result=${#1}
+}
+
+_LT_EOF
+    ;;
+  *) # Bourne compatible functions.
+    cat << \_LT_EOF >> "$cfgfile"
+
+# func_dirname file append nondir_replacement
+# Compute the dirname of FILE.  If nonempty, add APPEND to the result,
+# otherwise set result to NONDIR_REPLACEMENT.
+func_dirname ()
+{
+  # Extract subdirectory from the argument.
+  func_dirname_result=`$ECHO "X${1}" | $Xsed -e "$dirname"`
+  if test "X$func_dirname_result" = "X${1}"; then
+    func_dirname_result="${3}"
+  else
+    func_dirname_result="$func_dirname_result${2}"
+  fi
+}
+
+# func_basename file
+func_basename ()
+{
+  func_basename_result=`$ECHO "X${1}" | $Xsed -e "$basename"`
+}
+
+dnl func_dirname_and_basename
+dnl A portable version of this function is already defined in general.m4sh
+dnl so there is no need for it here.
+
+# func_stripname prefix suffix name
+# strip PREFIX and SUFFIX off of NAME.
+# PREFIX and SUFFIX must not contain globbing or regex special
+# characters, hashes, percent signs, but SUFFIX may contain a leading
+# dot (in which case that matches only a dot).
+# func_strip_suffix prefix name
+func_stripname ()
+{
+  case ${2} in
+    .*) func_stripname_result=`$ECHO "X${3}" \
+           | $Xsed -e "s%^${1}%%" -e "s%\\\\${2}\$%%"`;;
+    *)  func_stripname_result=`$ECHO "X${3}" \
+           | $Xsed -e "s%^${1}%%" -e "s%${2}\$%%"`;;
+  esac
+}
+
+# sed scripts:
+my_sed_long_opt='1s/^\(-[[^=]]*\)=.*/\1/;q'
+my_sed_long_arg='1s/^-[[^=]]*=//'
+
+# func_opt_split
+func_opt_split ()
+{
+  func_opt_split_opt=`$ECHO "X${1}" | $Xsed -e "$my_sed_long_opt"`
+  func_opt_split_arg=`$ECHO "X${1}" | $Xsed -e "$my_sed_long_arg"`
+}
+
+# func_lo2o object
+func_lo2o ()
+{
+  func_lo2o_result=`$ECHO "X${1}" | $Xsed -e "$lo2o"`
+}
+
+# func_xform libobj-or-source
+func_xform ()
+{
+  func_xform_result=`$ECHO "X${1}" | $Xsed -e 's/\.[[^.]]*$/.lo/'`
+}
+
+# func_arith arithmetic-term...
+func_arith ()
+{
+  func_arith_result=`expr "$[@]"`
+}
+
+# func_len string
+# STRING may not start with a hyphen.
+func_len ()
+{
+  func_len_result=`expr "$[1]" : ".*" 2>/dev/null || echo $max_cmd_len`
+}
+
+_LT_EOF
+esac
+
+case $lt_shell_append in
+  yes)
+    cat << \_LT_EOF >> "$cfgfile"
+
+# func_append var value
+# Append VALUE to the end of shell variable VAR.
+func_append ()
+{
+  eval "$[1]+=\$[2]"
+}
+_LT_EOF
+    ;;
+  *)
+    cat << \_LT_EOF >> "$cfgfile"
+
+# func_append var value
+# Append VALUE to the end of shell variable VAR.
+func_append ()
+{
+  eval "$[1]=\$$[1]\$[2]"
+}
+
+_LT_EOF
+    ;;
+  esac
+])
+
+# Helper functions for option handling.                    -*- Autoconf -*-
+#
+#   Copyright (C) 2004, 2005, 2007, 2008 Free Software Foundation, Inc.
+#   Written by Gary V. Vaughan, 2004
+#
+# This file is free software; the Free Software Foundation gives
+# unlimited permission to copy and/or distribute it, with or without
+# modifications, as long as this notice is preserved.
+
+# serial 6 ltoptions.m4
+
+# This is to help aclocal find these macros, as it can't see m4_define.
+AC_DEFUN([LTOPTIONS_VERSION], [m4_if([1])])
+
+
+# _LT_MANGLE_OPTION(MACRO-NAME, OPTION-NAME)
+# ------------------------------------------
+m4_define([_LT_MANGLE_OPTION],
+[[_LT_OPTION_]m4_bpatsubst($1__$2, [[^a-zA-Z0-9_]], [_])])
+
+
+# _LT_SET_OPTION(MACRO-NAME, OPTION-NAME)
+# ---------------------------------------
+# Set option OPTION-NAME for macro MACRO-NAME, and if there is a
+# matching handler defined, dispatch to it.  Other OPTION-NAMEs are
+# saved as a flag.
+m4_define([_LT_SET_OPTION],
+[m4_define(_LT_MANGLE_OPTION([$1], [$2]))dnl
+m4_ifdef(_LT_MANGLE_DEFUN([$1], [$2]),
+        _LT_MANGLE_DEFUN([$1], [$2]),
+    [m4_warning([Unknown $1 option `$2'])])[]dnl
+])
+
+
+# _LT_IF_OPTION(MACRO-NAME, OPTION-NAME, IF-SET, [IF-NOT-SET])
+# ------------------------------------------------------------
+# Execute IF-SET if OPTION is set, IF-NOT-SET otherwise.
+m4_define([_LT_IF_OPTION],
+[m4_ifdef(_LT_MANGLE_OPTION([$1], [$2]), [$3], [$4])])
+
+
+# _LT_UNLESS_OPTIONS(MACRO-NAME, OPTION-LIST, IF-NOT-SET)
+# -------------------------------------------------------
+# Execute IF-NOT-SET unless all options in OPTION-LIST for MACRO-NAME
+# are set.
+m4_define([_LT_UNLESS_OPTIONS],
+[m4_foreach([_LT_Option], m4_split(m4_normalize([$2])),
+	    [m4_ifdef(_LT_MANGLE_OPTION([$1], _LT_Option),
+		      [m4_define([$0_found])])])[]dnl
+m4_ifdef([$0_found], [m4_undefine([$0_found])], [$3
+])[]dnl
+])
+
+
+# _LT_SET_OPTIONS(MACRO-NAME, OPTION-LIST)
+# ----------------------------------------
+# OPTION-LIST is a space-separated list of Libtool options associated
+# with MACRO-NAME.  If any OPTION has a matching handler declared with
+# LT_OPTION_DEFINE, dispatch to that macro; otherwise complain about
+# the unknown option and exit.
+m4_defun([_LT_SET_OPTIONS],
+[# Set options
+m4_foreach([_LT_Option], m4_split(m4_normalize([$2])),
+    [_LT_SET_OPTION([$1], _LT_Option)])
+
+m4_if([$1],[LT_INIT],[
+  dnl
+  dnl Simply set some default values (i.e off) if boolean options were not
+  dnl specified:
+  _LT_UNLESS_OPTIONS([LT_INIT], [dlopen], [enable_dlopen=no
+  ])
+  _LT_UNLESS_OPTIONS([LT_INIT], [win32-dll], [enable_win32_dll=no
+  ])
+  dnl
+  dnl If no reference was made to various pairs of opposing options, then
+  dnl we run the default mode handler for the pair.  For example, if neither
+  dnl `shared' nor `disable-shared' was passed, we enable building of shared
+  dnl archives by default:
+  _LT_UNLESS_OPTIONS([LT_INIT], [shared disable-shared], [_LT_ENABLE_SHARED])
+  _LT_UNLESS_OPTIONS([LT_INIT], [static disable-static], [_LT_ENABLE_STATIC])
+  _LT_UNLESS_OPTIONS([LT_INIT], [pic-only no-pic], [_LT_WITH_PIC])
+  _LT_UNLESS_OPTIONS([LT_INIT], [fast-install disable-fast-install],
+  		   [_LT_ENABLE_FAST_INSTALL])
+  ])
+])# _LT_SET_OPTIONS
+
+
+
+# _LT_MANGLE_DEFUN(MACRO-NAME, OPTION-NAME)
+# -----------------------------------------
+m4_define([_LT_MANGLE_DEFUN],
+[[_LT_OPTION_DEFUN_]m4_bpatsubst(m4_toupper([$1__$2]), [[^A-Z0-9_]], [_])])
+
+
+# LT_OPTION_DEFINE(MACRO-NAME, OPTION-NAME, CODE)
+# -----------------------------------------------
+m4_define([LT_OPTION_DEFINE],
+[m4_define(_LT_MANGLE_DEFUN([$1], [$2]), [$3])[]dnl
+])# LT_OPTION_DEFINE
+
+
+# dlopen
+# ------
+LT_OPTION_DEFINE([LT_INIT], [dlopen], [enable_dlopen=yes
+])
+
+AU_DEFUN([AC_LIBTOOL_DLOPEN],
+[_LT_SET_OPTION([LT_INIT], [dlopen])
+AC_DIAGNOSE([obsolete],
+[$0: Remove this warning and the call to _LT_SET_OPTION when you
+put the `dlopen' option into LT_INIT's first parameter.])
+])
+
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([AC_LIBTOOL_DLOPEN], [])
+
+
+# win32-dll
+# ---------
+# Declare package support for building win32 dll's.
+LT_OPTION_DEFINE([LT_INIT], [win32-dll],
+[enable_win32_dll=yes
+
+case $host in
+*-*-cygwin* | *-*-mingw* | *-*-pw32* | *-cegcc*)
+  AC_CHECK_TOOL(AS, as, false)
+  AC_CHECK_TOOL(DLLTOOL, dlltool, false)
+  AC_CHECK_TOOL(OBJDUMP, objdump, false)
+  ;;
+esac
+
+test -z "$AS" && AS=as
+_LT_DECL([], [AS],      [0], [Assembler program])dnl
+
+test -z "$DLLTOOL" && DLLTOOL=dlltool
+_LT_DECL([], [DLLTOOL], [0], [DLL creation program])dnl
+
+test -z "$OBJDUMP" && OBJDUMP=objdump
+_LT_DECL([], [OBJDUMP], [0], [Object dumper program])dnl
+])# win32-dll
+
+AU_DEFUN([AC_LIBTOOL_WIN32_DLL],
+[AC_REQUIRE([AC_CANONICAL_HOST])dnl
+_LT_SET_OPTION([LT_INIT], [win32-dll])
+AC_DIAGNOSE([obsolete],
+[$0: Remove this warning and the call to _LT_SET_OPTION when you
+put the `win32-dll' option into LT_INIT's first parameter.])
+])
+
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([AC_LIBTOOL_WIN32_DLL], [])
+
+
+# _LT_ENABLE_SHARED([DEFAULT])
+# ----------------------------
+# implement the --enable-shared flag, and supports the `shared' and
+# `disable-shared' LT_INIT options.
+# DEFAULT is either `yes' or `no'.  If omitted, it defaults to `yes'.
+m4_define([_LT_ENABLE_SHARED],
+[m4_define([_LT_ENABLE_SHARED_DEFAULT], [m4_if($1, no, no, yes)])dnl
+AC_ARG_ENABLE([shared],
+    [AS_HELP_STRING([--enable-shared@<:@=PKGS@:>@],
+	[build shared libraries @<:@default=]_LT_ENABLE_SHARED_DEFAULT[@:>@])],
+    [p=${PACKAGE-default}
+    case $enableval in
+    yes) enable_shared=yes ;;
+    no) enable_shared=no ;;
+    *)
+      enable_shared=no
+      # Look at the argument we got.  We use all the common list separators.
+      lt_save_ifs="$IFS"; IFS="${IFS}$PATH_SEPARATOR,"
+      for pkg in $enableval; do
+	IFS="$lt_save_ifs"
+	if test "X$pkg" = "X$p"; then
+	  enable_shared=yes
+	fi
+      done
+      IFS="$lt_save_ifs"
+      ;;
+    esac],
+    [enable_shared=]_LT_ENABLE_SHARED_DEFAULT)
+
+    _LT_DECL([build_libtool_libs], [enable_shared], [0],
+	[Whether or not to build shared libraries])
+])# _LT_ENABLE_SHARED
+
+LT_OPTION_DEFINE([LT_INIT], [shared], [_LT_ENABLE_SHARED([yes])])
+LT_OPTION_DEFINE([LT_INIT], [disable-shared], [_LT_ENABLE_SHARED([no])])
+
+# Old names:
+AC_DEFUN([AC_ENABLE_SHARED],
+[_LT_SET_OPTION([LT_INIT], m4_if([$1], [no], [disable-])[shared])
+])
+
+AC_DEFUN([AC_DISABLE_SHARED],
+[_LT_SET_OPTION([LT_INIT], [disable-shared])
+])
+
+AU_DEFUN([AM_ENABLE_SHARED], [AC_ENABLE_SHARED($@)])
+AU_DEFUN([AM_DISABLE_SHARED], [AC_DISABLE_SHARED($@)])
+
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([AM_ENABLE_SHARED], [])
+dnl AC_DEFUN([AM_DISABLE_SHARED], [])
+
+
+
+# _LT_ENABLE_STATIC([DEFAULT])
+# ----------------------------
+# implement the --enable-static flag, and support the `static' and
+# `disable-static' LT_INIT options.
+# DEFAULT is either `yes' or `no'.  If omitted, it defaults to `yes'.
+m4_define([_LT_ENABLE_STATIC],
+[m4_define([_LT_ENABLE_STATIC_DEFAULT], [m4_if($1, no, no, yes)])dnl
+AC_ARG_ENABLE([static],
+    [AS_HELP_STRING([--enable-static@<:@=PKGS@:>@],
+	[build static libraries @<:@default=]_LT_ENABLE_STATIC_DEFAULT[@:>@])],
+    [p=${PACKAGE-default}
+    case $enableval in
+    yes) enable_static=yes ;;
+    no) enable_static=no ;;
+    *)
+     enable_static=no
+      # Look at the argument we got.  We use all the common list separators.
+      lt_save_ifs="$IFS"; IFS="${IFS}$PATH_SEPARATOR,"
+      for pkg in $enableval; do
+	IFS="$lt_save_ifs"
+	if test "X$pkg" = "X$p"; then
+	  enable_static=yes
+	fi
+      done
+      IFS="$lt_save_ifs"
+      ;;
+    esac],
+    [enable_static=]_LT_ENABLE_STATIC_DEFAULT)
+
+    _LT_DECL([build_old_libs], [enable_static], [0],
+	[Whether or not to build static libraries])
+])# _LT_ENABLE_STATIC
+
+LT_OPTION_DEFINE([LT_INIT], [static], [_LT_ENABLE_STATIC([yes])])
+LT_OPTION_DEFINE([LT_INIT], [disable-static], [_LT_ENABLE_STATIC([no])])
+
+# Old names:
+AC_DEFUN([AC_ENABLE_STATIC],
+[_LT_SET_OPTION([LT_INIT], m4_if([$1], [no], [disable-])[static])
+])
+
+AC_DEFUN([AC_DISABLE_STATIC],
+[_LT_SET_OPTION([LT_INIT], [disable-static])
+])
+
+AU_DEFUN([AM_ENABLE_STATIC], [AC_ENABLE_STATIC($@)])
+AU_DEFUN([AM_DISABLE_STATIC], [AC_DISABLE_STATIC($@)])
+
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([AM_ENABLE_STATIC], [])
+dnl AC_DEFUN([AM_DISABLE_STATIC], [])
+
+
+
+# _LT_ENABLE_FAST_INSTALL([DEFAULT])
+# ----------------------------------
+# implement the --enable-fast-install flag, and support the `fast-install'
+# and `disable-fast-install' LT_INIT options.
+# DEFAULT is either `yes' or `no'.  If omitted, it defaults to `yes'.
+m4_define([_LT_ENABLE_FAST_INSTALL],
+[m4_define([_LT_ENABLE_FAST_INSTALL_DEFAULT], [m4_if($1, no, no, yes)])dnl
+AC_ARG_ENABLE([fast-install],
+    [AS_HELP_STRING([--enable-fast-install@<:@=PKGS@:>@],
+    [optimize for fast installation @<:@default=]_LT_ENABLE_FAST_INSTALL_DEFAULT[@:>@])],
+    [p=${PACKAGE-default}
+    case $enableval in
+    yes) enable_fast_install=yes ;;
+    no) enable_fast_install=no ;;
+    *)
+      enable_fast_install=no
+      # Look at the argument we got.  We use all the common list separators.
+      lt_save_ifs="$IFS"; IFS="${IFS}$PATH_SEPARATOR,"
+      for pkg in $enableval; do
+	IFS="$lt_save_ifs"
+	if test "X$pkg" = "X$p"; then
+	  enable_fast_install=yes
+	fi
+      done
+      IFS="$lt_save_ifs"
+      ;;
+    esac],
+    [enable_fast_install=]_LT_ENABLE_FAST_INSTALL_DEFAULT)
+
+_LT_DECL([fast_install], [enable_fast_install], [0],
+	 [Whether or not to optimize for fast installation])dnl
+])# _LT_ENABLE_FAST_INSTALL
+
+LT_OPTION_DEFINE([LT_INIT], [fast-install], [_LT_ENABLE_FAST_INSTALL([yes])])
+LT_OPTION_DEFINE([LT_INIT], [disable-fast-install], [_LT_ENABLE_FAST_INSTALL([no])])
+
+# Old names:
+AU_DEFUN([AC_ENABLE_FAST_INSTALL],
+[_LT_SET_OPTION([LT_INIT], m4_if([$1], [no], [disable-])[fast-install])
+AC_DIAGNOSE([obsolete],
+[$0: Remove this warning and the call to _LT_SET_OPTION when you put
+the `fast-install' option into LT_INIT's first parameter.])
+])
+
+AU_DEFUN([AC_DISABLE_FAST_INSTALL],
+[_LT_SET_OPTION([LT_INIT], [disable-fast-install])
+AC_DIAGNOSE([obsolete],
+[$0: Remove this warning and the call to _LT_SET_OPTION when you put
+the `disable-fast-install' option into LT_INIT's first parameter.])
+])
+
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([AC_ENABLE_FAST_INSTALL], [])
+dnl AC_DEFUN([AM_DISABLE_FAST_INSTALL], [])
+
+
+# _LT_WITH_PIC([MODE])
+# --------------------
+# implement the --with-pic flag, and support the `pic-only' and `no-pic'
+# LT_INIT options.
+# MODE is either `yes' or `no'.  If omitted, it defaults to `both'.
+m4_define([_LT_WITH_PIC],
+[AC_ARG_WITH([pic],
+    [AS_HELP_STRING([--with-pic],
+	[try to use only PIC/non-PIC objects @<:@default=use both@:>@])],
+    [pic_mode="$withval"],
+    [pic_mode=default])
+
+test -z "$pic_mode" && pic_mode=m4_default([$1], [default])
+
+_LT_DECL([], [pic_mode], [0], [What type of objects to build])dnl
+])# _LT_WITH_PIC
+
+LT_OPTION_DEFINE([LT_INIT], [pic-only], [_LT_WITH_PIC([yes])])
+LT_OPTION_DEFINE([LT_INIT], [no-pic], [_LT_WITH_PIC([no])])
+
+# Old name:
+AU_DEFUN([AC_LIBTOOL_PICMODE],
+[_LT_SET_OPTION([LT_INIT], [pic-only])
+AC_DIAGNOSE([obsolete],
+[$0: Remove this warning and the call to _LT_SET_OPTION when you
+put the `pic-only' option into LT_INIT's first parameter.])
+])
+
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([AC_LIBTOOL_PICMODE], [])
+
+
+m4_define([_LTDL_MODE], [])
+LT_OPTION_DEFINE([LTDL_INIT], [nonrecursive],
+		 [m4_define([_LTDL_MODE], [nonrecursive])])
+LT_OPTION_DEFINE([LTDL_INIT], [recursive],
+		 [m4_define([_LTDL_MODE], [recursive])])
+LT_OPTION_DEFINE([LTDL_INIT], [subproject],
+		 [m4_define([_LTDL_MODE], [subproject])])
+
+m4_define([_LTDL_TYPE], [])
+LT_OPTION_DEFINE([LTDL_INIT], [installable],
+		 [m4_define([_LTDL_TYPE], [installable])])
+LT_OPTION_DEFINE([LTDL_INIT], [convenience],
+		 [m4_define([_LTDL_TYPE], [convenience])])
+
+# ltsugar.m4 -- libtool m4 base layer.                         -*-Autoconf-*-
+#
+# Copyright (C) 2004, 2005, 2007, 2008 Free Software Foundation, Inc.
+# Written by Gary V. Vaughan, 2004
+#
+# This file is free software; the Free Software Foundation gives
+# unlimited permission to copy and/or distribute it, with or without
+# modifications, as long as this notice is preserved.
+
+# serial 6 ltsugar.m4
+
+# This is to help aclocal find these macros, as it can't see m4_define.
+AC_DEFUN([LTSUGAR_VERSION], [m4_if([0.1])])
+
+
+# lt_join(SEP, ARG1, [ARG2...])
+# -----------------------------
+# Produce ARG1SEPARG2...SEPARGn, omitting [] arguments and their
+# associated separator.
+# Needed until we can rely on m4_join from Autoconf 2.62, since all earlier
+# versions in m4sugar had bugs.
+m4_define([lt_join],
+[m4_if([$#], [1], [],
+       [$#], [2], [[$2]],
+       [m4_if([$2], [], [], [[$2]_])$0([$1], m4_shift(m4_shift($@)))])])
+m4_define([_lt_join],
+[m4_if([$#$2], [2], [],
+       [m4_if([$2], [], [], [[$1$2]])$0([$1], m4_shift(m4_shift($@)))])])
+
+
+# lt_car(LIST)
+# lt_cdr(LIST)
+# ------------
+# Manipulate m4 lists.
+# These macros are necessary as long as will still need to support
+# Autoconf-2.59 which quotes differently.
+m4_define([lt_car], [[$1]])
+m4_define([lt_cdr],
+[m4_if([$#], 0, [m4_fatal([$0: cannot be called without arguments])],
+       [$#], 1, [],
+       [m4_dquote(m4_shift($@))])])
+m4_define([lt_unquote], $1)
+
+
+# lt_append(MACRO-NAME, STRING, [SEPARATOR])
+# ------------------------------------------
+# Redefine MACRO-NAME to hold its former content plus `SEPARATOR'`STRING'.
+# Note that neither SEPARATOR nor STRING are expanded; they are appended
+# to MACRO-NAME as is (leaving the expansion for when MACRO-NAME is invoked).
+# No SEPARATOR is output if MACRO-NAME was previously undefined (different
+# than defined and empty).
+#
+# This macro is needed until we can rely on Autoconf 2.62, since earlier
+# versions of m4sugar mistakenly expanded SEPARATOR but not STRING.
+m4_define([lt_append],
+[m4_define([$1],
+	   m4_ifdef([$1], [m4_defn([$1])[$3]])[$2])])
+
+
+
+# lt_combine(SEP, PREFIX-LIST, INFIX, SUFFIX1, [SUFFIX2...])
+# ----------------------------------------------------------
+# Produce a SEP delimited list of all paired combinations of elements of
+# PREFIX-LIST with SUFFIX1 through SUFFIXn.  Each element of the list
+# has the form PREFIXmINFIXSUFFIXn.
+# Needed until we can rely on m4_combine added in Autoconf 2.62.
+m4_define([lt_combine],
+[m4_if(m4_eval([$# > 3]), [1],
+       [m4_pushdef([_Lt_sep], [m4_define([_Lt_sep], m4_defn([lt_car]))])]]dnl
+[[m4_foreach([_Lt_prefix], [$2],
+	     [m4_foreach([_Lt_suffix],
+		]m4_dquote(m4_dquote(m4_shift(m4_shift(m4_shift($@)))))[,
+	[_Lt_sep([$1])[]m4_defn([_Lt_prefix])[$3]m4_defn([_Lt_suffix])])])])])
+
+
+# lt_if_append_uniq(MACRO-NAME, VARNAME, [SEPARATOR], [UNIQ], [NOT-UNIQ])
+# -----------------------------------------------------------------------
+# Iff MACRO-NAME does not yet contain VARNAME, then append it (delimited
+# by SEPARATOR if supplied) and expand UNIQ, else NOT-UNIQ.
+m4_define([lt_if_append_uniq],
+[m4_ifdef([$1],
+	  [m4_if(m4_index([$3]m4_defn([$1])[$3], [$3$2$3]), [-1],
+		 [lt_append([$1], [$2], [$3])$4],
+		 [$5])],
+	  [lt_append([$1], [$2], [$3])$4])])
+
+
+# lt_dict_add(DICT, KEY, VALUE)
+# -----------------------------
+m4_define([lt_dict_add],
+[m4_define([$1($2)], [$3])])
+
+
+# lt_dict_add_subkey(DICT, KEY, SUBKEY, VALUE)
+# --------------------------------------------
+m4_define([lt_dict_add_subkey],
+[m4_define([$1($2:$3)], [$4])])
+
+
+# lt_dict_fetch(DICT, KEY, [SUBKEY])
+# ----------------------------------
+m4_define([lt_dict_fetch],
+[m4_ifval([$3],
+	m4_ifdef([$1($2:$3)], [m4_defn([$1($2:$3)])]),
+    m4_ifdef([$1($2)], [m4_defn([$1($2)])]))])
+
+
+# lt_if_dict_fetch(DICT, KEY, [SUBKEY], VALUE, IF-TRUE, [IF-FALSE])
+# -----------------------------------------------------------------
+m4_define([lt_if_dict_fetch],
+[m4_if(lt_dict_fetch([$1], [$2], [$3]), [$4],
+	[$5],
+    [$6])])
+
+
+# lt_dict_filter(DICT, [SUBKEY], VALUE, [SEPARATOR], KEY, [...])
+# --------------------------------------------------------------
+m4_define([lt_dict_filter],
+[m4_if([$5], [], [],
+  [lt_join(m4_quote(m4_default([$4], [[, ]])),
+           lt_unquote(m4_split(m4_normalize(m4_foreach(_Lt_key, lt_car([m4_shiftn(4, $@)]),
+		      [lt_if_dict_fetch([$1], _Lt_key, [$2], [$3], [_Lt_key ])])))))])[]dnl
+])
+
+# ltversion.m4 -- version numbers			-*- Autoconf -*-
+#
+#   Copyright (C) 2004 Free Software Foundation, Inc.
+#   Written by Scott James Remnant, 2004
+#
+# This file is free software; the Free Software Foundation gives
+# unlimited permission to copy and/or distribute it, with or without
+# modifications, as long as this notice is preserved.
+
+# Generated from ltversion.in.
+
+# serial 3017 ltversion.m4
+# This file is part of GNU Libtool
+
+m4_define([LT_PACKAGE_VERSION], [2.2.6b])
+m4_define([LT_PACKAGE_REVISION], [1.3017])
+
+AC_DEFUN([LTVERSION_VERSION],
+[macro_version='2.2.6b'
+macro_revision='1.3017'
+_LT_DECL(, macro_version, 0, [Which release of libtool.m4 was used?])
+_LT_DECL(, macro_revision, 0)
+])
+
+# lt~obsolete.m4 -- aclocal satisfying obsolete definitions.    -*-Autoconf-*-
+#
+#   Copyright (C) 2004, 2005, 2007 Free Software Foundation, Inc.
+#   Written by Scott James Remnant, 2004.
+#
+# This file is free software; the Free Software Foundation gives
+# unlimited permission to copy and/or distribute it, with or without
+# modifications, as long as this notice is preserved.
+
+# serial 4 lt~obsolete.m4
+
+# These exist entirely to fool aclocal when bootstrapping libtool.
+#
+# In the past libtool.m4 has provided macros via AC_DEFUN (or AU_DEFUN)
+# which have later been changed to m4_define as they aren't part of the
+# exported API, or moved to Autoconf or Automake where they belong.
+#
+# The trouble is, aclocal is a bit thick.  It'll see the old AC_DEFUN
+# in /usr/share/aclocal/libtool.m4 and remember it, then when it sees us
+# using a macro with the same name in our local m4/libtool.m4 it'll
+# pull the old libtool.m4 in (it doesn't see our shiny new m4_define
+# and doesn't know about Autoconf macros at all.)
+#
+# So we provide this file, which has a silly filename so it's always
+# included after everything else.  This provides aclocal with the
+# AC_DEFUNs it wants, but when m4 processes it, it doesn't do anything
+# because those macros already exist, or will be overwritten later.
+# We use AC_DEFUN over AU_DEFUN for compatibility with aclocal-1.6. 
+#
+# Anytime we withdraw an AC_DEFUN or AU_DEFUN, remember to add it here.
+# Yes, that means every name once taken will need to remain here until
+# we give up compatibility with versions before 1.7, at which point
+# we need to keep only those names which we still refer to.
+
+# This is to help aclocal find these macros, as it can't see m4_define.
+AC_DEFUN([LTOBSOLETE_VERSION], [m4_if([1])])
+
+m4_ifndef([AC_LIBTOOL_LINKER_OPTION],	[AC_DEFUN([AC_LIBTOOL_LINKER_OPTION])])
+m4_ifndef([AC_PROG_EGREP],		[AC_DEFUN([AC_PROG_EGREP])])
+m4_ifndef([_LT_AC_PROG_ECHO_BACKSLASH],	[AC_DEFUN([_LT_AC_PROG_ECHO_BACKSLASH])])
+m4_ifndef([_LT_AC_SHELL_INIT],		[AC_DEFUN([_LT_AC_SHELL_INIT])])
+m4_ifndef([_LT_AC_SYS_LIBPATH_AIX],	[AC_DEFUN([_LT_AC_SYS_LIBPATH_AIX])])
+m4_ifndef([_LT_PROG_LTMAIN],		[AC_DEFUN([_LT_PROG_LTMAIN])])
+m4_ifndef([_LT_AC_TAGVAR],		[AC_DEFUN([_LT_AC_TAGVAR])])
+m4_ifndef([AC_LTDL_ENABLE_INSTALL],	[AC_DEFUN([AC_LTDL_ENABLE_INSTALL])])
+m4_ifndef([AC_LTDL_PREOPEN],		[AC_DEFUN([AC_LTDL_PREOPEN])])
+m4_ifndef([_LT_AC_SYS_COMPILER],	[AC_DEFUN([_LT_AC_SYS_COMPILER])])
+m4_ifndef([_LT_AC_LOCK],		[AC_DEFUN([_LT_AC_LOCK])])
+m4_ifndef([AC_LIBTOOL_SYS_OLD_ARCHIVE],	[AC_DEFUN([AC_LIBTOOL_SYS_OLD_ARCHIVE])])
+m4_ifndef([_LT_AC_TRY_DLOPEN_SELF],	[AC_DEFUN([_LT_AC_TRY_DLOPEN_SELF])])
+m4_ifndef([AC_LIBTOOL_PROG_CC_C_O],	[AC_DEFUN([AC_LIBTOOL_PROG_CC_C_O])])
+m4_ifndef([AC_LIBTOOL_SYS_HARD_LINK_LOCKS], [AC_DEFUN([AC_LIBTOOL_SYS_HARD_LINK_LOCKS])])
+m4_ifndef([AC_LIBTOOL_OBJDIR],		[AC_DEFUN([AC_LIBTOOL_OBJDIR])])
+m4_ifndef([AC_LTDL_OBJDIR],		[AC_DEFUN([AC_LTDL_OBJDIR])])
+m4_ifndef([AC_LIBTOOL_PROG_LD_HARDCODE_LIBPATH], [AC_DEFUN([AC_LIBTOOL_PROG_LD_HARDCODE_LIBPATH])])
+m4_ifndef([AC_LIBTOOL_SYS_LIB_STRIP],	[AC_DEFUN([AC_LIBTOOL_SYS_LIB_STRIP])])
+m4_ifndef([AC_PATH_MAGIC],		[AC_DEFUN([AC_PATH_MAGIC])])
+m4_ifndef([AC_PROG_LD_GNU],		[AC_DEFUN([AC_PROG_LD_GNU])])
+m4_ifndef([AC_PROG_LD_RELOAD_FLAG],	[AC_DEFUN([AC_PROG_LD_RELOAD_FLAG])])
+m4_ifndef([AC_DEPLIBS_CHECK_METHOD],	[AC_DEFUN([AC_DEPLIBS_CHECK_METHOD])])
+m4_ifndef([AC_LIBTOOL_PROG_COMPILER_NO_RTTI], [AC_DEFUN([AC_LIBTOOL_PROG_COMPILER_NO_RTTI])])
+m4_ifndef([AC_LIBTOOL_SYS_GLOBAL_SYMBOL_PIPE], [AC_DEFUN([AC_LIBTOOL_SYS_GLOBAL_SYMBOL_PIPE])])
+m4_ifndef([AC_LIBTOOL_PROG_COMPILER_PIC], [AC_DEFUN([AC_LIBTOOL_PROG_COMPILER_PIC])])
+m4_ifndef([AC_LIBTOOL_PROG_LD_SHLIBS],	[AC_DEFUN([AC_LIBTOOL_PROG_LD_SHLIBS])])
+m4_ifndef([AC_LIBTOOL_POSTDEP_PREDEP],	[AC_DEFUN([AC_LIBTOOL_POSTDEP_PREDEP])])
+m4_ifndef([LT_AC_PROG_EGREP],		[AC_DEFUN([LT_AC_PROG_EGREP])])
+m4_ifndef([LT_AC_PROG_SED],		[AC_DEFUN([LT_AC_PROG_SED])])
+m4_ifndef([_LT_CC_BASENAME],		[AC_DEFUN([_LT_CC_BASENAME])])
+m4_ifndef([_LT_COMPILER_BOILERPLATE],	[AC_DEFUN([_LT_COMPILER_BOILERPLATE])])
+m4_ifndef([_LT_LINKER_BOILERPLATE],	[AC_DEFUN([_LT_LINKER_BOILERPLATE])])
+m4_ifndef([_AC_PROG_LIBTOOL],		[AC_DEFUN([_AC_PROG_LIBTOOL])])
+m4_ifndef([AC_LIBTOOL_SETUP],		[AC_DEFUN([AC_LIBTOOL_SETUP])])
+m4_ifndef([_LT_AC_CHECK_DLFCN],		[AC_DEFUN([_LT_AC_CHECK_DLFCN])])
+m4_ifndef([AC_LIBTOOL_SYS_DYNAMIC_LINKER],	[AC_DEFUN([AC_LIBTOOL_SYS_DYNAMIC_LINKER])])
+m4_ifndef([_LT_AC_TAGCONFIG],		[AC_DEFUN([_LT_AC_TAGCONFIG])])
+m4_ifndef([AC_DISABLE_FAST_INSTALL],	[AC_DEFUN([AC_DISABLE_FAST_INSTALL])])
+m4_ifndef([_LT_AC_LANG_CXX],		[AC_DEFUN([_LT_AC_LANG_CXX])])
+m4_ifndef([_LT_AC_LANG_F77],		[AC_DEFUN([_LT_AC_LANG_F77])])
+m4_ifndef([_LT_AC_LANG_GCJ],		[AC_DEFUN([_LT_AC_LANG_GCJ])])
+m4_ifndef([AC_LIBTOOL_RC],		[AC_DEFUN([AC_LIBTOOL_RC])])
+m4_ifndef([AC_LIBTOOL_LANG_C_CONFIG],	[AC_DEFUN([AC_LIBTOOL_LANG_C_CONFIG])])
+m4_ifndef([_LT_AC_LANG_C_CONFIG],	[AC_DEFUN([_LT_AC_LANG_C_CONFIG])])
+m4_ifndef([AC_LIBTOOL_LANG_CXX_CONFIG],	[AC_DEFUN([AC_LIBTOOL_LANG_CXX_CONFIG])])
+m4_ifndef([_LT_AC_LANG_CXX_CONFIG],	[AC_DEFUN([_LT_AC_LANG_CXX_CONFIG])])
+m4_ifndef([AC_LIBTOOL_LANG_F77_CONFIG],	[AC_DEFUN([AC_LIBTOOL_LANG_F77_CONFIG])])
+m4_ifndef([_LT_AC_LANG_F77_CONFIG],	[AC_DEFUN([_LT_AC_LANG_F77_CONFIG])])
+m4_ifndef([AC_LIBTOOL_LANG_GCJ_CONFIG],	[AC_DEFUN([AC_LIBTOOL_LANG_GCJ_CONFIG])])
+m4_ifndef([_LT_AC_LANG_GCJ_CONFIG],	[AC_DEFUN([_LT_AC_LANG_GCJ_CONFIG])])
+m4_ifndef([AC_LIBTOOL_LANG_RC_CONFIG],	[AC_DEFUN([AC_LIBTOOL_LANG_RC_CONFIG])])
+m4_ifndef([_LT_AC_LANG_RC_CONFIG],	[AC_DEFUN([_LT_AC_LANG_RC_CONFIG])])
+m4_ifndef([AC_LIBTOOL_CONFIG],		[AC_DEFUN([AC_LIBTOOL_CONFIG])])
+m4_ifndef([_LT_AC_FILE_LTDLL_C],	[AC_DEFUN([_LT_AC_FILE_LTDLL_C])])
+
+# Copyright (C) 2002, 2003, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# AM_AUTOMAKE_VERSION(VERSION)
+# ----------------------------
+# Automake X.Y traces this macro to ensure aclocal.m4 has been
+# generated from the m4 files accompanying Automake X.Y.
+# (This private macro should not be called outside this file.)
+AC_DEFUN([AM_AUTOMAKE_VERSION],
+[am__api_version='1.11'
+dnl Some users find AM_AUTOMAKE_VERSION and mistake it for a way to
+dnl require some minimum version.  Point them to the right macro.
+m4_if([$1], [1.11.1], [],
+      [AC_FATAL([Do not call $0, use AM_INIT_AUTOMAKE([$1]).])])dnl
+])
+
+# _AM_AUTOCONF_VERSION(VERSION)
+# -----------------------------
+# aclocal traces this macro to find the Autoconf version.
+# This is a private macro too.  Using m4_define simplifies
+# the logic in aclocal, which can simply ignore this definition.
+m4_define([_AM_AUTOCONF_VERSION], [])
+
+# AM_SET_CURRENT_AUTOMAKE_VERSION
+# -------------------------------
+# Call AM_AUTOMAKE_VERSION and AM_AUTOMAKE_VERSION so they can be traced.
+# This function is AC_REQUIREd by AM_INIT_AUTOMAKE.
+AC_DEFUN([AM_SET_CURRENT_AUTOMAKE_VERSION],
+[AM_AUTOMAKE_VERSION([1.11.1])dnl
+m4_ifndef([AC_AUTOCONF_VERSION],
+  [m4_copy([m4_PACKAGE_VERSION], [AC_AUTOCONF_VERSION])])dnl
+_AM_AUTOCONF_VERSION(m4_defn([AC_AUTOCONF_VERSION]))])
+
+# AM_AUX_DIR_EXPAND                                         -*- Autoconf -*-
+
+# Copyright (C) 2001, 2003, 2005  Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# For projects using AC_CONFIG_AUX_DIR([foo]), Autoconf sets
+# $ac_aux_dir to `$srcdir/foo'.  In other projects, it is set to
+# `$srcdir', `$srcdir/..', or `$srcdir/../..'.
+#
+# Of course, Automake must honor this variable whenever it calls a
+# tool from the auxiliary directory.  The problem is that $srcdir (and
+# therefore $ac_aux_dir as well) can be either absolute or relative,
+# depending on how configure is run.  This is pretty annoying, since
+# it makes $ac_aux_dir quite unusable in subdirectories: in the top
+# source directory, any form will work fine, but in subdirectories a
+# relative path needs to be adjusted first.
+#
+# $ac_aux_dir/missing
+#    fails when called from a subdirectory if $ac_aux_dir is relative
+# $top_srcdir/$ac_aux_dir/missing
+#    fails if $ac_aux_dir is absolute,
+#    fails when called from a subdirectory in a VPATH build with
+#          a relative $ac_aux_dir
+#
+# The reason of the latter failure is that $top_srcdir and $ac_aux_dir
+# are both prefixed by $srcdir.  In an in-source build this is usually
+# harmless because $srcdir is `.', but things will broke when you
+# start a VPATH build or use an absolute $srcdir.
+#
+# So we could use something similar to $top_srcdir/$ac_aux_dir/missing,
+# iff we strip the leading $srcdir from $ac_aux_dir.  That would be:
+#   am_aux_dir='\$(top_srcdir)/'`expr "$ac_aux_dir" : "$srcdir//*\(.*\)"`
+# and then we would define $MISSING as
+#   MISSING="\${SHELL} $am_aux_dir/missing"
+# This will work as long as MISSING is not called from configure, because
+# unfortunately $(top_srcdir) has no meaning in configure.
+# However there are other variables, like CC, which are often used in
+# configure, and could therefore not use this "fixed" $ac_aux_dir.
+#
+# Another solution, used here, is to always expand $ac_aux_dir to an
+# absolute PATH.  The drawback is that using absolute paths prevent a
+# configured tree to be moved without reconfiguration.
+
+AC_DEFUN([AM_AUX_DIR_EXPAND],
+[dnl Rely on autoconf to set up CDPATH properly.
+AC_PREREQ([2.50])dnl
+# expand $ac_aux_dir to an absolute path
+am_aux_dir=`cd $ac_aux_dir && pwd`
+])
+
+# AM_CONDITIONAL                                            -*- Autoconf -*-
+
+# Copyright (C) 1997, 2000, 2001, 2003, 2004, 2005, 2006, 2008
+# Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# serial 9
+
+# AM_CONDITIONAL(NAME, SHELL-CONDITION)
+# -------------------------------------
+# Define a conditional.
+AC_DEFUN([AM_CONDITIONAL],
+[AC_PREREQ(2.52)dnl
+ ifelse([$1], [TRUE],  [AC_FATAL([$0: invalid condition: $1])],
+	[$1], [FALSE], [AC_FATAL([$0: invalid condition: $1])])dnl
+AC_SUBST([$1_TRUE])dnl
+AC_SUBST([$1_FALSE])dnl
+_AM_SUBST_NOTMAKE([$1_TRUE])dnl
+_AM_SUBST_NOTMAKE([$1_FALSE])dnl
+m4_define([_AM_COND_VALUE_$1], [$2])dnl
+if $2; then
+  $1_TRUE=
+  $1_FALSE='#'
+else
+  $1_TRUE='#'
+  $1_FALSE=
+fi
+AC_CONFIG_COMMANDS_PRE(
+[if test -z "${$1_TRUE}" && test -z "${$1_FALSE}"; then
+  AC_MSG_ERROR([[conditional "$1" was never defined.
+Usually this means the macro was only invoked conditionally.]])
+fi])])
+
+# Copyright (C) 1999, 2000, 2001, 2002, 2003, 2004, 2005, 2006, 2009
+# Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# serial 10
+
+# There are a few dirty hacks below to avoid letting `AC_PROG_CC' be
+# written in clear, in which case automake, when reading aclocal.m4,
+# will think it sees a *use*, and therefore will trigger all it's
+# C support machinery.  Also note that it means that autoscan, seeing
+# CC etc. in the Makefile, will ask for an AC_PROG_CC use...
+
+
+# _AM_DEPENDENCIES(NAME)
+# ----------------------
+# See how the compiler implements dependency checking.
+# NAME is "CC", "CXX", "GCJ", or "OBJC".
+# We try a few techniques and use that to set a single cache variable.
+#
+# We don't AC_REQUIRE the corresponding AC_PROG_CC since the latter was
+# modified to invoke _AM_DEPENDENCIES(CC); we would have a circular
+# dependency, and given that the user is not expected to run this macro,
+# just rely on AC_PROG_CC.
+AC_DEFUN([_AM_DEPENDENCIES],
+[AC_REQUIRE([AM_SET_DEPDIR])dnl
+AC_REQUIRE([AM_OUTPUT_DEPENDENCY_COMMANDS])dnl
+AC_REQUIRE([AM_MAKE_INCLUDE])dnl
+AC_REQUIRE([AM_DEP_TRACK])dnl
+
+ifelse([$1], CC,   [depcc="$CC"   am_compiler_list=],
+       [$1], CXX,  [depcc="$CXX"  am_compiler_list=],
+       [$1], OBJC, [depcc="$OBJC" am_compiler_list='gcc3 gcc'],
+       [$1], UPC,  [depcc="$UPC"  am_compiler_list=],
+       [$1], GCJ,  [depcc="$GCJ"  am_compiler_list='gcc3 gcc'],
+                   [depcc="$$1"   am_compiler_list=])
+
+AC_CACHE_CHECK([dependency style of $depcc],
+               [am_cv_$1_dependencies_compiler_type],
+[if test -z "$AMDEP_TRUE" && test -f "$am_depcomp"; then
+  # We make a subdir and do the tests there.  Otherwise we can end up
+  # making bogus files that we don't know about and never remove.  For
+  # instance it was reported that on HP-UX the gcc test will end up
+  # making a dummy file named `D' -- because `-MD' means `put the output
+  # in D'.
+  mkdir conftest.dir
+  # Copy depcomp to subdir because otherwise we won't find it if we're
+  # using a relative directory.
+  cp "$am_depcomp" conftest.dir
+  cd conftest.dir
+  # We will build objects and dependencies in a subdirectory because
+  # it helps to detect inapplicable dependency modes.  For instance
+  # both Tru64's cc and ICC support -MD to output dependencies as a
+  # side effect of compilation, but ICC will put the dependencies in
+  # the current directory while Tru64 will put them in the object
+  # directory.
+  mkdir sub
+
+  am_cv_$1_dependencies_compiler_type=none
+  if test "$am_compiler_list" = ""; then
+     am_compiler_list=`sed -n ['s/^#*\([a-zA-Z0-9]*\))$/\1/p'] < ./depcomp`
+  fi
+  am__universal=false
+  m4_case([$1], [CC],
+    [case " $depcc " in #(
+     *\ -arch\ *\ -arch\ *) am__universal=true ;;
+     esac],
+    [CXX],
+    [case " $depcc " in #(
+     *\ -arch\ *\ -arch\ *) am__universal=true ;;
+     esac])
+
+  for depmode in $am_compiler_list; do
+    # Setup a source with many dependencies, because some compilers
+    # like to wrap large dependency lists on column 80 (with \), and
+    # we should not choose a depcomp mode which is confused by this.
+    #
+    # We need to recreate these files for each test, as the compiler may
+    # overwrite some of them when testing with obscure command lines.
+    # This happens at least with the AIX C compiler.
+    : > sub/conftest.c
+    for i in 1 2 3 4 5 6; do
+      echo '#include "conftst'$i'.h"' >> sub/conftest.c
+      # Using `: > sub/conftst$i.h' creates only sub/conftst1.h with
+      # Solaris 8's {/usr,}/bin/sh.
+      touch sub/conftst$i.h
+    done
+    echo "${am__include} ${am__quote}sub/conftest.Po${am__quote}" > confmf
+
+    # We check with `-c' and `-o' for the sake of the "dashmstdout"
+    # mode.  It turns out that the SunPro C++ compiler does not properly
+    # handle `-M -o', and we need to detect this.  Also, some Intel
+    # versions had trouble with output in subdirs
+    am__obj=sub/conftest.${OBJEXT-o}
+    am__minus_obj="-o $am__obj"
+    case $depmode in
+    gcc)
+      # This depmode causes a compiler race in universal mode.
+      test "$am__universal" = false || continue
+      ;;
+    nosideeffect)
+      # after this tag, mechanisms are not by side-effect, so they'll
+      # only be used when explicitly requested
+      if test "x$enable_dependency_tracking" = xyes; then
+	continue
+      else
+	break
+      fi
+      ;;
+    msvisualcpp | msvcmsys)
+      # This compiler won't grok `-c -o', but also, the minuso test has
+      # not run yet.  These depmodes are late enough in the game, and
+      # so weak that their functioning should not be impacted.
+      am__obj=conftest.${OBJEXT-o}
+      am__minus_obj=
+      ;;
+    none) break ;;
+    esac
+    if depmode=$depmode \
+       source=sub/conftest.c object=$am__obj \
+       depfile=sub/conftest.Po tmpdepfile=sub/conftest.TPo \
+       $SHELL ./depcomp $depcc -c $am__minus_obj sub/conftest.c \
+         >/dev/null 2>conftest.err &&
+       grep sub/conftst1.h sub/conftest.Po > /dev/null 2>&1 &&
+       grep sub/conftst6.h sub/conftest.Po > /dev/null 2>&1 &&
+       grep $am__obj sub/conftest.Po > /dev/null 2>&1 &&
+       ${MAKE-make} -s -f confmf > /dev/null 2>&1; then
+      # icc doesn't choke on unknown options, it will just issue warnings
+      # or remarks (even with -Werror).  So we grep stderr for any message
+      # that says an option was ignored or not supported.
+      # When given -MP, icc 7.0 and 7.1 complain thusly:
+      #   icc: Command line warning: ignoring option '-M'; no argument required
+      # The diagnosis changed in icc 8.0:
+      #   icc: Command line remark: option '-MP' not supported
+      if (grep 'ignoring option' conftest.err ||
+          grep 'not supported' conftest.err) >/dev/null 2>&1; then :; else
+        am_cv_$1_dependencies_compiler_type=$depmode
+        break
+      fi
+    fi
+  done
+
+  cd ..
+  rm -rf conftest.dir
+else
+  am_cv_$1_dependencies_compiler_type=none
+fi
+])
+AC_SUBST([$1DEPMODE], [depmode=$am_cv_$1_dependencies_compiler_type])
+AM_CONDITIONAL([am__fastdep$1], [
+  test "x$enable_dependency_tracking" != xno \
+  && test "$am_cv_$1_dependencies_compiler_type" = gcc3])
+])
+
+
+# AM_SET_DEPDIR
+# -------------
+# Choose a directory name for dependency files.
+# This macro is AC_REQUIREd in _AM_DEPENDENCIES
+AC_DEFUN([AM_SET_DEPDIR],
+[AC_REQUIRE([AM_SET_LEADING_DOT])dnl
+AC_SUBST([DEPDIR], ["${am__leading_dot}deps"])dnl
+])
+
+
+# AM_DEP_TRACK
+# ------------
+AC_DEFUN([AM_DEP_TRACK],
+[AC_ARG_ENABLE(dependency-tracking,
+[  --disable-dependency-tracking  speeds up one-time build
+  --enable-dependency-tracking   do not reject slow dependency extractors])
+if test "x$enable_dependency_tracking" != xno; then
+  am_depcomp="$ac_aux_dir/depcomp"
+  AMDEPBACKSLASH='\'
+fi
+AM_CONDITIONAL([AMDEP], [test "x$enable_dependency_tracking" != xno])
+AC_SUBST([AMDEPBACKSLASH])dnl
+_AM_SUBST_NOTMAKE([AMDEPBACKSLASH])dnl
+])
+
+# Generate code to set up dependency tracking.              -*- Autoconf -*-
+
+# Copyright (C) 1999, 2000, 2001, 2002, 2003, 2004, 2005, 2008
+# Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+#serial 5
+
+# _AM_OUTPUT_DEPENDENCY_COMMANDS
+# ------------------------------
+AC_DEFUN([_AM_OUTPUT_DEPENDENCY_COMMANDS],
+[{
+  # Autoconf 2.62 quotes --file arguments for eval, but not when files
+  # are listed without --file.  Let's play safe and only enable the eval
+  # if we detect the quoting.
+  case $CONFIG_FILES in
+  *\'*) eval set x "$CONFIG_FILES" ;;
+  *)   set x $CONFIG_FILES ;;
+  esac
+  shift
+  for mf
+  do
+    # Strip MF so we end up with the name of the file.
+    mf=`echo "$mf" | sed -e 's/:.*$//'`
+    # Check whether this is an Automake generated Makefile or not.
+    # We used to match only the files named `Makefile.in', but
+    # some people rename them; so instead we look at the file content.
+    # Grep'ing the first line is not enough: some people post-process
+    # each Makefile.in and add a new line on top of each file to say so.
+    # Grep'ing the whole file is not good either: AIX grep has a line
+    # limit of 2048, but all sed's we know have understand at least 4000.
+    if sed -n 's,^#.*generated by automake.*,X,p' "$mf" | grep X >/dev/null 2>&1; then
+      dirpart=`AS_DIRNAME("$mf")`
+    else
+      continue
+    fi
+    # Extract the definition of DEPDIR, am__include, and am__quote
+    # from the Makefile without running `make'.
+    DEPDIR=`sed -n 's/^DEPDIR = //p' < "$mf"`
+    test -z "$DEPDIR" && continue
+    am__include=`sed -n 's/^am__include = //p' < "$mf"`
+    test -z "am__include" && continue
+    am__quote=`sed -n 's/^am__quote = //p' < "$mf"`
+    # When using ansi2knr, U may be empty or an underscore; expand it
+    U=`sed -n 's/^U = //p' < "$mf"`
+    # Find all dependency output files, they are included files with
+    # $(DEPDIR) in their names.  We invoke sed twice because it is the
+    # simplest approach to changing $(DEPDIR) to its actual value in the
+    # expansion.
+    for file in `sed -n "
+      s/^$am__include $am__quote\(.*(DEPDIR).*\)$am__quote"'$/\1/p' <"$mf" | \
+	 sed -e 's/\$(DEPDIR)/'"$DEPDIR"'/g' -e 's/\$U/'"$U"'/g'`; do
+      # Make sure the directory exists.
+      test -f "$dirpart/$file" && continue
+      fdir=`AS_DIRNAME(["$file"])`
+      AS_MKDIR_P([$dirpart/$fdir])
+      # echo "creating $dirpart/$file"
+      echo '# dummy' > "$dirpart/$file"
+    done
+  done
+}
+])# _AM_OUTPUT_DEPENDENCY_COMMANDS
+
+
+# AM_OUTPUT_DEPENDENCY_COMMANDS
+# -----------------------------
+# This macro should only be invoked once -- use via AC_REQUIRE.
+#
+# This code is only required when automatic dependency tracking
+# is enabled.  FIXME.  This creates each `.P' file that we will
+# need in order to bootstrap the dependency handling code.
+AC_DEFUN([AM_OUTPUT_DEPENDENCY_COMMANDS],
+[AC_CONFIG_COMMANDS([depfiles],
+     [test x"$AMDEP_TRUE" != x"" || _AM_OUTPUT_DEPENDENCY_COMMANDS],
+     [AMDEP_TRUE="$AMDEP_TRUE" ac_aux_dir="$ac_aux_dir"])
+])
+
+# Do all the work for Automake.                             -*- Autoconf -*-
+
+# Copyright (C) 1996, 1997, 1998, 1999, 2000, 2001, 2002, 2003, 2004,
+# 2005, 2006, 2008, 2009 Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# serial 16
+
+# This macro actually does too much.  Some checks are only needed if
+# your package does certain things.  But this isn't really a big deal.
+
+# AM_INIT_AUTOMAKE(PACKAGE, VERSION, [NO-DEFINE])
+# AM_INIT_AUTOMAKE([OPTIONS])
+# -----------------------------------------------
+# The call with PACKAGE and VERSION arguments is the old style
+# call (pre autoconf-2.50), which is being phased out.  PACKAGE
+# and VERSION should now be passed to AC_INIT and removed from
+# the call to AM_INIT_AUTOMAKE.
+# We support both call styles for the transition.  After
+# the next Automake release, Autoconf can make the AC_INIT
+# arguments mandatory, and then we can depend on a new Autoconf
+# release and drop the old call support.
+AC_DEFUN([AM_INIT_AUTOMAKE],
+[AC_PREREQ([2.62])dnl
+dnl Autoconf wants to disallow AM_ names.  We explicitly allow
+dnl the ones we care about.
+m4_pattern_allow([^AM_[A-Z]+FLAGS$])dnl
+AC_REQUIRE([AM_SET_CURRENT_AUTOMAKE_VERSION])dnl
+AC_REQUIRE([AC_PROG_INSTALL])dnl
+if test "`cd $srcdir && pwd`" != "`pwd`"; then
+  # Use -I$(srcdir) only when $(srcdir) != ., so that make's output
+  # is not polluted with repeated "-I."
+  AC_SUBST([am__isrc], [' -I$(srcdir)'])_AM_SUBST_NOTMAKE([am__isrc])dnl
+  # test to see if srcdir already configured
+  if test -f $srcdir/config.status; then
+    AC_MSG_ERROR([source directory already configured; run "make distclean" there first])
+  fi
+fi
+
+# test whether we have cygpath
+if test -z "$CYGPATH_W"; then
+  if (cygpath --version) >/dev/null 2>/dev/null; then
+    CYGPATH_W='cygpath -w'
+  else
+    CYGPATH_W=echo
+  fi
+fi
+AC_SUBST([CYGPATH_W])
+
+# Define the identity of the package.
+dnl Distinguish between old-style and new-style calls.
+m4_ifval([$2],
+[m4_ifval([$3], [_AM_SET_OPTION([no-define])])dnl
+ AC_SUBST([PACKAGE], [$1])dnl
+ AC_SUBST([VERSION], [$2])],
+[_AM_SET_OPTIONS([$1])dnl
+dnl Diagnose old-style AC_INIT with new-style AM_AUTOMAKE_INIT.
+m4_if(m4_ifdef([AC_PACKAGE_NAME], 1)m4_ifdef([AC_PACKAGE_VERSION], 1), 11,,
+  [m4_fatal([AC_INIT should be called with package and version arguments])])dnl
+ AC_SUBST([PACKAGE], ['AC_PACKAGE_TARNAME'])dnl
+ AC_SUBST([VERSION], ['AC_PACKAGE_VERSION'])])dnl
+
+_AM_IF_OPTION([no-define],,
+[AC_DEFINE_UNQUOTED(PACKAGE, "$PACKAGE", [Name of package])
+ AC_DEFINE_UNQUOTED(VERSION, "$VERSION", [Version number of package])])dnl
+
+# Some tools Automake needs.
+AC_REQUIRE([AM_SANITY_CHECK])dnl
+AC_REQUIRE([AC_ARG_PROGRAM])dnl
+AM_MISSING_PROG(ACLOCAL, aclocal-${am__api_version})
+AM_MISSING_PROG(AUTOCONF, autoconf)
+AM_MISSING_PROG(AUTOMAKE, automake-${am__api_version})
+AM_MISSING_PROG(AUTOHEADER, autoheader)
+AM_MISSING_PROG(MAKEINFO, makeinfo)
+AC_REQUIRE([AM_PROG_INSTALL_SH])dnl
+AC_REQUIRE([AM_PROG_INSTALL_STRIP])dnl
+AC_REQUIRE([AM_PROG_MKDIR_P])dnl
+# We need awk for the "check" target.  The system "awk" is bad on
+# some platforms.
+AC_REQUIRE([AC_PROG_AWK])dnl
+AC_REQUIRE([AC_PROG_MAKE_SET])dnl
+AC_REQUIRE([AM_SET_LEADING_DOT])dnl
+_AM_IF_OPTION([tar-ustar], [_AM_PROG_TAR([ustar])],
+	      [_AM_IF_OPTION([tar-pax], [_AM_PROG_TAR([pax])],
+			     [_AM_PROG_TAR([v7])])])
+_AM_IF_OPTION([no-dependencies],,
+[AC_PROVIDE_IFELSE([AC_PROG_CC],
+		  [_AM_DEPENDENCIES(CC)],
+		  [define([AC_PROG_CC],
+			  defn([AC_PROG_CC])[_AM_DEPENDENCIES(CC)])])dnl
+AC_PROVIDE_IFELSE([AC_PROG_CXX],
+		  [_AM_DEPENDENCIES(CXX)],
+		  [define([AC_PROG_CXX],
+			  defn([AC_PROG_CXX])[_AM_DEPENDENCIES(CXX)])])dnl
+AC_PROVIDE_IFELSE([AC_PROG_OBJC],
+		  [_AM_DEPENDENCIES(OBJC)],
+		  [define([AC_PROG_OBJC],
+			  defn([AC_PROG_OBJC])[_AM_DEPENDENCIES(OBJC)])])dnl
+])
+_AM_IF_OPTION([silent-rules], [AC_REQUIRE([AM_SILENT_RULES])])dnl
+dnl The `parallel-tests' driver may need to know about EXEEXT, so add the
+dnl `am__EXEEXT' conditional if _AM_COMPILER_EXEEXT was seen.  This macro
+dnl is hooked onto _AC_COMPILER_EXEEXT early, see below.
+AC_CONFIG_COMMANDS_PRE(dnl
+[m4_provide_if([_AM_COMPILER_EXEEXT],
+  [AM_CONDITIONAL([am__EXEEXT], [test -n "$EXEEXT"])])])dnl
+])
+
+dnl Hook into `_AC_COMPILER_EXEEXT' early to learn its expansion.  Do not
+dnl add the conditional right here, as _AC_COMPILER_EXEEXT may be further
+dnl mangled by Autoconf and run in a shell conditional statement.
+m4_define([_AC_COMPILER_EXEEXT],
+m4_defn([_AC_COMPILER_EXEEXT])[m4_provide([_AM_COMPILER_EXEEXT])])
+
+
+# When config.status generates a header, we must update the stamp-h file.
+# This file resides in the same directory as the config header
+# that is generated.  The stamp files are numbered to have different names.
+
+# Autoconf calls _AC_AM_CONFIG_HEADER_HOOK (when defined) in the
+# loop where config.status creates the headers, so we can generate
+# our stamp files there.
+AC_DEFUN([_AC_AM_CONFIG_HEADER_HOOK],
+[# Compute $1's index in $config_headers.
+_am_arg=$1
+_am_stamp_count=1
+for _am_header in $config_headers :; do
+  case $_am_header in
+    $_am_arg | $_am_arg:* )
+      break ;;
+    * )
+      _am_stamp_count=`expr $_am_stamp_count + 1` ;;
+  esac
+done
+echo "timestamp for $_am_arg" >`AS_DIRNAME(["$_am_arg"])`/stamp-h[]$_am_stamp_count])
+
+# Copyright (C) 2001, 2003, 2005, 2008  Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# AM_PROG_INSTALL_SH
+# ------------------
+# Define $install_sh.
+AC_DEFUN([AM_PROG_INSTALL_SH],
+[AC_REQUIRE([AM_AUX_DIR_EXPAND])dnl
+if test x"${install_sh}" != xset; then
+  case $am_aux_dir in
+  *\ * | *\	*)
+    install_sh="\${SHELL} '$am_aux_dir/install-sh'" ;;
+  *)
+    install_sh="\${SHELL} $am_aux_dir/install-sh"
+  esac
+fi
+AC_SUBST(install_sh)])
+
+# Copyright (C) 2003, 2005  Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# serial 2
+
+# Check whether the underlying file-system supports filenames
+# with a leading dot.  For instance MS-DOS doesn't.
+AC_DEFUN([AM_SET_LEADING_DOT],
+[rm -rf .tst 2>/dev/null
+mkdir .tst 2>/dev/null
+if test -d .tst; then
+  am__leading_dot=.
+else
+  am__leading_dot=_
+fi
+rmdir .tst 2>/dev/null
+AC_SUBST([am__leading_dot])])
+
+# Add --enable-maintainer-mode option to configure.         -*- Autoconf -*-
+# From Jim Meyering
+
+# Copyright (C) 1996, 1998, 2000, 2001, 2002, 2003, 2004, 2005, 2008
+# Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# serial 5
+
+# AM_MAINTAINER_MODE([DEFAULT-MODE])
+# ----------------------------------
+# Control maintainer-specific portions of Makefiles.
+# Default is to disable them, unless `enable' is passed literally.
+# For symmetry, `disable' may be passed as well.  Anyway, the user
+# can override the default with the --enable/--disable switch.
+AC_DEFUN([AM_MAINTAINER_MODE],
+[m4_case(m4_default([$1], [disable]),
+       [enable], [m4_define([am_maintainer_other], [disable])],
+       [disable], [m4_define([am_maintainer_other], [enable])],
+       [m4_define([am_maintainer_other], [enable])
+        m4_warn([syntax], [unexpected argument to AM@&t at _MAINTAINER_MODE: $1])])
+AC_MSG_CHECKING([whether to am_maintainer_other maintainer-specific portions of Makefiles])
+  dnl maintainer-mode's default is 'disable' unless 'enable' is passed
+  AC_ARG_ENABLE([maintainer-mode],
+[  --][am_maintainer_other][-maintainer-mode  am_maintainer_other make rules and dependencies not useful
+			  (and sometimes confusing) to the casual installer],
+      [USE_MAINTAINER_MODE=$enableval],
+      [USE_MAINTAINER_MODE=]m4_if(am_maintainer_other, [enable], [no], [yes]))
+  AC_MSG_RESULT([$USE_MAINTAINER_MODE])
+  AM_CONDITIONAL([MAINTAINER_MODE], [test $USE_MAINTAINER_MODE = yes])
+  MAINT=$MAINTAINER_MODE_TRUE
+  AC_SUBST([MAINT])dnl
+]
+)
+
+AU_DEFUN([jm_MAINTAINER_MODE], [AM_MAINTAINER_MODE])
+
+# Check to see how 'make' treats includes.	            -*- Autoconf -*-
+
+# Copyright (C) 2001, 2002, 2003, 2005, 2009  Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# serial 4
+
+# AM_MAKE_INCLUDE()
+# -----------------
+# Check to see how make treats includes.
+AC_DEFUN([AM_MAKE_INCLUDE],
+[am_make=${MAKE-make}
+cat > confinc << 'END'
+am__doit:
+	@echo this is the am__doit target
+.PHONY: am__doit
+END
+# If we don't find an include directive, just comment out the code.
+AC_MSG_CHECKING([for style of include used by $am_make])
+am__include="#"
+am__quote=
+_am_result=none
+# First try GNU make style include.
+echo "include confinc" > confmf
+# Ignore all kinds of additional output from `make'.
+case `$am_make -s -f confmf 2> /dev/null` in #(
+*the\ am__doit\ target*)
+  am__include=include
+  am__quote=
+  _am_result=GNU
+  ;;
+esac
+# Now try BSD make style include.
+if test "$am__include" = "#"; then
+   echo '.include "confinc"' > confmf
+   case `$am_make -s -f confmf 2> /dev/null` in #(
+   *the\ am__doit\ target*)
+     am__include=.include
+     am__quote="\""
+     _am_result=BSD
+     ;;
+   esac
+fi
+AC_SUBST([am__include])
+AC_SUBST([am__quote])
+AC_MSG_RESULT([$_am_result])
+rm -f confinc confmf
+])
+
+# Fake the existence of programs that GNU maintainers use.  -*- Autoconf -*-
+
+# Copyright (C) 1997, 1999, 2000, 2001, 2003, 2004, 2005, 2008
+# Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# serial 6
+
+# AM_MISSING_PROG(NAME, PROGRAM)
+# ------------------------------
+AC_DEFUN([AM_MISSING_PROG],
+[AC_REQUIRE([AM_MISSING_HAS_RUN])
+$1=${$1-"${am_missing_run}$2"}
+AC_SUBST($1)])
+
+
+# AM_MISSING_HAS_RUN
+# ------------------
+# Define MISSING if not defined so far and test if it supports --run.
+# If it does, set am_missing_run to use it, otherwise, to nothing.
+AC_DEFUN([AM_MISSING_HAS_RUN],
+[AC_REQUIRE([AM_AUX_DIR_EXPAND])dnl
+AC_REQUIRE_AUX_FILE([missing])dnl
+if test x"${MISSING+set}" != xset; then
+  case $am_aux_dir in
+  *\ * | *\	*)
+    MISSING="\${SHELL} \"$am_aux_dir/missing\"" ;;
+  *)
+    MISSING="\${SHELL} $am_aux_dir/missing" ;;
+  esac
+fi
+# Use eval to expand $SHELL
+if eval "$MISSING --run true"; then
+  am_missing_run="$MISSING --run "
+else
+  am_missing_run=
+  AC_MSG_WARN([`missing' script is too old or missing])
+fi
+])
+
+# Copyright (C) 2003, 2004, 2005, 2006  Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# AM_PROG_MKDIR_P
+# ---------------
+# Check for `mkdir -p'.
+AC_DEFUN([AM_PROG_MKDIR_P],
+[AC_PREREQ([2.60])dnl
+AC_REQUIRE([AC_PROG_MKDIR_P])dnl
+dnl Automake 1.8 to 1.9.6 used to define mkdir_p.  We now use MKDIR_P,
+dnl while keeping a definition of mkdir_p for backward compatibility.
+dnl @MKDIR_P@ is magic: AC_OUTPUT adjusts its value for each Makefile.
+dnl However we cannot define mkdir_p as $(MKDIR_P) for the sake of
+dnl Makefile.ins that do not define MKDIR_P, so we do our own
+dnl adjustment using top_builddir (which is defined more often than
+dnl MKDIR_P).
+AC_SUBST([mkdir_p], ["$MKDIR_P"])dnl
+case $mkdir_p in
+  [[\\/$]]* | ?:[[\\/]]*) ;;
+  */*) mkdir_p="\$(top_builddir)/$mkdir_p" ;;
+esac
+])
+
+# Helper functions for option handling.                     -*- Autoconf -*-
+
+# Copyright (C) 2001, 2002, 2003, 2005, 2008  Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# serial 4
+
+# _AM_MANGLE_OPTION(NAME)
+# -----------------------
+AC_DEFUN([_AM_MANGLE_OPTION],
+[[_AM_OPTION_]m4_bpatsubst($1, [[^a-zA-Z0-9_]], [_])])
+
+# _AM_SET_OPTION(NAME)
+# ------------------------------
+# Set option NAME.  Presently that only means defining a flag for this option.
+AC_DEFUN([_AM_SET_OPTION],
+[m4_define(_AM_MANGLE_OPTION([$1]), 1)])
+
+# _AM_SET_OPTIONS(OPTIONS)
+# ----------------------------------
+# OPTIONS is a space-separated list of Automake options.
+AC_DEFUN([_AM_SET_OPTIONS],
+[m4_foreach_w([_AM_Option], [$1], [_AM_SET_OPTION(_AM_Option)])])
+
+# _AM_IF_OPTION(OPTION, IF-SET, [IF-NOT-SET])
+# -------------------------------------------
+# Execute IF-SET if OPTION is set, IF-NOT-SET otherwise.
+AC_DEFUN([_AM_IF_OPTION],
+[m4_ifset(_AM_MANGLE_OPTION([$1]), [$2], [$3])])
+
+# Check to make sure that the build environment is sane.    -*- Autoconf -*-
+
+# Copyright (C) 1996, 1997, 2000, 2001, 2003, 2005, 2008
+# Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# serial 5
+
+# AM_SANITY_CHECK
+# ---------------
+AC_DEFUN([AM_SANITY_CHECK],
+[AC_MSG_CHECKING([whether build environment is sane])
+# Just in case
+sleep 1
+echo timestamp > conftest.file
+# Reject unsafe characters in $srcdir or the absolute working directory
+# name.  Accept space and tab only in the latter.
+am_lf='
+'
+case `pwd` in
+  *[[\\\"\#\$\&\'\`$am_lf]]*)
+    AC_MSG_ERROR([unsafe absolute working directory name]);;
+esac
+case $srcdir in
+  *[[\\\"\#\$\&\'\`$am_lf\ \	]]*)
+    AC_MSG_ERROR([unsafe srcdir value: `$srcdir']);;
+esac
+
+# Do `set' in a subshell so we don't clobber the current shell's
+# arguments.  Must try -L first in case configure is actually a
+# symlink; some systems play weird games with the mod time of symlinks
+# (eg FreeBSD returns the mod time of the symlink's containing
+# directory).
+if (
+   set X `ls -Lt "$srcdir/configure" conftest.file 2> /dev/null`
+   if test "$[*]" = "X"; then
+      # -L didn't work.
+      set X `ls -t "$srcdir/configure" conftest.file`
+   fi
+   rm -f conftest.file
+   if test "$[*]" != "X $srcdir/configure conftest.file" \
+      && test "$[*]" != "X conftest.file $srcdir/configure"; then
+
+      # If neither matched, then we have a broken ls.  This can happen
+      # if, for instance, CONFIG_SHELL is bash and it inherits a
+      # broken ls alias from the environment.  This has actually
+      # happened.  Such a system could not be considered "sane".
+      AC_MSG_ERROR([ls -t appears to fail.  Make sure there is not a broken
+alias in your environment])
+   fi
+
+   test "$[2]" = conftest.file
+   )
+then
+   # Ok.
+   :
+else
+   AC_MSG_ERROR([newly created file is older than distributed files!
+Check your system clock])
+fi
+AC_MSG_RESULT(yes)])
+
+# Copyright (C) 2001, 2003, 2005  Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# AM_PROG_INSTALL_STRIP
+# ---------------------
+# One issue with vendor `install' (even GNU) is that you can't
+# specify the program used to strip binaries.  This is especially
+# annoying in cross-compiling environments, where the build's strip
+# is unlikely to handle the host's binaries.
+# Fortunately install-sh will honor a STRIPPROG variable, so we
+# always use install-sh in `make install-strip', and initialize
+# STRIPPROG with the value of the STRIP variable (set by the user).
+AC_DEFUN([AM_PROG_INSTALL_STRIP],
+[AC_REQUIRE([AM_PROG_INSTALL_SH])dnl
+# Installed binaries are usually stripped using `strip' when the user
+# run `make install-strip'.  However `strip' might not be the right
+# tool to use in cross-compilation environments, therefore Automake
+# will honor the `STRIP' environment variable to overrule this program.
+dnl Don't test for $cross_compiling = yes, because it might be `maybe'.
+if test "$cross_compiling" != no; then
+  AC_CHECK_TOOL([STRIP], [strip], :)
+fi
+INSTALL_STRIP_PROGRAM="\$(install_sh) -c -s"
+AC_SUBST([INSTALL_STRIP_PROGRAM])])
+
+# Copyright (C) 2006, 2008  Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# serial 2
+
+# _AM_SUBST_NOTMAKE(VARIABLE)
+# ---------------------------
+# Prevent Automake from outputting VARIABLE = @VARIABLE@ in Makefile.in.
+# This macro is traced by Automake.
+AC_DEFUN([_AM_SUBST_NOTMAKE])
+
+# AM_SUBST_NOTMAKE(VARIABLE)
+# ---------------------------
+# Public sister of _AM_SUBST_NOTMAKE.
+AC_DEFUN([AM_SUBST_NOTMAKE], [_AM_SUBST_NOTMAKE($@)])
+
+# Check how to create a tarball.                            -*- Autoconf -*-
+
+# Copyright (C) 2004, 2005  Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# serial 2
+
+# _AM_PROG_TAR(FORMAT)
+# --------------------
+# Check how to create a tarball in format FORMAT.
+# FORMAT should be one of `v7', `ustar', or `pax'.
+#
+# Substitute a variable $(am__tar) that is a command
+# writing to stdout a FORMAT-tarball containing the directory
+# $tardir.
+#     tardir=directory && $(am__tar) > result.tar
+#
+# Substitute a variable $(am__untar) that extract such
+# a tarball read from stdin.
+#     $(am__untar) < result.tar
+AC_DEFUN([_AM_PROG_TAR],
+[# Always define AMTAR for backward compatibility.
+AM_MISSING_PROG([AMTAR], [tar])
+m4_if([$1], [v7],
+     [am__tar='${AMTAR} chof - "$$tardir"'; am__untar='${AMTAR} xf -'],
+     [m4_case([$1], [ustar],, [pax],,
+              [m4_fatal([Unknown tar format])])
+AC_MSG_CHECKING([how to create a $1 tar archive])
+# Loop over all known methods to create a tar archive until one works.
+_am_tools='gnutar m4_if([$1], [ustar], [plaintar]) pax cpio none'
+_am_tools=${am_cv_prog_tar_$1-$_am_tools}
+# Do not fold the above two line into one, because Tru64 sh and
+# Solaris sh will not grok spaces in the rhs of `-'.
+for _am_tool in $_am_tools
+do
+  case $_am_tool in
+  gnutar)
+    for _am_tar in tar gnutar gtar;
+    do
+      AM_RUN_LOG([$_am_tar --version]) && break
+    done
+    am__tar="$_am_tar --format=m4_if([$1], [pax], [posix], [$1]) -chf - "'"$$tardir"'
+    am__tar_="$_am_tar --format=m4_if([$1], [pax], [posix], [$1]) -chf - "'"$tardir"'
+    am__untar="$_am_tar -xf -"
+    ;;
+  plaintar)
+    # Must skip GNU tar: if it does not support --format= it doesn't create
+    # ustar tarball either.
+    (tar --version) >/dev/null 2>&1 && continue
+    am__tar='tar chf - "$$tardir"'
+    am__tar_='tar chf - "$tardir"'
+    am__untar='tar xf -'
+    ;;
+  pax)
+    am__tar='pax -L -x $1 -w "$$tardir"'
+    am__tar_='pax -L -x $1 -w "$tardir"'
+    am__untar='pax -r'
+    ;;
+  cpio)
+    am__tar='find "$$tardir" -print | cpio -o -H $1 -L'
+    am__tar_='find "$tardir" -print | cpio -o -H $1 -L'
+    am__untar='cpio -i -H $1 -d'
+    ;;
+  none)
+    am__tar=false
+    am__tar_=false
+    am__untar=false
+    ;;
+  esac
+
+  # If the value was cached, stop now.  We just wanted to have am__tar
+  # and am__untar set.
+  test -n "${am_cv_prog_tar_$1}" && break
+
+  # tar/untar a dummy directory, and stop if the command works
+  rm -rf conftest.dir
+  mkdir conftest.dir
+  echo GrepMe > conftest.dir/file
+  AM_RUN_LOG([tardir=conftest.dir && eval $am__tar_ >conftest.tar])
+  rm -rf conftest.dir
+  if test -s conftest.tar; then
+    AM_RUN_LOG([$am__untar <conftest.tar])
+    grep GrepMe conftest.dir/file >/dev/null 2>&1 && break
+  fi
+done
+rm -rf conftest.dir
+
+AC_CACHE_VAL([am_cv_prog_tar_$1], [am_cv_prog_tar_$1=$_am_tool])
+AC_MSG_RESULT([$am_cv_prog_tar_$1])])
+AC_SUBST([am__tar])
+AC_SUBST([am__untar])
+]) # _AM_PROG_TAR
+
diff --git a/adms.css b/adms.css
new file mode 100644
index 0000000..8c47c37
--- /dev/null
+++ b/adms.css
@@ -0,0 +1,231 @@
+/*
+ * RCS Info
+ * $Id: adms.css 990 2008-04-29 15:08:06Z r29173 $
+ *
+ * Log
+ * $Log$
+ * Revision 1.13  2006/05/29 07:53:51  r29173
+ * improved display of stylesheet
+ *
+ * Revision 1.12  2005/11/30 13:57:21  r29173
+ * improved css definition of button
+ *
+ * Revision 1.11  2005/11/22 09:29:47  r29173
+ * added element comment
+ *
+ * Revision 1.10  2005/11/18 10:04:37  r29173
+ * added span.comment
+ *
+ * Revision 1.9  2005/04/14 13:31:19  r29173
+ * improved documentation
+ *
+ * Revision 1.8  2005/04/14 10:14:54  r29173
+ * improved documentation
+ *
+ * Revision 1.7  2005/03/31 18:11:58  r29173
+ * migration to adms-2.x.x:converted to adms2 syntax
+ *
+ * Revision 1.6  2005/03/17 11:46:04  r29173
+ * migration to adms-2.x.x:cosmetics
+ *
+ * Revision 1.5  2005/03/17 08:26:28  r29173
+ * migration to adms-2.x.x:move all href from absolute to relative values
+ *
+ * Revision 1.4  2005/03/16 17:37:47  r29173
+ * migration to adms-2.x.x:cosmetics
+ *
+ * Revision 1.3  2005/03/16 17:31:24  r29173
+ * migration to adms-2.x.x:small cosmetic
+ *
+ * Revision 1.2  2005/03/16 16:28:25  r29173
+ * migration to adms-2.x.x:start xpath coding; add documentation
+ *
+ * Revision 1.1  2005/03/14 17:34:20  r29173
+ * migration to adms-2.x.x:start xpath coding; add documentation
+ *
+*/
+
+button
+{
+  border-color: gray;
+  border-width: 1pt;
+  font-size:8pt;
+  color:maroon;
+}
+button.semanticHidden
+{
+  display:none;
+}
+button.semanticVisible
+{
+  display:inline;
+  border-color: gray;
+  border-width: 1pt;
+  font-size:8pt;
+  color:maroon;
+}
+body
+{
+  font-size:10pt;
+  background-color:whitesmoke;
+}
+h1.title
+{
+  text-align:center;
+  color:maroon;
+  font-size:12pt;
+}
+h1
+{
+  color:maroon;
+  font-size:12pt;
+}
+h2
+{
+  color:maroon;
+  font-size:10pt;
+  margin-left:10px;
+}
+span.comment
+{
+  color:maroon;
+  font-size:10pt;
+  margin-left:0px;
+}
+/* logos */
+span.freescale
+{
+  color:red;
+  font-size:10pt;
+  margin-left:15px;
+}
+span.sourceforge
+{
+  color:red;
+  font-size:10pt;
+  margin-left:15px;
+}
+
+/* freegrammar grammar */
+ul.freegrammar_productionset
+{
+  list-style-type:disc;
+}
+ul.freegrammar_productionset_production
+{
+  list-style-type:square;
+}
+li.freegrammar_productionset
+{
+  color:black;
+}
+li.productionset_production
+{
+  color:red;
+}
+span.production_constant
+{
+  font-weight:bold;
+  color:black;
+}
+span.production_regexp
+{
+  font-weight:bold;
+  color:magenta;
+}
+span.production_productionset
+{
+  font-weight:bold;
+  color:blue;
+}
+span.admst_transform
+{
+  color:maroon;
+  font-size:10pt;
+}
+span.admst_attribute
+{
+  color:maroon;
+  font-size:10pt;
+}
+body
+{
+  font-size:10pt;
+}
+hr
+{
+  color:navy;
+}
+p
+{
+  font-size:10pt;
+  margin-left:15px;
+}
+table
+{
+  font-size:10pt;
+}
+td.rowspan
+{
+  vertical-align:top;
+}
+td.notapplicable
+{
+  color:gray;
+  font-size:10pt;
+}
+pre
+{
+  text-align:left;
+  color:blue;
+  font-size:8pt;
+  margin-left:20px;
+}
+span.admst_obsolete
+{
+  color:blue;
+}
+span.info
+{
+  color:gray;
+  font-style:italic;
+  margin-left:5px;
+}
+span.shell_code, pre.admst_code, span.admst_transform
+{
+  border-style:groove;
+  border-width:thin;
+  background-color:white;
+  text-align:left;
+  color:black;
+  font-size:8pt;
+  margin-left:20px;
+}
+a:link
+{
+  color:maroon;
+  text-decoration:none;
+}
+a:visited
+{
+  color:maroon;
+  text-decoration:none;
+}
+a:hover
+{
+  color:black;
+}
+a:active
+{
+  color:blue;
+}
+.trigger
+{
+  cursor:pointer;
+  display:block;
+}
+.branch
+{
+  display:none;
+  margin-left:16px;
+}
diff --git a/adms.xml b/adms.xml
new file mode 100644
index 0000000..96daa64
--- /dev/null
+++ b/adms.xml
@@ -0,0 +1,994 @@
+<?xml version="1.0"?>
+<?xml-stylesheet type="text/xsl" href="admsstyle.xsl"?>
+<!DOCTYPE adms SYSTEM "metaadms.dtd">
+<!-- 
+       This xml file describes the basic data elements used by adms classes
+       its validity is checked by file metaadms.dtd
+       you can try using xmllint: xmllint adms.xml -noout -postvalid -noblanks
+       see http://xmlsoft.org/
+       Most of the code that builts adms is created automatically from this file
+       Note: xsl script admsstyle.xsl transforms the contents of this file to html format 
+--> 
+<adms>
+  <element name="adms" info="base type">
+    <uid format="$1">
+      <scalar datatypefamily="basictype" name="datatypename" datatypename="basicinteger" info="datatypename" default="@name"/>
+    </uid>
+    <list datatypefamily="reference" name="attribute" datatypename="attribute" info="list of attribute"/>
+    <list datatypefamily="reference" name="variable" datatypename="admstvariable" info="list of variable"/>
+    <element name="simulator" info="miscellaneous info on simulator">
+      <uid format="$1">
+        <scalar datatypefamily="basictype" name="name" datatypename="basicstring" info="name"/>
+      </uid>
+      <scalar datatypefamily="basictype" name="currentdate" datatypename="basicstring" info="current compilation date"/>
+      <scalar datatypefamily="basictype" name="developer" datatypename="basicstring" info="adms version"/>
+      <scalar datatypefamily="basictype" name="fullname" datatypename="basicstring" info="full adms version"/>
+      <scalar datatypefamily="basictype" name="package_name" datatypename="basicstring" info="autotool package name"/>
+      <scalar datatypefamily="basictype" name="package_tarname" datatypename="basicstring" info="autotool package identity"/>
+      <scalar datatypefamily="basictype" name="package_version" datatypename="basicstring" info="autotool package version"/>
+      <scalar datatypefamily="basictype" name="package_string" datatypename="basicstring" info="autotool package string"/>
+      <scalar datatypefamily="basictype" name="package_bugreport" datatypename="basicstring" info="email for bug report"/>
+      <warning>dummy variables: should be removed in the future</warning>
+      <scalar datatypefamily="reference" name="probe" datatypename="probe" info="dummy variable"/>
+      <scalar datatypefamily="basictype" name="tmp" datatypename="basicstring" info="dummy variable" default=""/>
+      <scalar datatypefamily="basictype" name="tmp1" datatypename="basicstring" info="dummy variable" default=""/>
+      <scalar datatypefamily="reference" name="br1" datatypename="branch" info="dummy variable"/>
+      <scalar datatypefamily="reference" name="br2" datatypename="branch" info="dummy variable"/>
+      <scalar datatypefamily="reference" name="sr1" datatypename="source" info="dummy variable"/>
+      <scalar datatypefamily="basictype" name="ddx" datatypename="basicstring" info="dummy variable" default=""/>
+    </element>
+    <element name="admsmain" info="adms main pointer - selected using /">
+      <uid format="$1">
+        <scalar datatypefamily="basictype" name="name" datatypename="basicstring" info="identifier (always admsmain)"/>
+      </uid>
+      <scalar datatypefamily="basictype" name="argc" datatypename="basicinteger" info="number of command line arguments"/>
+      <scalar datatypefamily="basictype" name="fullfilename" datatypename="basicstring" info="full name of veriloga parsed file"/>
+      <scalar datatypefamily="basictype" name="filename" datatypename="basicstring" info="base name of veriloga parsed file"/>
+      <scalar datatypefamily="basictype" name="curfilename" datatypename="basicstring" info="name of current parsed veriloga file"/>
+      <scalar datatypefamily="basictype" name="curline" datatypename="basicinteger" info="current line when parsing file"/>
+      <scalar datatypefamily="basictype" name="fpos" datatypename="basicinteger" info="first position of returned parsed token"/>
+      <scalar datatypefamily="reference" name="simulator" datatypename="simulator" info="miscellaneous info on simulator"/>
+      <scalar datatypefamily="basictype" name="info" datatypename="basicenumeration" info="info mode" default="yes">
+        <evalue name="yes" info="it is true"/>
+        <evalue name="no" info="it is false"/>
+      </scalar>
+      <scalar datatypefamily="basictype" name="usage" datatypename="basicenumeration" info="usage mode" default="yes">
+        <evalue name="yes" info="it is true"/>
+        <evalue name="no" info="it is false"/>
+      </scalar>
+      <scalar datatypefamily="basictype" name="verbose" datatypename="basicenumeration" info="verbose mode" default="no">
+        <evalue name="yes" info="it is true"/>
+        <evalue name="no" info="it is false"/>
+      </scalar>
+      <scalar datatypefamily="basictype" name="hint" datatypename="basicenumeration" info="hint mode" default="no">
+        <evalue name="yes" info="it is true"/>
+        <evalue name="no" info="it is false"/>
+      </scalar>
+      <scalar datatypefamily="basictype" name="warning" datatypename="basicenumeration" info="warning mode" default="yes">
+        <evalue name="yes" info="it is true"/>
+        <evalue name="no" info="it is false"/>
+      </scalar>
+      <scalar datatypefamily="basictype" name="obsolete" datatypename="basicenumeration" info="obsolete mode" default="yes">
+        <evalue name="yes" info="it is true"/>
+        <evalue name="no" info="it is false"/>
+      </scalar>
+      <scalar datatypefamily="basictype" name="debug" datatypename="basicenumeration" info="debug mode" default="no">
+        <evalue name="yes" info="it is true"/>
+        <evalue name="no" info="it is false"/>
+      </scalar>
+      <scalar datatypefamily="basictype" name="dbg_vla" datatypename="basicenumeration" info="verilogamsparsing debug mode" default="no">
+        <evalue name="yes" info="it is true"/>
+        <evalue name="no" info="it is false"/>
+      </scalar>
+      <scalar datatypefamily="basictype" name="dbg_xml" datatypename="basicenumeration" info="xml parsing debug mode" default="no">
+        <evalue name="yes" info="it is true"/>
+        <evalue name="no" info="it is false"/>
+      </scalar>
+      <scalar datatypefamily="basictype" name="error" datatypename="basicenumeration" info="error mode" default="yes">
+        <evalue name="yes" info="it is true"/>
+        <evalue name="no" info="it is false"/>
+      </scalar>
+      <scalar datatypefamily="basictype" name="fatal" datatypename="basicenumeration" info="fatal mode" default="yes">
+        <evalue name="yes" info="it is true"/>
+        <evalue name="no" info="it is false"/>
+      </scalar>
+      <scalar datatypefamily="basictype" name="dbg_mem" datatypename="basicenumeration" info="debug memory mode" default="no">
+        <evalue name="yes" info="it is true"/>
+        <evalue name="no" info="it is false"/>
+      </scalar>
+      <list datatypefamily="reference" name="module" datatypename="module" info="list of modules"/>
+      <list datatypefamily="reference" name="discipline" datatypename="discipline" info="list of discipline"/>
+      <list datatypefamily="reference" name="nature" datatypename="nature" info="list of nature"/>
+      <list datatypefamily="reference" name="variable" datatypename="admstvariable" info="global admst variables  (scope is all admst:template)"/>
+      <list datatypefamily="basictype" name="argv" datatypename="basicstring" info="command line arguments"/>
+      <list datatypefamily="reference" name="transform" datatypename="transform" info="transforms"/>
+      <list datatypefamily="reference" name="invtransform" datatypename="transform" info="transforms (inversed order)"/>
+      <list datatypefamily="reference" name="itransform" datatypename="itransform" info="instance created by apply-templates"/>
+      <list datatypefamily="reference" name="itransforminsideapplytemplate" datatypename="itransform" info="instance inside apply-templates"/>
+      <list datatypefamily="reference" name="valueof" datatypename="adms" info="adms stack"/>
+    </element>
+    <comment>basic adms elements</comment>
+    <element name="list" info="adms list">
+      <uid format="$1">
+        <scalar datatypefamily="basictype" name="datatype" datatypename="basicstring" info="name"/>
+      </uid>
+      <list datatypefamily="reference" name="item" datatypename="adms" info="item"/>
+    </element>
+    <comment>elements used to save natures and disciplines inside the internal adms data tree</comment>
+    <element name="nature" info="all natures created after parsing">
+      <uid format="$1">
+        <scalar datatypefamily="basictype" name="access" datatypename="basicstring" info="access identifier"/>
+      </uid>
+      <scalar datatypefamily="basictype" name="name" datatypename="basicstring" info="name of the nature"/>
+      <scalar datatypefamily="reference" name="abstol" datatypename="number" info="absolute tolerance"/>
+      <scalar datatypefamily="reference" name="base" datatypename="nature" info="base parent"/>
+      <scalar datatypefamily="basictype" name="ddt_name" datatypename="basicstring" info="name of ddt nature"/>
+      <scalar datatypefamily="reference" name="ddt_nature" datatypename="nature" info="back to ddt nature"/>
+      <scalar datatypefamily="basictype" name="idt_name" datatypename="basicstring" info="name of idt nature"/>
+      <scalar datatypefamily="reference" name="idt_nature" datatypename="nature" info="back to idt nature"/>
+      <scalar datatypefamily="basictype" name="units" datatypename="basicstring" info="unit"/>
+    </element>
+    <element name="discipline" info="all disciplines created after parsing">
+      <uid format="$1">
+        <scalar datatypefamily="basictype" name="name" datatypename="basicstring" info="name of discipline"/>
+      </uid>
+      <scalar datatypefamily="reference" name="flow" datatypename="nature" info="back to flow nature"/>
+      <scalar datatypefamily="reference" name="potential" datatypename="nature" info="back to potential nature"/>
+      <scalar datatypefamily="basictype" name="domain" datatypename="basicenumeration" info="domain" default="continuous">
+        <evalue name="continuous" info="continuous domain"/>
+        <evalue name="discrete" info="discrete domain"/>
+      </scalar>
+    </element>
+    <comment>elements used to save a module inside the internal adms data tree</comment>
+    <element name="module" info="module">
+      <uid format="$1">
+        <scalar datatypefamily="basictype" name="name" datatypename="basicstring" info="name of module"/>
+      </uid>
+      <!-- under module -->
+      <list datatypefamily="reference" name="node" datatypename="node" info="list of nodes"/>
+      <list datatypefamily="reference" name="nodealias" datatypename="nodealias" info="list of node aliases"/>
+      <list datatypefamily="reference" name="branch" datatypename="branch" info="list of all branches"/>
+      <list datatypefamily="reference" name="branchalias" datatypename="branchalias" info="list of all branch alias"/>
+      <list datatypefamily="reference" name="analogfunction" datatypename="analogfunction" info="list of all analogfunction"/>
+      <list datatypefamily="reference" name="instance" datatypename="instance" info="instances"/>
+      <list datatypefamily="reference" name="variable" datatypename="variableprototype" info="variable declarations"/>
+      <!-- under analog -->
+      <scalar datatypefamily="reference" name="analog" datatypename="analog" info="analog code"/>
+      <list datatypefamily="reference" name="block" datatypename="block" info="list of all blocks"/>
+      <list datatypefamily="reference" name="blockvariable" datatypename="blockvariable" info="list of all blockvariable"/>
+      <list datatypefamily="reference" name="assignment" datatypename="assignment" info="list of assignments"/>
+      <list datatypefamily="reference" name="callfunction" datatypename="module" info="instantiator"/>
+      <list datatypefamily="reference" name="contribution" datatypename="contribution" info="list of contributions"/>
+      <list datatypefamily="reference" name="conditional" datatypename="conditional" info="list of all conditional"/>
+      <list datatypefamily="reference" name="case" datatypename="module" info="case"/>
+      <list datatypefamily="reference" name="forloop" datatypename="module" info="forloop"/>
+      <list datatypefamily="reference" name="whileloop" datatypename="module" info="whileloop"/>
+      <list datatypefamily="reference" name="instantiator" datatypename="module" info="instantiator"/>
+      <!-- under expression -->
+      <list datatypefamily="reference" name="expression" datatypename="expression" info="list of all expressions"/>
+      <list datatypefamily="reference" name="jacobian" datatypename="jacobian" info="list of jacobians"/>
+      <list datatypefamily="reference" name="probe" datatypename="probe" info="list of all probes"/>
+      <list datatypefamily="reference" name="source" datatypename="source" info="list of all sources"/>
+      <list datatypefamily="reference" name="range" datatypename="range" info="list of parameter ranges"/>
+      <list datatypefamily="reference" name="attribute" datatypename="attribute" info="veriloga items"/>
+      <!-- obsolete -->
+      <scalar datatypefamily="reference" name="evaluation" datatypename="evaluation" info="obsolete"/>
+    </element>
+    <element name="analogfunction" info="analog functions (user defined functions)">
+      <uid format="$1:$2">
+        <scalar datatypefamily="reference" name="module" datatypename="module" info="back to the module"/>
+        <scalar datatypefamily="reference" name="lexval" datatypename="lexval" info="name of the analog function"/>
+      </uid>
+      <aliasbis name="name" path="lexval/string"/>
+      <scalar datatypefamily="basictype" name="type" datatypename="basicenumeration" info="returned type" default="real">
+        <evalue name="real" info="returns reals"/>
+        <evalue name="integer" info="returns integers"/>
+      </scalar>
+      <scalar datatypefamily="reference" name="tree" datatypename="adms" info="code"/>
+      <list datatypefamily="reference" name="variable" datatypename="variableprototype" info="variable declarations"/>
+      <list datatypefamily="reference" name="attribute" datatypename="attribute" info="veriloga items"/>
+    </element>
+    <element name="nodealias" info="node aliases declared in the module">
+      <uid format="$2">
+        <scalar datatypefamily="reference" name="module" datatypename="module" info="back to the module"/>
+        <scalar datatypefamily="basictype" name="name" datatypename="basicstring" info="alias name"/>
+      </uid>
+      <scalar datatypefamily="reference" name="node" datatypename="node" info="back to branch"/>
+    </element>
+    <element name="node" info="internal nodes + terminals">
+      <uid format="$2">
+        <scalar datatypefamily="reference" name="module" datatypename="module" info="back to the module"/>
+        <scalar datatypefamily="basictype" name="name" datatypename="basicstring" info="node name"/>
+      </uid>
+      <scalar datatypefamily="basictype" name="direction" datatypename="basicenumeration" info="its topological direction" default="inout">
+        <evalue name="input" info="node is input terminal"/>
+        <evalue name="output" info="node is output terminal"/>
+        <evalue name="inout" info="node is input/output terminal"/>
+        <evalue name="internal" info="node is internal node"/>
+      </scalar>
+      <scalar datatypefamily="reference" name="discipline" datatypename="discipline" info="back to node discipline"/>
+      <scalar datatypefamily="basictype" name="grounded" datatypename="basicenumeration" info="node is ground" default="no">
+        <evalue name="yes" info="it is true"/>
+        <evalue name="no" info="it is false"/>
+      </scalar>
+      <scalar datatypefamily="basictype" name="location" datatypename="basicenumeration" info="topological location of node" default="internal">
+        <evalue name="ground" info="node is ground"/>
+        <evalue name="external" info="node is terminal"/>
+        <evalue name="internal" info="node is internal node"/>
+      </scalar>
+      <list datatypefamily="reference" name="attribute" datatypename="attribute" info="veriloga items"/>
+    </element>
+    <element name="branchalias" info="branch aliases declared in the module">
+      <uid format="$2">
+        <scalar datatypefamily="reference" name="module" datatypename="module" info="back to the module"/>
+        <scalar datatypefamily="basictype" name="name" datatypename="basicstring" info="alias name"/>
+      </uid>
+      <scalar datatypefamily="reference" name="branch" datatypename="branch" info="back to branch"/>
+    </element>
+    <element name="branch" info="branches used by the module">
+      <uid format="$2,$3">
+        <scalar datatypefamily="reference" name="module" datatypename="module" info="back to the module"/>
+        <scalar datatypefamily="reference" name="pnode" datatypename="node" info="back to pnode"/>
+        <scalar datatypefamily="reference" name="nnode" datatypename="node" info="back to nnode"/>
+      </uid>
+      <scalar datatypefamily="reference" name="discipline" datatypename="discipline" info="back to discipline"/>
+      <scalar datatypefamily="basictype" name="grounded" datatypename="basicenumeration" info="nnode is ground" default="no">
+          <evalue name="yes" info="it is true"/>
+          <evalue name="no" info="it is false"/>
+      </scalar>
+      <list datatypefamily="reference" name="attribute" datatypename="attribute" info="veriloga items"/>
+    </element>
+    <element name="variableprototype" info="potential/flow source">
+      <uid format="$2">
+        <scalar datatypefamily="reference" name="module" datatypename="module" info="back to the module"/>
+        <scalar datatypefamily="reference" name="lexval" datatypename="lexval" info="locus"/>
+        <scalar datatypefamily="reference" name="block" datatypename="adms" info="where is the variable declared? (module,block,analogfunction)"/>
+      </uid>
+      <aliasbis name="name" path="lexval/string"/>
+      <scalar datatypefamily="basictype" name="dependency" datatypename="basicenumeration" info="is Probe dependent" default="constant">
+        <evalue name="constant" info="is operating point independent"/>
+        <evalue name="noprobe" info="does not depend on probes"/>
+        <evalue name="linear" info="depends lineary on probes"/>
+        <evalue name="nonlinear" info="depends non-lineary on probes"/>
+      </scalar>
+      <scalar datatypefamily="basictype" name="static" datatypename="basicenumeration" info="this term has static contribution" default="no">
+        <evalue name="yes" info="it is true"/>
+        <evalue name="no" info="it is false"/>
+      </scalar>
+      <scalar datatypefamily="basictype" name="dynamic" datatypename="basicenumeration" info="this term has dynamic contribution" default="no">
+        <evalue name="yes" info="it is true"/>
+        <evalue name="no" info="it is false"/>
+      </scalar>
+      <scalar datatypefamily="basictype" name="sizetype" datatypename="basicenumeration" info="variable is either scalar or array" default="scalar">
+        <evalue name="scalar" info="it is a scalar"/>
+        <evalue name="array" info="it is an array"/>
+      </scalar>
+      <scalar datatypefamily="basictype" name="parametertype" datatypename="basicenumeration" info="parameter type" default="model">
+        <evalue name="model" info="model parameter (process, scaling)"/>
+        <evalue name="instance" info="instance (geometries, mfactor)"/>
+        <evalue name="analogfunction" info="analog function variable"/>
+      </scalar>
+      <scalar datatypefamily="basictype" name="type" datatypename="basicenumeration" info="variable data type" default="real">
+        <evalue name="integer" info="variable has integer values"/>
+        <evalue name="real" info="variable has real values"/>
+        <evalue name="string" info="variable has string values"/>
+      </scalar>
+      <scalar datatypefamily="reference" name="maxsize" datatypename="number" info="upper index of variable (array)"/>
+      <scalar datatypefamily="reference" name="minsize" datatypename="number" info="lower index of variable (array)"/>
+      <scalar datatypefamily="basictype" name="input" datatypename="basicenumeration" info="parameter variable" default="no">
+        <evalue name="yes" info="it is true"/>
+        <evalue name="no" info="it is false"/>
+      </scalar>
+      <scalar datatypefamily="basictype" name="output" datatypename="basicenumeration" default="no" info="variable is output parameter">
+        <evalue name="yes" info="it is true"/>
+        <evalue name="no" info="it is false"/>
+      </scalar>
+      <scalar datatypefamily="basictype" name="scope" datatypename="basicenumeration" info="tells where variable is set/used" default="local">
+        <evalue name="local" info="local variable"/>
+        <evalue name="global_model" info="parameter model or set in @model, used atleast in @ask|@instance|@evaluate|@noise|@final"/>
+        <evalue name="global_instance" info="set in @instance, usedatleast in @instance|@evaluate|@noise|@final"/>
+        <evalue name="global_final" info="set in @final_step, usedatleast in @ask|@final_step"/>
+        <evalue name="global_past" info="obsolete"/>
+      </scalar>
+      <scalar datatypefamily="reference" name="default" datatypename="expression" info="default value of the variable"/>
+      <scalar datatypefamily="basictype" name="derivate" datatypename="basicenumeration" info="obsolete-used by old interfaces" default="no">
+        <evalue name="yes" info="it is true"/>
+        <evalue name="no" info="it is false"/>
+      </scalar>
+      <scalar datatypefamily="basictype" name="isstate" datatypename="basicenumeration" info="obsolete-used by old interfaces" default="no">
+        <evalue name="yes" info="it is true"/>
+        <evalue name="no" info="it is false"/>
+      </scalar>
+      <scalar datatypefamily="basictype" name="usedinmodel" datatypename="basicenumeration" info="variable value used in @model" default="no">
+        <evalue name="yes" info="it is true"/>
+        <evalue name="no" info="it is false"/>
+      </scalar>
+      <scalar datatypefamily="basictype" name="usedininstance" datatypename="basicenumeration" info="variable value used in @instance" default="no">
+        <evalue name="yes" info="it is true"/>
+        <evalue name="no" info="it is false"/>
+      </scalar>
+      <scalar datatypefamily="basictype" name="usedininitial_step" datatypename="basicenumeration" info="variable value used in @initial_step" default="no">
+        <evalue name="yes" info="it is true"/>
+        <evalue name="no" info="it is false"/>
+      </scalar>
+      <scalar datatypefamily="basictype" name="usedinevaluate" datatypename="basicenumeration" info="variable value used in @evaluate" default="no">
+        <evalue name="yes" info="it is true"/>
+        <evalue name="no" info="it is false"/>
+      </scalar>
+      <scalar datatypefamily="basictype" name="usedinnoise" datatypename="basicenumeration" info="variable value used in @noise" default="no">
+        <evalue name="yes" info="it is true"/>
+        <evalue name="no" info="it is false"/>
+      </scalar>
+      <scalar datatypefamily="basictype" name="usedinfinal" datatypename="basicenumeration" info="variable value used in @final" default="no">
+        <evalue name="yes" info="it is true"/>
+        <evalue name="no" info="it is false"/>
+      </scalar>
+      <scalar datatypefamily="basictype" name="setinmodel" datatypename="basicenumeration" info="variable value assigned in @model" default="no">
+        <evalue name="yes" info="it is true"/>
+        <evalue name="no" info="it is false"/>
+      </scalar>
+      <scalar datatypefamily="basictype" name="setininstance" datatypename="basicenumeration" info="variable value assigned in @instance" default="no">
+        <evalue name="yes" info="it is true"/>
+        <evalue name="no" info="it is false"/>
+      </scalar>
+      <scalar datatypefamily="basictype" name="setininitial_step" datatypename="basicenumeration" info="variable value assigned in @initial_step" default="no">
+        <evalue name="yes" info="it is true"/>
+        <evalue name="no" info="it is false"/>
+      </scalar>
+      <scalar datatypefamily="basictype" name="setinevaluate" datatypename="basicenumeration" info="variable value assigned in @evaluate" default="no">
+        <evalue name="yes" info="it is true"/>
+        <evalue name="no" info="it is false"/>
+      </scalar>
+      <scalar datatypefamily="basictype" name="setinnoise" datatypename="basicenumeration" info="variable value assigned in @noise" default="no">
+        <evalue name="yes" info="it is true"/>
+        <evalue name="no" info="it is false"/>
+      </scalar>
+      <scalar datatypefamily="basictype" name="setinfinal" datatypename="basicenumeration" info="variable value assigned in @final" default="no">
+        <evalue name="yes" info="it is true"/>
+        <evalue name="no" info="it is false"/>
+      </scalar>
+      <scalar datatypefamily="basictype" name="setingetprev" datatypename="basicenumeration" info="variable value assigned from previous" default="no">
+        <evalue name="yes" info="it is true"/>
+        <evalue name="no" info="it is false"/>
+      </scalar>
+      <scalar datatypefamily="basictype" name="TemperatureDependent" datatypename="basicenumeration" info="variable is temperature dependent" default="no">
+        <evalue name="yes" info="it is true"/>
+        <evalue name="no" info="it is false"/>
+      </scalar>
+      <scalar datatypefamily="basictype" name="OPdependent" datatypename="basicenumeration" info="variable is OP dependent" default="no">
+        <evalue name="yes" info="it is true"/>
+        <evalue name="no" info="it is false"/>
+      </scalar>
+      <scalar datatypefamily="basictype" name="insource" datatypename="basicenumeration" info="variable occurs in source assignment" default="no">
+        <evalue name="yes" info="it is true"/>
+        <evalue name="no" info="it is false"/>
+      </scalar>
+      <scalar datatypefamily="basictype" name="vcount" datatypename="basicinteger" info="count in source assignment" default="0"/>
+      <scalar datatypefamily="reference" name="vlast" datatypename="assignment" info="last assignment"/>
+      <list datatypefamily="reference" name="arraydefault" datatypename="adms" info="default value"/>
+      <list datatypefamily="reference" name="attribute" datatypename="attribute" info="veriloga items"/>
+      <list datatypefamily="basictype" name="alias" datatypename="basicstring" info="alias name"/>
+      <list datatypefamily="reference" name="range" datatypename="range" info="list of ranges of the variable"/>
+      <list datatypefamily="reference" name="probe" datatypename="probe" info="the variable depends on these probes"/>
+      <list datatypefamily="reference" name="ddxprobe" datatypename="probe" info="the variable depends on these ddx probes"/>
+      <list datatypefamily="reference" name="variable" datatypename="variable" info="variable depends on these variables"/>
+      <list datatypefamily="reference" name="assignment" datatypename="assignment" info="obsolete"/>
+      <list datatypefamily="reference" name="instance" datatypename="variable" info="all instances"/>
+    </element>
+    <element name="source" info="potential/flow source">
+      <uid format="$3($2)">
+        <scalar datatypefamily="reference" name="module" datatypename="module" info="back to the module"/>
+        <scalar datatypefamily="reference" name="branch" datatypename="branch" info="branch associated to the source"/>
+        <scalar datatypefamily="reference" name="nature" datatypename="nature" info="nature of the source"/>
+      </uid>
+      <scalar datatypefamily="reference" name="discipline" datatypename="discipline" info="discipline of the source"/>
+      <scalar datatypefamily="basictype" name="static" datatypename="basicenumeration" info="has the source a static contribution?" default="no">
+        <evalue name="yes" info="it is true"/>
+        <evalue name="no" info="it is false"/>
+      </scalar>
+      <scalar datatypefamily="basictype" name="dynamic" datatypename="basicenumeration" info="has the source a dynamic contribution?" default="no">
+        <evalue name="yes" info="it is true"/>
+        <evalue name="no" info="it is false"/>
+      </scalar>
+      <scalar datatypefamily="basictype" name="whitenoise" datatypename="basicenumeration" info="has the source a whitenoise contribution?" default="no">
+        <evalue name="yes" info="it is true"/>
+        <evalue name="no" info="it is false"/>
+      </scalar>
+      <scalar datatypefamily="basictype" name="flickernoise" datatypename="basicenumeration" info="has the source a flickernoise contribution?" default="no">
+        <evalue name="yes" info="it is true"/>
+        <evalue name="no" info="it is false"/>
+      </scalar>
+      <scalar datatypefamily="basictype" name="grounded" datatypename="basicenumeration" info="is second terminal of the source ground?" default="no">
+        <evalue name="yes" info="it is true"/>
+        <evalue name="no" info="it is false"/>
+      </scalar>
+      <scalar datatypefamily="basictype" name="switch" datatypename="basicenumeration" info="is source switch?" default="no">
+        <evalue name="yes" info="it is true"/>
+        <evalue name="no" info="it is false"/>
+      </scalar>
+      <scalar datatypefamily="basictype" name="type" datatypename="basicenumeration" info="type of the source" default="fs">
+        <evalue name="shot" info="source is shot noise"/>
+        <evalue name="thermal" info="source is thermal noise"/>
+        <evalue name="flicker" info="source is flicker noise"/>
+        <evalue name="fs" info="source is flow source"/>
+        <evalue name="ps" info="source is potential source"/>
+      </scalar>
+      <list datatypefamily="reference" name="probe" datatypename="probe" info="list of probes"/>
+      <list datatypefamily="reference" name="attribute" datatypename="attribute" info="veriloga items"/>
+    </element>
+    <element name="range" info="range are used by variables to specify valid values">
+      <uid format="$7 $5$2:$3$6">
+        <scalar datatypefamily="reference" name="module" datatypename="module" info="back to the module"/>
+        <scalar datatypefamily="reference" name="infexpr" datatypename="expression" info="value of inf bound"/>
+        <scalar datatypefamily="reference" name="supexpr" datatypename="expression" info="value of sup bound"/>
+      </uid>
+      <scalar datatypefamily="basictype" name="name" datatypename="basicstring" info="unused"/>
+      <scalar datatypefamily="basictype" name="infboundtype" datatypename="basicenumeration" info="inf bound type">
+        <evalue name="range_bound_include" info="["/>
+        <evalue name="range_bound_exclude" info="("/>
+        <evalue name="range_bound_value" info="["/>
+      </scalar>
+      <scalar datatypefamily="basictype" name="supboundtype" datatypename="basicenumeration" info="sup bound type">
+        <evalue name="range_bound_include" info="]"/>
+        <evalue name="range_bound_exclude" info=")"/>
+        <evalue name="range_bound_value" info="]"/>
+      </scalar>
+      <scalar datatypefamily="basictype" name="type" datatypename="basicenumeration" info="type of range" default="include">
+        <evalue name="include" info="from"/>
+        <evalue name="include_value" info="from"/>
+        <evalue name="exclude" info="exclude"/>
+        <evalue name="exclude_value" info="exclude"/>
+      </scalar>
+    </element>
+    <element name="jacobian" info="jacobians created after compilation">
+      <uid format="$2:$3">
+        <scalar datatypefamily="reference" name="module" datatypename="module" info="back to the module"/>
+        <scalar datatypefamily="reference" name="row" datatypename="node" info="back to node (either equation or node)"/>
+        <scalar datatypefamily="reference" name="column" datatypename="node" info="back to node (either equation or node)"/>
+      </uid>
+      <scalar datatypefamily="basictype" name="diagonal" datatypename="basicenumeration" info="this term is in the diagonal" default="no">
+        <evalue name="yes" info="it is true"/>
+        <evalue name="no" info="it is false"/>
+      </scalar>
+      <scalar datatypefamily="basictype" name="static" datatypename="basicenumeration" info="this term has static contribution" default="no">
+        <evalue name="yes" info="it is true"/>
+        <evalue name="no" info="it is false"/>
+      </scalar>
+      <scalar datatypefamily="basictype" name="dynamic" datatypename="basicenumeration" info="this term has dynamic contribution" default="no">
+        <evalue name="yes" info="it is true"/>
+        <evalue name="no" info="it is false"/>
+      </scalar>
+    </element>
+    <element name="analog" info="to analog code">
+      <uid format="analog $1">
+        <scalar datatypefamily="reference" name="code" datatypename="adms" info="top of analog block"/>
+      </uid>
+    </element>
+    <element name="math" info="mathml-like type">
+      <uid format="$1">
+        <scalar datatypefamily="basictype" name="name" datatypename="basicstring" info="name"/>
+      </uid>
+      <scalar datatypefamily="basictype" name="value" datatypename="basicreal" info="numerical value" default="adms_NAN"/>
+      <scalar datatypefamily="basictype" name="static" datatypename="basicenumeration" info="math used by static contribution" default="no">
+        <evalue name="yes" info="it is true"/>
+        <evalue name="no" info="it is false"/>
+      </scalar>
+      <scalar datatypefamily="basictype" name="dynamic" datatypename="basicenumeration" info="math used by static contribution" default="no">
+        <evalue name="yes" info="it is true"/>
+        <evalue name="no" info="it is false"/>
+      </scalar>
+      <scalar datatypefamily="basictype" name="dependency" datatypename="basicenumeration" info="is Probe dependent" default="constant">
+        <evalue name="constant" info="is operating point independent"/>
+        <evalue name="noprobe" info="does not depend on probes"/>
+        <evalue name="linear" info="depends lineary on probes"/>
+        <evalue name="nonlinear" info="depends non-lineary on probes"/>
+      </scalar>
+      <list datatypefamily="reference" name="attribute" datatypename="adms" info="list of any items"/>
+      <element name="string" info="adms string">
+        <uid format="\"$1\"">
+          <scalar datatypefamily="basictype" name="value" datatypename="basicstring" info="character array value"/>
+        </uid>
+      </element>
+      <element name="subexpression" info="mathml-like subexpression">
+        <uid format="$1">
+          <scalar datatypefamily="reference" name="expression" datatypename="expression" info="root expression"/>
+        </uid>
+        <element name="mapply_unary" info="unary operator">
+          <uid format="$1">
+            <scalar datatypefamily="basictype" name="name" datatypename="basicenumeration" info="name of operator" default="fixme:not_used">
+              <evalue name="plus" info="(+$2)"/>
+              <evalue name="minus" info="(-$2)"/>
+              <evalue name="not" info="(!$2)"/>
+              <evalue name="bw_not" info="(~$2)"/>
+            </scalar>
+            <scalar datatypefamily="reference" name="arg1" datatypename="adms" info="first argument"/>
+          </uid>
+        </element>
+        <element name="mapply_binary" info="binary operator">
+          <uid format="$1">
+            <scalar datatypefamily="basictype" name="name" datatypename="basicenumeration" info="name of operator" default="fixme:not_used">
+              <evalue name="bw_equr" info="($2^~$3)"/>
+              <evalue name="bw_equl" info="($2~^$3)"/>
+              <evalue name="bw_xor" info="($2^$3)"/>
+              <evalue name="bw_or" info="($2|$3)"/>
+              <evalue name="bw_and" info="($2&$3)"/>
+              <evalue name="or" info="($2||$3)"/>
+              <evalue name="and" info="($2&&$3)"/>
+              <evalue name="equ" info="($2==$3)"/>
+              <evalue name="notequ" info="($2!=$3)"/>
+              <evalue name="lt" info="($2<=$3)"/>
+              <evalue name="lt_equ" info="($2<=$3)"/>
+              <evalue name="gt" info="($2>$3)"/>
+              <evalue name="gt_equ" info="($2>=$3)"/>
+              <evalue name="shiftr" info="($2>>$3)"/>
+              <evalue name="shiftl" info="($2<<$3)"/>
+              <evalue name="addp" info="($2+$3)"/>
+              <evalue name="addm" info="($2-$3)"/>
+              <evalue name="multtime" info="($2*$3)"/>
+              <evalue name="multdiv" info="($2/$3)"/>
+              <evalue name="multmod" info="($2%$3)"/>
+            </scalar>
+            <scalar datatypefamily="reference" name="arg1" datatypename="adms" info="first argument"/>
+            <scalar datatypefamily="reference" name="arg2" datatypename="adms" info="second argument"/>
+          </uid>
+        </element>
+        <element name="mapply_ternary" info="ternary operator">
+          <uid format="$1">
+            <scalar datatypefamily="basictype" name="name" datatypename="basicenumeration" info="name of operator" default="fixme_not_used">
+              <evalue name="conditional" info="($2?$3:$4)"/>
+            </scalar>
+            <scalar datatypefamily="reference" name="arg1" datatypename="adms" info="first argument"/>
+            <scalar datatypefamily="reference" name="arg2" datatypename="adms" info="second argument"/>
+            <scalar datatypefamily="reference" name="arg3" datatypename="adms" info="third argument"/>
+          </uid>
+        </element>
+        <element name="number" info="number representation">
+          <uid format="$1">
+            <scalar datatypefamily="reference" name="lexval" datatypename="lexval" info="locus"/>
+          </uid>
+          <aliasbis name="value" path="lexval/string"/>
+          <scalar datatypefamily="basictype" name="scalingunit" datatypename="basicenumeration" info="factor by which the number value is scaled" default="1">
+            <evalue name="E" info="multiplication factor = 1.0e+18 (exa)"/>
+            <evalue name="P" info="multiplication factor = 1.0e+15 (peta)"/>
+            <evalue name="T" info="multiplication factor = 1.0e+12 (tera)"/>
+            <evalue name="G" info="multiplication factor = 1.0e+9  (giga)"/>
+            <evalue name="M" info="multiplication factor = 1.0e+6  (mega)"/>
+            <evalue name="k" info="multiplication factor = 1.0e+3  (kilo)"/>
+            <evalue name="h" info="multiplication factor = 1.0e+2  (hecto)"/>
+            <evalue name="D" info="multiplication factor = 1.0e+1  (deka)"/>
+            <evalue name="1" info="multiplication factor = 1.0     (none)"/>
+            <evalue name="d" info="multiplication factor = 1.0e-1  (deci)"/>
+            <evalue name="c" info="multiplication factor = 1.0e-2  (centi)"/>
+            <evalue name="m" info="multiplication factor = 1.0e-3  (milli)"/>
+            <evalue name="u" info="multiplication factor = 1.0e-6  (micro)"/>
+            <evalue name="n" info="multiplication factor = 1.0e-9  (nano)"/>
+            <evalue name="A" info="multiplication factor = 1.0e-10 (amgstron)"/>
+            <evalue name="p" info="multiplication factor = 1.0e-12 (pico)"/>
+            <evalue name="f" info="multiplication factor = 1.0e-15 (femto)"/>
+            <evalue name="a" info="multiplication factor = 1.0e-18 (atto)"/>
+          </scalar>
+        </element>
+        <element name="function" info="function representation">
+          <uid format="$1($5)">
+            <scalar datatypefamily="reference" name="lexval" datatypename="lexval" info="locus"/>
+            <scalar datatypefamily="basictype" name="unique_id" datatypename="basicinteger" info="uinque id"/>
+          </uid>
+          <aliasbis name="name" path="lexval/string"/>
+          <scalar datatypefamily="reference" name="definition" datatypename="analogfunction" info="back to analogfunction"/>
+          <scalar datatypefamily="basictype" name="class" datatypename="basicenumeration" info="type of function (builtin, userdefined, ...)" default="analog">
+            <evalue name="builtin" info="builtin standard math function"/>
+            <evalue name="analog" info="analog function - user defined"/>
+            <evalue name="digital" info="digital function - user defined"/>
+          </scalar>
+          <list datatypefamily="reference" name="arguments" datatypename="adms" info="list of arguments" format=","/>
+        </element>
+        <element name="variable" info="variable instantiation">
+          <uid format="$1">
+            <scalar datatypefamily="reference" name="prototype" datatypename="variableprototype" info="variable prototype"/>
+          </uid>
+          <aliasbis name="module" path="prototype/module"/>
+          <aliasbis name="lexval" path="prototype/lexval"/>
+          <aliasbis name="block" path="prototype/block"/>
+          <aliasbis name="name" path="prototype/lexval/string"/>
+          <aliasbis name="sizetype" path="prototype/sizetype"/>
+          <aliasbis name="parametertype" path="prototype/parametertype"/>
+          <aliasbis name="type" path="prototype/type"/>
+          <aliasbis name="maxsize" path="prototype/maxsize"/>
+          <aliasbis name="minsize" path="prototype/minsize"/>
+          <aliasbis name="input" path="prototype/input"/>
+          <aliasbis name="output" path="prototype/output"/>
+          <aliasbis name="scope" path="prototype/scope"/>
+          <aliasbis name="default" path="prototype/default"/>
+          <aliasbis name="derivate" path="prototype/derivate"/>
+          <aliasbis name="isstate" path="prototype/isstate"/>
+          <aliasbis name="usedinmodel" path="prototype/usedinmodel"/>
+          <aliasbis name="usedininstance" path="prototype/usedininstance"/>
+          <aliasbis name="usedininitial_step" path="prototype/usedininitial_step"/>
+          <aliasbis name="usedinevaluate" path="prototype/usedinevaluate"/>
+          <aliasbis name="usedinnoise" path="prototype/usedinnoise"/>
+          <aliasbis name="usedinfinal" path="prototype/usedinfinal"/>
+          <aliasbis name="setinmodel" path="prototype/setinmodel"/>
+          <aliasbis name="setininstance" path="prototype/setininstance"/>
+          <aliasbis name="setininitial_step" path="prototype/setininitial_step"/>
+          <aliasbis name="setinevaluate" path="prototype/setinevaluate"/>
+          <aliasbis name="setinnoise" path="prototype/setinnoise"/>
+          <aliasbis name="setinfinal" path="prototype/setinfinal"/>
+          <aliasbis name="setingetprev" path="prototype/setingetprev"/>
+          <aliasbis name="TemperatureDependent" path="prototype/TemperatureDependent"/>
+          <aliasbis name="OPdependent" path="prototype/OPdependent"/>
+          <aliasbis name="insource" path="prototype/insource"/>
+          <aliasbis name="vcount" path="prototype/vcount"/>
+          <aliasbis name="vlast" path="prototype/vlast"/>
+          <aliasbis name="probe" path="prototype/probe"/>
+          <!-- inside math -->
+          <aliasbis name="static" path="prototype/static"/>
+          <aliasbis name="dynamic" path="prototype/dynamic"/>
+          <aliasbis name="attribute" path="prototype/attribute"/>
+          <!-- lists -->
+          <aliasbis name="ddxprobe" path="prototype/ddxprobe"/>
+          <aliasbis name="variable" path="prototype/variable"/>
+          <aliasbis name="assignment" path="prototype/assignment"/>
+          <aliasbis name="alias" path="prototype/alias"/>
+          <aliasbis name="range" path="prototype/range"/>
+        </element>
+        <element name="array" info="array instantiation">
+          <uid format="$1[$2]">
+            <scalar datatypefamily="reference" name="variable" datatypename="variable" info="back to the variable"/>
+            <scalar datatypefamily="reference" name="index" datatypename="adms" info="index (can be complex expression)"/>
+          </uid>
+        </element>
+        <element name="probe" info="all probes created after compilation">
+          <uid format="$3($2)">
+            <scalar datatypefamily="reference" name="module" datatypename="module" info="back to the module"/>
+            <scalar datatypefamily="reference" name="branch" datatypename="branch" info="back to branch"/>
+            <scalar datatypefamily="reference" name="nature" datatypename="nature" info="back to probe nature"/>
+          </uid>
+          <scalar datatypefamily="basictype" name="seen" datatypename="basicenumeration" info="internal use" default="no">
+            <evalue name="yes" info="it is true"/>
+            <evalue name="no" info="it is false"/>
+          </scalar>
+          <scalar datatypefamily="reference" name="discipline" datatypename="discipline" info="back to discipline"/>
+          <scalar datatypefamily="basictype" name="grounded" datatypename="basicenumeration" info="nnode of probe is ground" default="no">
+            <evalue name="yes" info="it is true"/>
+            <evalue name="no" info="it is false"/>
+          </scalar>
+          <scalar datatypefamily="reference" name="source" datatypename="source" info="back to source"/>
+        </element>
+      </element>
+      <element name="expression" info="expression tree">
+        <uid format="($2)">
+          <scalar datatypefamily="reference" name="module" datatypename="module" info="back to the module"/>
+          <scalar datatypefamily="reference" name="tree" datatypename="adms" info="subexpression"/>
+        </uid>
+        <alias name="static" element="math" attribute="static"/>
+        <alias name="dynamic" element="math" attribute="dynamic"/>
+        <scalar datatypefamily="basictype" name="hasspecialnumber" datatypename="basicstring" info="obsolete - is either +inf or -inf" default="NO"/>
+        <scalar datatypefamily="basictype" name="hasVoltageDependentFunction" datatypename="basicenumeration" info="expression has voltage dependent functions" default="no">
+          <evalue name="yes" info="it is true"/>
+          <evalue name="no" info="it is false"/>
+        </scalar>
+        <scalar datatypefamily="basictype" name="infinity" datatypename="basicenumeration" info="infinite value" default="no">
+          <evalue name="minus" info="-inf"/>
+          <evalue name="plus" info="+inf"/>
+          <evalue name="no" info="otherwise"/>
+        </scalar>
+        <scalar datatypefamily="basictype" name="TemperatureDependent" datatypename="basicenumeration" info="expression is temperature dependent" default="no">
+          <evalue name="yes" info="it is true"/>
+          <evalue name="no" info="it is false"/>
+        </scalar>
+        <scalar datatypefamily="basictype" name="value" datatypename="basicenumeration" info="expression value is either 0, 1, -1 or any" default="is_any">
+          <evalue name="is_zero" info="expression value equals 0"/>
+          <evalue name="is_one" info="expression value equals 1"/>
+          <evalue name="is_neg_one" info="expression value equals -1"/>
+          <evalue name="is_any" info="expression value equals neither -1, 0, 1"/>
+        </scalar>
+        <list datatypefamily="reference" name="function" datatypename="function" info="built-in functions occuring in expression"/>
+        <list datatypefamily="reference" name="probe" datatypename="probe" info="list of probes that occur in expression"/>
+        <list datatypefamily="reference" name="variable" datatypename="variable" info="list of explicit variables that occur in expression"/>
+      </element>
+      <element name="instance" info="instantiation">
+        <uid format="$1.$2#$3">
+          <scalar datatypefamily="reference" name="instantiator" datatypename="module" info="back to instantiator"/>
+          <scalar datatypefamily="reference" name="module" datatypename="module" info="instance module"/>
+          <scalar datatypefamily="basictype" name="name" datatypename="basicstring" info="name"/>
+        </uid>
+        <list datatypefamily="reference" name="terminal" datatypename="instancenode" info="terminals"/>
+        <list datatypefamily="reference" name="parameterset" datatypename="instanceparameter" info="parameter assignments"/>
+      </element>
+      <element name="instanceparameter" info=".parameter(expression)">
+        <uid format="$1#$2">
+          <scalar datatypefamily="reference" name="parameter" datatypename="variableprototype" info="left-hand-side"/>
+        </uid>
+        <scalar datatypefamily="reference" name="value" datatypename="expression" info="right-hand-side"/>
+      </element>
+      <element name="instancenode" info="instance node">
+        <uid format="$1#$2">
+          <scalar datatypefamily="reference" name="nodefrominstantiator" datatypename="node" info="instantiator node"/>
+          <scalar datatypefamily="reference" name="nodefrommodule" datatypename="node" info="instance node"/>
+        </uid>
+      </element>
+      <element name="nilled" info="nilled operator">
+        <uid format="nilled operator">
+          <scalar datatypefamily="reference" name="module" datatypename="module" info="back to the module"/>
+        </uid>
+        <alias name="static" element="math" attribute="static"/>
+        <alias name="dynamic" element="math" attribute="dynamic"/>
+        <scalar datatypefamily="basictype" name="name" datatypename="basicstring" default="" info="obsolete - not used"/>
+      </element>
+      <element name="assignment" info="assignement: variable=expression;">
+        <uid format="$2=$3;">
+          <scalar datatypefamily="reference" name="module" datatypename="module" info="back to the module"/>
+          <scalar datatypefamily="reference" name="lhs" datatypename="adms" info="left-hand-side (variable or array)"/>
+          <scalar datatypefamily="reference" name="rhs" datatypename="expression" info="right-hand-side"/>
+          <scalar datatypefamily="reference" name="lexval" datatypename="lexval" info="locus"/>
+        </uid>
+        <alias name="static" element="math" attribute="static"/>
+        <alias name="dynamic" element="math" attribute="dynamic"/>
+        <scalar datatypefamily="basictype" name="name" datatypename="basicstring" default="" info="obsolete - not used"/>
+        <list datatypefamily="reference" name="attribute" datatypename="attribute" info="veriloga items"/>
+      </element>
+      <element name="contribution" info="contribution: source <+ expression;">
+        <uid format="$2<+$3;">
+          <scalar datatypefamily="reference" name="module" datatypename="module" info="back to the module"/>
+          <scalar datatypefamily="reference" name="lhs" datatypename="source" info="left-hand-side"/>
+          <scalar datatypefamily="reference" name="rhs" datatypename="expression" info="right-hand-side"/>
+          <scalar datatypefamily="reference" name="lexval" datatypename="lexval" info="locus"/>
+        </uid>
+        <alias name="static" element="math" attribute="static"/>
+        <alias name="dynamic" element="math" attribute="dynamic"/>
+        <scalar datatypefamily="reference" name="branchalias" datatypename="branchalias" info="name of branch"/>
+        <scalar datatypefamily="basictype" name="name" datatypename="basicstring" default="" info="obsolete - not used"/>
+        <scalar datatypefamily="basictype" name="whitenoise" datatypename="basicenumeration" info="do we have source<+whitenoise(...)?" default="no">
+          <evalue name="yes" info="it is true"/>
+          <evalue name="no" info="it is false"/>
+        </scalar>
+        <scalar datatypefamily="basictype" name="flickernoise" datatypename="basicenumeration" info="do we have source<+flickernoise(...)?" default="no">
+          <evalue name="yes" info="it is true"/>
+          <evalue name="no" info="it is false"/>
+        </scalar>
+        <list datatypefamily="reference" name="attribute" datatypename="attribute" info="veriloga items"/>
+      </element>
+      <element name="conditional" info="conditional if..then..else..">
+        <uid format="if($2) $3$4">
+          <scalar datatypefamily="reference" name="module" datatypename="module" info="back to the module"/>
+          <scalar datatypefamily="reference" name="if" datatypename="expression" info="conditional expression"/>
+          <scalar datatypefamily="reference" name="then" datatypename="adms" info="then block"/>
+          <scalar datatypefamily="reference" name="else" datatypename="adms" info="else block" format=" else $4"/>
+        </uid>
+        <alias name="static" element="math" attribute="static"/>
+        <alias name="dynamic" element="math" attribute="dynamic"/>
+        <scalar datatypefamily="basictype" name="name" datatypename="basicstring" default="" info="name"/>
+      </element>
+      <element name="forloop" info="for(initial;conditional;update) forblock">
+        <uid format="for($2;$3;$4)\n$5">
+          <scalar datatypefamily="reference" name="module" datatypename="module" info="back to the module"/>
+          <scalar datatypefamily="reference" name="initial" datatypename="assignment" info="initial"/>
+          <scalar datatypefamily="reference" name="condition" datatypename="expression" info="condition"/>
+          <scalar datatypefamily="reference" name="update" datatypename="assignment" info="update"/>
+          <scalar datatypefamily="reference" name="forblock" datatypename="adms" info="for block"/>
+        </uid>
+        <alias name="static" element="math" attribute="static"/>
+        <alias name="dynamic" element="math" attribute="dynamic"/>
+        <scalar datatypefamily="basictype" name="name" datatypename="basicstring" default="" info="name"/>
+      </element>
+      <element name="whileloop" info="while(..)..">
+        <uid format="while($2)\n$3">
+          <scalar datatypefamily="reference" name="module" datatypename="module" info="back to the module"/>
+          <scalar datatypefamily="reference" name="while" datatypename="expression" info="while condition"/>
+          <scalar datatypefamily="reference" name="whileblock" datatypename="adms" info="while block"/>
+        </uid>
+        <alias name="static" element="math" attribute="static"/>
+        <alias name="dynamic" element="math" attribute="dynamic"/>
+        <scalar datatypefamily="basictype" name="name" datatypename="basicstring" default="" info="name"/>
+      </element>
+      <element name="case" info="case (..) 1,2:...; 2,4:...; default:...; endcase">
+        <uid format="case">
+          <scalar datatypefamily="reference" name="module" datatypename="module" info="back to the module"/>
+          <scalar datatypefamily="reference" name="case" datatypename="expression" info="case condition"/>
+        </uid>
+        <alias name="static" element="math" attribute="static"/>
+        <alias name="dynamic" element="math" attribute="dynamic"/>
+        <scalar datatypefamily="basictype" name="name" datatypename="basicstring" default="" info="name"/>
+        <list datatypefamily="reference" name="caseitem" datatypename="caseitem" info="list of case items"/>
+      </element>
+      <element name="caseitem" info="case item in 'case (..) 1:...; 2:...; endcase'">
+        <uid format="caseitem">
+          <scalar datatypefamily="reference" name="code" datatypename="adms" info="evaluated code"/>
+        </uid>
+        <scalar datatypefamily="basictype" name="defaultcase" datatypename="basicenumeration" info="is default case? (in that case condition is empty)" default="no">
+          <evalue name="yes" info="it is true"/>
+          <evalue name="no" info="it is false"/>
+        </scalar>
+        <list datatypefamily="reference" name="condition" datatypename="adms" info="or-ed conditions for selected case item"/>
+      </element>
+      <element name="blockvariable" info="block variable declarations">
+        <uid format="real $2;">
+          <scalar datatypefamily="reference" name="block" datatypename="block" info="back to the module"/>
+        </uid>
+        <alias name="static" element="math" attribute="static"/>
+        <alias name="dynamic" element="math" attribute="dynamic"/>
+        <list datatypefamily="reference" name="variable" datatypename="variable" info="block variables" format=","/>
+      </element>
+      <element name="block" info="begin..end block">
+        <uid format="begin :$2\n$4end\n">
+          <scalar datatypefamily="reference" name="module" datatypename="module" info="back to the module"/>
+          <scalar datatypefamily="reference" name="lexval" datatypename="lexval" info="locus"/>
+          <scalar datatypefamily="reference" name="block" datatypename="block" info="block just above"/>
+          <list datatypefamily="reference" name="item" datatypename="adms" info="items inside begin..end" format="\n"/>
+        </uid>
+        <aliasbis name="name" path="lexval/string"/>
+        <alias name="static" element="math" attribute="static"/>
+        <alias name="dynamic" element="math" attribute="dynamic"/>
+        <list datatypefamily="reference" name="attribute" datatypename="attribute" info="veriloga items"/>
+        <list datatypefamily="reference" name="variable" datatypename="variableprototype" info="block variable declarations"/>
+        <list datatypefamily="reference" name="probe" datatypename="probe" info="list of probes that occur in begin..end"/>
+      </element>
+      <element name="callfunction" info="calling functions ($strobe, $warning, ..)">
+        <uid format="$2">
+          <scalar datatypefamily="reference" name="module" datatypename="module" info="back to the module"/>
+          <scalar datatypefamily="reference" name="function" datatypename="function" info="function called"/>
+        </uid>
+        <alias name="static" element="math" attribute="static"/>
+        <alias name="dynamic" element="math" attribute="dynamic"/>
+      </element>
+    </element>
+    <comment>internal usage - parsing of xml scripts</comment>
+    <element name="evaluation" info="obsolete - kept for backward compatibility">
+      <uid format=""/>
+      <list datatypefamily="reference" name="variable" datatypename="variable" info="variables"/>
+    </element>
+    <comment>text: parse</comment>
+    <element name="text" info="text=[admst.basicstring|text|path]*">
+      <uid format="$1:$2">
+        <scalar datatypefamily="reference" name="transform" datatypename="transform" info="back to transform"/>
+        <scalar datatypefamily="basictype" name="value" datatypename="basicstring" info="string value"/>
+      </uid>
+      <scalar datatypefamily="basictype" name="aname" datatypename="basicstring" info="attribute name or %(...)"/>
+      <scalar datatypefamily="basictype" name="admse" datatypename="basicinteger" info="admse value, otherwise any" default="0"/>
+      <list datatypefamily="reference" name="token" datatypename="adms" info="sequence of text, admst, path"/>
+    </element>
+    <comment>path: parse</comment>
+    <element name="path" info="internal representation of path">
+      <uid format="$1:$3.$4">
+        <scalar datatypefamily="reference" name="transform" datatypename="transform" info="back to transform"/>
+        <scalar datatypefamily="reference" name="text" datatypename="text" info="text inside (...) in $(...), @(...) or #(...)"/>
+      </uid>
+      <scalar datatypefamily="basictype" name="aname" datatypename="basicstring" info="attribute name or %(...)"/>
+      <scalar datatypefamily="basictype" name="avalue" datatypename="basicstring" info="attribute value"/>
+      <scalar datatypefamily="reference" name="template" datatypename="transform" info="template when path is location02"/>
+      <scalar datatypefamily="basictype" name="keeplist" datatypename="basicinteger" info="do not flatten lists" default="0"/>
+      <scalar datatypefamily="basictype" name="callbackname" datatypename="basicstring" info="callback name"/>
+      <scalar datatypefamily="basictype" name="callback" datatypename="basicfunction" info="called when node traversed"/>
+      <list datatypefamily="reference" name="bar" datatypename="path" default="" info="all items between |"/>
+      <list datatypefamily="reference" name="arg" datatypename="path" default="" info="arguments of arithmetics (+,-,..)"/>
+      <list datatypefamily="reference" name="u" datatypename="path" default="" info="child of unary"/>
+      <list datatypefamily="reference" name="b" datatypename="path" default="" info="children (1 or 2) of binary"/>
+      <list datatypefamily="reference" name="c" datatypename="path" default="" info="condition"/>
+    </element>
+    <element name="ptraverse" info="traverse path">
+      <uid format="$1">
+        <scalar datatypefamily="reference" name="transform" datatypename="transform" info="back to admst path"/>
+      </uid>
+      <list datatypefamily="reference" name="admst" datatypename="admst" info="admst list"/>
+      <list datatypefamily="reference" name="alladmst" datatypename="admst" info="keep track of all admst for memory management"/>
+      <list datatypefamily="reference" name="aliasadmst" datatypename="admst" info="keep track of all admst for memory management"/>
+      <scalar datatypefamily="basictype" name="position" datatypename="basicinteger" info="count"/>
+      <scalar datatypefamily="reference" name="a1" datatypename="admst" info="returned path"/>
+      <scalar datatypefamily="reference" name="an" datatypename="admst" info="returned path"/>
+    </element>
+    <element name="pparse" info="parser of attr="path"">
+      <uid format="$1:$2">
+        <scalar datatypefamily="reference" name="transform" datatypename="transform" info="transform"/>
+        <scalar datatypefamily="basictype" name="aname" datatypename="basicstring" info="path aname"/>
+        <scalar datatypefamily="basictype" name="value" datatypename="basicstring" info="path value"/>
+      </uid>
+      <scalar datatypefamily="reference" name="path" datatypename="path" info="returned path"/>
+      <scalar datatypefamily="reference" name="text" datatypename="text" info="returned text"/>
+      <scalar datatypefamily="basictype" name="position" datatypename="basickstring" info="current character in lexical analysis"/>
+      <scalar datatypefamily="basictype" name="tkstart" datatypename="basickstring" info="first character of token"/>
+      <scalar datatypefamily="basictype" name="tklen" datatypename="basicinteger" info="length of token"/>
+      <scalar datatypefamily="basictype" name="tkid" datatypename="basicenumeration" info="id of token"/>
+    </element>
+    <comment>path: traverse</comment>
+    <element name="admst" info="admst value">
+      <uid format="admst">
+        <scalar datatypefamily="reference" name="previous" datatypename="admst" info="previous admst"/>
+        <scalar datatypefamily="reference" name="previouspath" datatypename="admst" info="previous path"/>
+        <scalar datatypefamily="basictype" name="pseudo" datatypename="basicenumeration" info="pseudo datatypename"/>
+      </uid>
+      <scalar datatypefamily="reference" name="item" datatypename="adms" info="item"/>
+      <scalar datatypefamily="basictype" name="valueto" datatypename="basicfunction" info="valueto routine"/>
+      <scalar datatypefamily="basictype" name="position" datatypename="basicinteger" info="position"/>
+      <scalar datatypefamily="basictype" name="count" datatypename="basicinteger" info="count"/>
+      <scalar datatypefamily="basictype" name="hasnewbasicstring" datatypename="basicinteger" info="string newly allocated" default="0"/>
+      <scalar datatypefamily="reference" name="original" datatypename="admst" info="original admst"/>
+      <scalar datatypefamily="basictype" name="refd" datatypename="basicinteger" info="count of references" default="1"/>
+      <scalar datatypefamily="basictype" name="true" datatypename="basicinteger" info="count of references" default="1"/>
+      <scalar datatypefamily="reference" name="preva" datatypename="admst" info="returned path"/>
+      <scalar datatypefamily="reference" name="nexta" datatypename="admst" info="returned path"/>
+      <scalar datatypefamily="basictype" name="selected" datatypename="basicenumeration" info="is selected?" default="yes">
+        <evalue name="yes" info="it is true"/>
+        <evalue name="no" info="it is false"/>
+      </scalar>
+    </element>
+    <comment>transform: parse</comment>
+    <element name="transform" info="transform">
+      <uid format="[$1:$3]">
+        <scalar datatypefamily="basictype" name="fullfilename" datatypename="basicstring" info="full file name"/>
+        <scalar datatypefamily="basictype" name="basefilename" datatypename="basicstring" info="base file name"/>
+        <scalar datatypefamily="basictype" name="l" datatypename="basicinteger" info="line number in file name"/>
+        <scalar datatypefamily="basictype" name="name" datatypename="basicstring" info="name in <admst:name ... />"/>
+        <scalar datatypefamily="reference" name="parent" datatypename="transform" info="parent of transform"/>
+      </uid>
+      <scalar datatypefamily="basictype" name="callback" datatypename="basicfunction" info="routine evaluated when transform is traversed"/>
+      <scalar datatypefamily="basictype" name="id" datatypename="basicstring" info="callback name"/>
+      <scalar datatypefamily="reference" name="pathselect" datatypename="path" info="path"/>
+      <scalar datatypefamily="reference" name="pathpath" datatypename="path" info="path"/>
+      <scalar datatypefamily="reference" name="pathinto" datatypename="path" info="path"/>
+      <scalar datatypefamily="reference" name="pathtest" datatypename="path" info="path"/>
+      <scalar datatypefamily="reference" name="pathlist" datatypename="path" info="built from tlist"/>
+      <scalar datatypefamily="reference" name="textselect" datatypename="text" info="text - for admst:variable - obsolete"/>
+      <scalar datatypefamily="reference" name="textdatatype" datatypename="text" info="text"/>
+      <scalar datatypefamily="reference" name="textmatch" datatypename="text" info="text"/>
+      <scalar datatypefamily="reference" name="textrequired" datatypename="text" info="text"/>
+      <scalar datatypefamily="reference" name="textseparator" datatypename="text" info="text"/>
+      <scalar datatypefamily="reference" name="textfrom" datatypename="text" info="text"/>
+      <scalar datatypefamily="reference" name="textto" datatypename="text" info="text"/>
+      <scalar datatypefamily="reference" name="textlist" datatypename="text" info="text"/>
+      <scalar datatypefamily="reference" name="textname" datatypename="text" info="text"/>
+      <scalar datatypefamily="reference" name="textformat" datatypename="text" info="text"/>
+      <scalar datatypefamily="reference" name="textfile" datatypename="text" info="text"/>
+      <scalar datatypefamily="reference" name="textversion" datatypename="text" info="text"/>
+      <scalar datatypefamily="reference" name="textonduplicate" datatypename="text" info="text"/>
+      <scalar datatypefamily="reference" name="textprobe" datatypename="text" info="text"/>
+      <scalar datatypefamily="reference" name="textstring" datatypename="text" info="text"/>
+      <list datatypefamily="reference" name="templates" datatypename="transform" info="list of templates"/>
+      <list datatypefamily="reference" name="textarguments" datatypename="text" info="list of text"/>
+      <scalar datatypefamily="reference" name="pathoncompare" datatypename="path" info="comparison"/>
+      <list datatypefamily="reference" name="pathinputs" datatypename="path" info="list of path"/>
+      <list datatypefamily="reference" name="attribute" datatypename="attribute" info="attributes: <xmlname attribute="value"/> (unused if xmlname is transform)"/>
+      <list datatypefamily="reference" name="children" datatypename="transform" info="children of transform"/>
+    </element>
+    <element name="itransform" info="instance of adms transform created by apply-template">
+      <uid format="$1">
+        <scalar datatypefamily="reference" name="template" datatypename="transform" info="link to matching template"/>
+      </uid>
+      <scalar datatypefamily="reference" name="originalcall" datatypename="transform" info="original call"/>
+      <list datatypefamily="reference" name="variable" datatypename="admstvariable" info="local admst variables (scope is template)"/>
+      <list datatypefamily="reference" name="return" datatypename="admst" info="returned values"/>
+    </element>
+    <element name="return" info="Xreturn value">
+      <uid format="$1">
+        <scalar datatypefamily="basictype" name="name" datatypename="basicstring" info="name"/>
+        <scalar datatypefamily="basictype" name="value" datatypename="basicstring" info="value"/>
+      </uid>
+    </element>
+    <element name="attribute" info="pair (name,value)">
+      <uid format="$1">
+        <scalar datatypefamily="basictype" name="name" datatypename="basicstring" info="name"/>
+      </uid>
+      <scalar datatypefamily="reference" name="value" datatypename="adms" info="value"/>
+    </element>
+    <element name="admstvariable" info="admst variable">
+      <uid format="$1">
+        <scalar datatypefamily="basictype" name="name" datatypename="basicstring" info="name"/>
+      </uid>
+      <list datatypefamily="reference" name="value" datatypename="admst" info="value (list)"/>
+    </element>
+    <comment>veriloga: parse</comment>
+    <element name="lexval" info="token value returned by veriloga lexical analyzer">
+      <uid format="$1">
+        <scalar datatypefamily="basictype" name="string" datatypename="basicstring" info="token value"/>
+        <scalar datatypefamily="basictype" name="f" datatypename="basicstring" info="file name"/>
+        <scalar datatypefamily="basictype" name="l" datatypename="basicinteger" info="line number"/>
+        <scalar datatypefamily="basictype" name="c" datatypename="basicinteger" info="first char position"/>
+      </uid>
+    </element>
+    <element name="yaccval" info="in yacc rules value saved into $$">
+      <uid format="$1">
+        <scalar datatypefamily="basictype" name="filename" datatypename="basicstring" info="file name"/>
+      </uid>
+      <scalar datatypefamily="reference" name="usrdata" datatypename="adms" info="any element"/>
+    </element>
+  </element>
+</adms>
diff --git a/admsXml/Makefile.am b/admsXml/Makefile.am
new file mode 100644
index 0000000..405bd6a
--- /dev/null
+++ b/admsXml/Makefile.am
@@ -0,0 +1,181 @@
+##
+## RCS Info
+## $Id: Makefile.am 1181 2009-09-28 16:16:03Z r29173 $
+##
+## Log
+## $Log$
+## Revision 1.15  2006/08/04 17:15:31  r29173
+## rearranged code partionning into files
+##
+## Revision 1.14  2006/08/02 16:45:53  r29173
+## rearranged code partionning into files
+##
+## Revision 1.13  2005/11/01 14:09:09  r29173
+## removed unused argument - fixed bug in Makefile.am
+##
+## Revision 1.12  2005/06/09 16:54:50  r29173
+## deleted xmlParserNew.c -- SLOWSLOW
+##
+## Revision 1.11  2005/05/09 14:38:32  r29173
+## cleaned-up source directory structure
+##
+## Revision 1.10  2005/05/03 07:58:21  r29173
+## moved admsNS into admsFile
+##
+## Revision 1.9  2005/03/31 18:12:02  r29173
+## migration to adms-2.x.x: converted to adms2 syntax
+##
+## Revision 1.8  2005/03/23 13:38:34  r29173
+## migration to adms-2.x.x: added xpath parser
+##
+## Revision 1.7  2004/11/10 13:49:30  r29173
+## added -lm (math library)
+##
+## Revision 1.6  2004/11/02 10:18:02  r29173
+## fixed makefile dependy issues - now 'make distcheck' passes
+##
+## Revision 1.5  2004/10/22 17:16:08  marat_yakupov
+## Fixed issue to allow compilation and prevent core dumps
+##
+## Revision 1.4  2004/10/20 15:33:51  r29173
+## tons of changes
+## 1- introduced element whileloop (marat)
+## 2- reshaped the handling of the messaging system
+## 3- fixed bug in admsCheck/Makefile.am
+## 4- started implementation of implicit adms transforms
+##
+## Revision 1.3  2004/08/03 12:33:55  r29173
+## import adms-1.21.0 from local CVS
+##
+## Revision 1.3  2004/07/06 19:17:57  r29173
+## started implementing yacc code for element "nature"
+## resumed transition GLib -> adms.xml
+##
+## Revision 1.2  2004/05/26 13:02:47  r29173
+## added default values to all enumerations
+##
+## Revision 1.1.1.1  2004/05/21 12:20:01  r29173
+## recreated cvs data structure (crashed after revision 1.13.0!)
+##
+## Revision 1.11  2004/04/21 14:25:34  r29173
+## simplified xparser->_current_stack
+##
+## Revision 1.10  2004/03/23 13:05:51  r29173
+## fixed name spelling (lowercase to uppercase)
+##
+## Revision 1.9  2004/03/08 08:24:20  r29173
+## started implementing code builder in yacc parser
+##
+## Revision 1.8  2004/01/16 10:26:25  r29173
+## moved code to admsXml
+##
+## Revision 1.7  2004/01/15 14:58:46  r29173
+## removed -Wall flag
+##
+## Revision 1.6  2004/01/13 11:25:14  r29173
+## changed coding style
+##
+## Revision 1.5  2004/01/05 11:35:51  r29173
+## updated for cygwin
+##
+## Revision 1.4  2003/09/01 08:34:46  r29173
+## subdir `data' removed
+##
+## Revision 1.3  2003/06/19 13:55:23  r29173
+## fixed dependency (admsTree missing)
+##
+## Revision 1.2  2003/05/21 14:20:56  r29173
+## add rcs info
+##
+##
+
+incfile=constants.vams disciplines.vams adms.implicit.xml dummy.va dummy.xml
+incfilec=$(incfile:=.c)
+
+if MAINTAINER_MODE
+
+generated_FILES=adms.h adms.c verilogaYacc.y admstpathYacc.y admstpathYacc.h $(incfilec)
+
+BUILT_SOURCES = $(generated_FILES)
+
+SUFFIXES=.vams .vams.c .va .va.c .xml .xml.c .y.in
+
+# use mkctext.pl to create C files containing the contents of each
+# input file in a C character array. The output is used by make check
+# only
+$(incfilec):%.c:% ${srcdir}/mkctext.pl
+	$(PERL) ${srcdir}/mkctext.pl $< $(top_srcdir)
+
+admstpathYacc.y admstpathYacc.h adms.h adms.c: ${top_srcdir}/adms.xml ${top_srcdir}/admstpath.xml ${srcdir}/mkelements.pl
+	$(PERL) ${srcdir}/mkelements.pl $(top_srcdir)
+
+verilogaYacc.y: ${srcdir}/verilogaYacc.y.in ${srcdir}/mkgrammar.pl
+	$(PERL) ${srcdir}/mkgrammar.pl ${srcdir}/verilogaYacc.y.in
+# -b -> re-defines file-prefix (not y.tab.c)
+# -d -> creates #define file
+verilogaLex.c: verilogaLex.l
+	flex -Pveriloga -overilogaLex.c ${srcdir}/verilogaLex.l
+
+verilogaYacc.c: verilogaYacc.y verilogaLex.l
+	bison -by -d -pveriloga ${srcdir}/verilogaYacc.y
+	mv -f y.tab.c verilogaYacc.c
+	mv -f y.tab.h verilogaYacc.h
+
+admstpathYacc.c: admstpathYacc.y admstpathYacc.h
+	bison -by -padmstpath ${srcdir}/admstpathYacc.y
+	mv -f y.tab.c admstpathYacc.c
+
+preprocessorLex.c: preprocessorLex.l
+	flex -Ppreprocessor -opreprocessorLex.c ${srcdir}/preprocessorLex.l
+
+preprocessorYacc.c: preprocessorYacc.y preprocessorLex.l
+	bison -by -d -ppreprocessor ${srcdir}/preprocessorYacc.y
+	mv -f y.tab.c preprocessorYacc.c
+	mv -f y.tab.h preprocessorYacc.h
+else
+generated_FILES =
+endif
+
+dist_man_MANS = admsXml.1
+
+lib_LTLIBRARIES=libadmsElement.la libadmsPreprocessor.la libadmsVeriloga.la libadmsAdmstpath.la
+
+libadmsElement_la_SOURCES=adms.c
+libadmsElement_la_CPPFLAGS=-DinsideElement
+libadmsElement_la_LDFLAGS=-no-undefined
+
+preprocessorLex.l: $(incfilec)
+libadmsPreprocessor_la_SOURCES=preprocessorYacc.c preprocessorLex.l preprocessorMain.c
+libadmsPreprocessor_la_CPPFLAGS=-DinsidePreprocessor
+libadmsPreprocessor_la_LDFLAGS=-no-undefined
+libadmsPreprocessor_la_LIBADD=libadmsElement.la
+
+libadmsVeriloga_la_SOURCES=verilogaYacc.c verilogaLex.l
+libadmsVeriloga_la_CPPFLAGS=-DinsideVeriloga
+libadmsVeriloga_la_LDFLAGS=-no-undefined
+libadmsVeriloga_la_LIBADD=libadmsElement.la
+
+libadmsAdmstpath_la_SOURCES=admstpathYacc.c admstpathYacc.h
+libadmsAdmstpath_la_CPPFLAGS=-DinsideadmstpathYacc
+libadmsAdmstpath_la_LDFLAGS=-no-undefined
+libadmsAdmstpath_la_LIBADD=libadmsElement.la
+
+#depends on adms.implicit.xml
+bin_PROGRAMS=admsXml
+noinst_PROGRAMS=admsCheck
+
+admsXml_SOURCES=admsXml.c
+admsXml_LDADD=-lm libadmsElement.la libadmsVeriloga.la libadmsPreprocessor.la libadmsAdmstpath.la
+
+admsXml.c: adms.implicit.xml.c
+
+# Rules to create the admsCheck test program
+admsCheck.c=$(incfilec)
+admsCheck_SOURCES=admsCheck.c
+admsCheck_LDADD=libadmsElement.la
+
+EXTRA_DIST=mkctext.pl mkelements.pl mkgrammar.pl adms.h adms.c \
+ preprocessorYacc.h admsPreprocessor.h verilogaYacc.h admsVeriloga.h \
+ $(incfile) $(incfilec)
+
+CLEANFILES=.*.swp *\~ core
diff --git a/admsXml/Makefile.in b/admsXml/Makefile.in
new file mode 100644
index 0000000..c2d6852
--- /dev/null
+++ b/admsXml/Makefile.in
@@ -0,0 +1,839 @@
+# Makefile.in generated by automake 1.11.1 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008, 2009  Free Software Foundation,
+# Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+ at SET_MAKE@
+
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkglibexecdir = $(libexecdir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+bin_PROGRAMS = admsXml$(EXEEXT)
+noinst_PROGRAMS = admsCheck$(EXEEXT)
+subdir = admsXml
+DIST_COMMON = $(dist_man_MANS) $(srcdir)/Makefile.am \
+	$(srcdir)/Makefile.in preprocessorLex.c verilogaLex.c
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+	$(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+CONFIG_CLEAN_VPATH_FILES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = f=`echo $$p | sed -e 's|^.*/||'`;
+am__install_max = 40
+am__nobase_strip_setup = \
+  srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*|]/\\\\&/g'`
+am__nobase_strip = \
+  for p in $$list; do echo "$$p"; done | sed -e "s|$$srcdirstrip/||"
+am__nobase_list = $(am__nobase_strip_setup); \
+  for p in $$list; do echo "$$p $$p"; done | \
+  sed "s| $$srcdirstrip/| |;"' / .*\//!s/ .*/ ./; s,\( .*\)/[^/]*$$,\1,' | \
+  $(AWK) 'BEGIN { files["."] = "" } { files[$$2] = files[$$2] " " $$1; \
+    if (++n[$$2] == $(am__install_max)) \
+      { print $$2, files[$$2]; n[$$2] = 0; files[$$2] = "" } } \
+    END { for (dir in files) print dir, files[dir] }'
+am__base_list = \
+  sed '$$!N;$$!N;$$!N;$$!N;$$!N;$$!N;$$!N;s/\n/ /g' | \
+  sed '$$!N;$$!N;$$!N;$$!N;s/\n/ /g'
+am__installdirs = "$(DESTDIR)$(libdir)" "$(DESTDIR)$(bindir)" \
+	"$(DESTDIR)$(man1dir)"
+LTLIBRARIES = $(lib_LTLIBRARIES)
+libadmsAdmstpath_la_DEPENDENCIES = libadmsElement.la
+am_libadmsAdmstpath_la_OBJECTS = libadmsAdmstpath_la-admstpathYacc.lo
+libadmsAdmstpath_la_OBJECTS = $(am_libadmsAdmstpath_la_OBJECTS)
+libadmsAdmstpath_la_LINK = $(LIBTOOL) --tag=CC $(AM_LIBTOOLFLAGS) \
+	$(LIBTOOLFLAGS) --mode=link $(CCLD) $(AM_CFLAGS) $(CFLAGS) \
+	$(libadmsAdmstpath_la_LDFLAGS) $(LDFLAGS) -o $@
+libadmsElement_la_LIBADD =
+am_libadmsElement_la_OBJECTS = libadmsElement_la-adms.lo
+libadmsElement_la_OBJECTS = $(am_libadmsElement_la_OBJECTS)
+libadmsElement_la_LINK = $(LIBTOOL) --tag=CC $(AM_LIBTOOLFLAGS) \
+	$(LIBTOOLFLAGS) --mode=link $(CCLD) $(AM_CFLAGS) $(CFLAGS) \
+	$(libadmsElement_la_LDFLAGS) $(LDFLAGS) -o $@
+libadmsPreprocessor_la_DEPENDENCIES = libadmsElement.la
+am_libadmsPreprocessor_la_OBJECTS =  \
+	libadmsPreprocessor_la-preprocessorYacc.lo \
+	libadmsPreprocessor_la-preprocessorLex.lo \
+	libadmsPreprocessor_la-preprocessorMain.lo
+libadmsPreprocessor_la_OBJECTS = $(am_libadmsPreprocessor_la_OBJECTS)
+libadmsPreprocessor_la_LINK = $(LIBTOOL) --tag=CC $(AM_LIBTOOLFLAGS) \
+	$(LIBTOOLFLAGS) --mode=link $(CCLD) $(AM_CFLAGS) $(CFLAGS) \
+	$(libadmsPreprocessor_la_LDFLAGS) $(LDFLAGS) -o $@
+libadmsVeriloga_la_DEPENDENCIES = libadmsElement.la
+am_libadmsVeriloga_la_OBJECTS = libadmsVeriloga_la-verilogaYacc.lo \
+	libadmsVeriloga_la-verilogaLex.lo
+libadmsVeriloga_la_OBJECTS = $(am_libadmsVeriloga_la_OBJECTS)
+libadmsVeriloga_la_LINK = $(LIBTOOL) --tag=CC $(AM_LIBTOOLFLAGS) \
+	$(LIBTOOLFLAGS) --mode=link $(CCLD) $(AM_CFLAGS) $(CFLAGS) \
+	$(libadmsVeriloga_la_LDFLAGS) $(LDFLAGS) -o $@
+PROGRAMS = $(bin_PROGRAMS) $(noinst_PROGRAMS)
+am_admsCheck_OBJECTS = admsCheck.$(OBJEXT)
+admsCheck_OBJECTS = $(am_admsCheck_OBJECTS)
+admsCheck_DEPENDENCIES = libadmsElement.la
+am_admsXml_OBJECTS = admsXml.$(OBJEXT)
+admsXml_OBJECTS = $(am_admsXml_OBJECTS)
+admsXml_DEPENDENCIES = libadmsElement.la libadmsVeriloga.la \
+	libadmsPreprocessor.la libadmsAdmstpath.la
+DEFAULT_INCLUDES = -I. at am__isrc@ -I$(top_builddir)
+depcomp = $(SHELL) $(top_srcdir)/auxconf/depcomp
+am__depfiles_maybe = depfiles
+am__mv = mv -f
+COMPILE = $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) \
+	$(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
+LTCOMPILE = $(LIBTOOL) --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+	--mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+	$(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
+CCLD = $(CC)
+LINK = $(LIBTOOL) --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+	--mode=link $(CCLD) $(AM_CFLAGS) $(CFLAGS) $(AM_LDFLAGS) \
+	$(LDFLAGS) -o $@
+ at MAINTAINER_MODE_FALSE@am__skiplex = test -f $@ ||
+LEXCOMPILE = $(LEX) $(LFLAGS) $(AM_LFLAGS)
+LTLEXCOMPILE = $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+	--mode=compile $(LEX) $(LFLAGS) $(AM_LFLAGS)
+YLWRAP = $(top_srcdir)/auxconf/ylwrap
+SOURCES = $(libadmsAdmstpath_la_SOURCES) $(libadmsElement_la_SOURCES) \
+	$(libadmsPreprocessor_la_SOURCES) \
+	$(libadmsVeriloga_la_SOURCES) $(admsCheck_SOURCES) \
+	$(admsXml_SOURCES)
+DIST_SOURCES = $(libadmsAdmstpath_la_SOURCES) \
+	$(libadmsElement_la_SOURCES) $(libadmsPreprocessor_la_SOURCES) \
+	$(libadmsVeriloga_la_SOURCES) $(admsCheck_SOURCES) \
+	$(admsXml_SOURCES)
+man1dir = $(mandir)/man1
+NROFF = nroff
+MANS = $(dist_man_MANS)
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+CC = @CC@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CYGPATH_W = @CYGPATH_W@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+FGREP = @FGREP@
+GREP = @GREP@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LEX = @LEX@
+LEXLIB = @LEXLIB@
+LEX_OUTPUT_ROOT = @LEX_OUTPUT_ROOT@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIBTOOL_DEPS = @LIBTOOL_DEPS@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAINT = @MAINT@
+MAKEINFO = @MAKEINFO@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_URL = @PACKAGE_URL@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PERL = @PERL@
+POW_LIB = @POW_LIB@
+RANLIB = @RANLIB@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+STRIP = @STRIP@
+VERSION = @VERSION@
+YACC = @YACC@
+YFLAGS = @YFLAGS@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+dvidir = @dvidir@
+exec_prefix = @exec_prefix@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+pdfdir = @pdfdir@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+srcdir = @srcdir@
+sysconfdir = @sysconfdir@
+target_alias = @target_alias@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+incfile = constants.vams disciplines.vams adms.implicit.xml dummy.va dummy.xml
+incfilec = $(incfile:=.c)
+ at MAINTAINER_MODE_FALSE@generated_FILES = 
+ at MAINTAINER_MODE_TRUE@generated_FILES = adms.h adms.c verilogaYacc.y admstpathYacc.y admstpathYacc.h $(incfilec)
+ at MAINTAINER_MODE_TRUE@BUILT_SOURCES = $(generated_FILES)
+ at MAINTAINER_MODE_TRUE@SUFFIXES = .vams .vams.c .va .va.c .xml .xml.c .y.in
+dist_man_MANS = admsXml.1
+lib_LTLIBRARIES = libadmsElement.la libadmsPreprocessor.la libadmsVeriloga.la libadmsAdmstpath.la
+libadmsElement_la_SOURCES = adms.c
+libadmsElement_la_CPPFLAGS = -DinsideElement
+libadmsElement_la_LDFLAGS = -no-undefined
+libadmsPreprocessor_la_SOURCES = preprocessorYacc.c preprocessorLex.l preprocessorMain.c
+libadmsPreprocessor_la_CPPFLAGS = -DinsidePreprocessor
+libadmsPreprocessor_la_LDFLAGS = -no-undefined
+libadmsPreprocessor_la_LIBADD = libadmsElement.la
+libadmsVeriloga_la_SOURCES = verilogaYacc.c verilogaLex.l
+libadmsVeriloga_la_CPPFLAGS = -DinsideVeriloga
+libadmsVeriloga_la_LDFLAGS = -no-undefined
+libadmsVeriloga_la_LIBADD = libadmsElement.la
+libadmsAdmstpath_la_SOURCES = admstpathYacc.c admstpathYacc.h
+libadmsAdmstpath_la_CPPFLAGS = -DinsideadmstpathYacc
+libadmsAdmstpath_la_LDFLAGS = -no-undefined
+libadmsAdmstpath_la_LIBADD = libadmsElement.la
+admsXml_SOURCES = admsXml.c
+admsXml_LDADD = -lm libadmsElement.la libadmsVeriloga.la libadmsPreprocessor.la libadmsAdmstpath.la
+
+# Rules to create the admsCheck test program
+admsCheck.c = $(incfilec)
+admsCheck_SOURCES = admsCheck.c
+admsCheck_LDADD = libadmsElement.la
+EXTRA_DIST = mkctext.pl mkelements.pl mkgrammar.pl adms.h adms.c \
+ preprocessorYacc.h admsPreprocessor.h verilogaYacc.h admsVeriloga.h \
+ $(incfile) $(incfilec)
+
+CLEANFILES = .*.swp *\~ core
+all: $(BUILT_SOURCES)
+	$(MAKE) $(AM_MAKEFLAGS) all-am
+
+.SUFFIXES:
+.SUFFIXES: .vams .vams.c .va .va.c .xml .xml.c .y.in .c .l .lo .o .obj
+$(srcdir)/Makefile.in: @MAINTAINER_MODE_TRUE@ $(srcdir)/Makefile.am  $(am__configure_deps)
+	@for dep in $?; do \
+	  case '$(am__configure_deps)' in \
+	    *$$dep*) \
+	      ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+	        && { if test -f $@; then exit 0; else break; fi; }; \
+	      exit 1;; \
+	  esac; \
+	done; \
+	echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu admsXml/Makefile'; \
+	$(am__cd) $(top_srcdir) && \
+	  $(AUTOMAKE) --gnu admsXml/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+	@case '$?' in \
+	  *config.status*) \
+	    cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+	  *) \
+	    echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+	    cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+	esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+	cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure: @MAINTAINER_MODE_TRUE@ $(am__configure_deps)
+	cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4): @MAINTAINER_MODE_TRUE@ $(am__aclocal_m4_deps)
+	cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(am__aclocal_m4_deps):
+install-libLTLIBRARIES: $(lib_LTLIBRARIES)
+	@$(NORMAL_INSTALL)
+	test -z "$(libdir)" || $(MKDIR_P) "$(DESTDIR)$(libdir)"
+	@list='$(lib_LTLIBRARIES)'; test -n "$(libdir)" || list=; \
+	list2=; for p in $$list; do \
+	  if test -f $$p; then \
+	    list2="$$list2 $$p"; \
+	  else :; fi; \
+	done; \
+	test -z "$$list2" || { \
+	  echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(INSTALL) $(INSTALL_STRIP_FLAG) $$list2 '$(DESTDIR)$(libdir)'"; \
+	  $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(INSTALL) $(INSTALL_STRIP_FLAG) $$list2 "$(DESTDIR)$(libdir)"; \
+	}
+
+uninstall-libLTLIBRARIES:
+	@$(NORMAL_UNINSTALL)
+	@list='$(lib_LTLIBRARIES)'; test -n "$(libdir)" || list=; \
+	for p in $$list; do \
+	  $(am__strip_dir) \
+	  echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f '$(DESTDIR)$(libdir)/$$f'"; \
+	  $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f "$(DESTDIR)$(libdir)/$$f"; \
+	done
+
+clean-libLTLIBRARIES:
+	-test -z "$(lib_LTLIBRARIES)" || rm -f $(lib_LTLIBRARIES)
+	@list='$(lib_LTLIBRARIES)'; for p in $$list; do \
+	  dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
+	  test "$$dir" != "$$p" || dir=.; \
+	  echo "rm -f \"$${dir}/so_locations\""; \
+	  rm -f "$${dir}/so_locations"; \
+	done
+libadmsAdmstpath.la: $(libadmsAdmstpath_la_OBJECTS) $(libadmsAdmstpath_la_DEPENDENCIES) 
+	$(libadmsAdmstpath_la_LINK) -rpath $(libdir) $(libadmsAdmstpath_la_OBJECTS) $(libadmsAdmstpath_la_LIBADD) $(LIBS)
+libadmsElement.la: $(libadmsElement_la_OBJECTS) $(libadmsElement_la_DEPENDENCIES) 
+	$(libadmsElement_la_LINK) -rpath $(libdir) $(libadmsElement_la_OBJECTS) $(libadmsElement_la_LIBADD) $(LIBS)
+libadmsPreprocessor.la: $(libadmsPreprocessor_la_OBJECTS) $(libadmsPreprocessor_la_DEPENDENCIES) 
+	$(libadmsPreprocessor_la_LINK) -rpath $(libdir) $(libadmsPreprocessor_la_OBJECTS) $(libadmsPreprocessor_la_LIBADD) $(LIBS)
+libadmsVeriloga.la: $(libadmsVeriloga_la_OBJECTS) $(libadmsVeriloga_la_DEPENDENCIES) 
+	$(libadmsVeriloga_la_LINK) -rpath $(libdir) $(libadmsVeriloga_la_OBJECTS) $(libadmsVeriloga_la_LIBADD) $(LIBS)
+install-binPROGRAMS: $(bin_PROGRAMS)
+	@$(NORMAL_INSTALL)
+	test -z "$(bindir)" || $(MKDIR_P) "$(DESTDIR)$(bindir)"
+	@list='$(bin_PROGRAMS)'; test -n "$(bindir)" || list=; \
+	for p in $$list; do echo "$$p $$p"; done | \
+	sed 's/$(EXEEXT)$$//' | \
+	while read p p1; do if test -f $$p || test -f $$p1; \
+	  then echo "$$p"; echo "$$p"; else :; fi; \
+	done | \
+	sed -e 'p;s,.*/,,;n;h' -e 's|.*|.|' \
+	    -e 'p;x;s,.*/,,;s/$(EXEEXT)$$//;$(transform);s/$$/$(EXEEXT)/' | \
+	sed 'N;N;N;s,\n, ,g' | \
+	$(AWK) 'BEGIN { files["."] = ""; dirs["."] = 1 } \
+	  { d=$$3; if (dirs[d] != 1) { print "d", d; dirs[d] = 1 } \
+	    if ($$2 == $$4) files[d] = files[d] " " $$1; \
+	    else { print "f", $$3 "/" $$4, $$1; } } \
+	  END { for (d in files) print "f", d, files[d] }' | \
+	while read type dir files; do \
+	    if test "$$dir" = .; then dir=; else dir=/$$dir; fi; \
+	    test -z "$$files" || { \
+	    echo " $(INSTALL_PROGRAM_ENV) $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(INSTALL_PROGRAM) $$files '$(DESTDIR)$(bindir)$$dir'"; \
+	    $(INSTALL_PROGRAM_ENV) $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(INSTALL_PROGRAM) $$files "$(DESTDIR)$(bindir)$$dir" || exit $$?; \
+	    } \
+	; done
+
+uninstall-binPROGRAMS:
+	@$(NORMAL_UNINSTALL)
+	@list='$(bin_PROGRAMS)'; test -n "$(bindir)" || list=; \
+	files=`for p in $$list; do echo "$$p"; done | \
+	  sed -e 'h;s,^.*/,,;s/$(EXEEXT)$$//;$(transform)' \
+	      -e 's/$$/$(EXEEXT)/' `; \
+	test -n "$$list" || exit 0; \
+	echo " ( cd '$(DESTDIR)$(bindir)' && rm -f" $$files ")"; \
+	cd "$(DESTDIR)$(bindir)" && rm -f $$files
+
+clean-binPROGRAMS:
+	@list='$(bin_PROGRAMS)'; test -n "$$list" || exit 0; \
+	echo " rm -f" $$list; \
+	rm -f $$list || exit $$?; \
+	test -n "$(EXEEXT)" || exit 0; \
+	list=`for p in $$list; do echo "$$p"; done | sed 's/$(EXEEXT)$$//'`; \
+	echo " rm -f" $$list; \
+	rm -f $$list
+
+clean-noinstPROGRAMS:
+	@list='$(noinst_PROGRAMS)'; test -n "$$list" || exit 0; \
+	echo " rm -f" $$list; \
+	rm -f $$list || exit $$?; \
+	test -n "$(EXEEXT)" || exit 0; \
+	list=`for p in $$list; do echo "$$p"; done | sed 's/$(EXEEXT)$$//'`; \
+	echo " rm -f" $$list; \
+	rm -f $$list
+admsCheck$(EXEEXT): $(admsCheck_OBJECTS) $(admsCheck_DEPENDENCIES) 
+	@rm -f admsCheck$(EXEEXT)
+	$(LINK) $(admsCheck_OBJECTS) $(admsCheck_LDADD) $(LIBS)
+admsXml$(EXEEXT): $(admsXml_OBJECTS) $(admsXml_DEPENDENCIES) 
+	@rm -f admsXml$(EXEEXT)
+	$(LINK) $(admsXml_OBJECTS) $(admsXml_LDADD) $(LIBS)
+
+mostlyclean-compile:
+	-rm -f *.$(OBJEXT)
+
+distclean-compile:
+	-rm -f *.tab.c
+
+ at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/admsCheck.Po at am__quote@
+ at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/admsXml.Po at am__quote@
+ at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/libadmsAdmstpath_la-admstpathYacc.Plo at am__quote@
+ at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/libadmsElement_la-adms.Plo at am__quote@
+ at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/libadmsPreprocessor_la-preprocessorLex.Plo at am__quote@
+ at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/libadmsPreprocessor_la-preprocessorMain.Plo at am__quote@
+ at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/libadmsPreprocessor_la-preprocessorYacc.Plo at am__quote@
+ at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/libadmsVeriloga_la-verilogaLex.Plo at am__quote@
+ at AMDEP_TRUE@@am__include@ @am__quote at ./$(DEPDIR)/libadmsVeriloga_la-verilogaYacc.Plo at am__quote@
+
+.c.o:
+ at am__fastdepCC_TRUE@	$(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+ at am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+ at AMDEP_TRUE@@am__fastdepCC_FALSE@	source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+ at AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+ at am__fastdepCC_FALSE@	$(COMPILE) -c $<
+
+.c.obj:
+ at am__fastdepCC_TRUE@	$(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+ at am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+ at AMDEP_TRUE@@am__fastdepCC_FALSE@	source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+ at AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+ at am__fastdepCC_FALSE@	$(COMPILE) -c `$(CYGPATH_W) '$<'`
+
+.c.lo:
+ at am__fastdepCC_TRUE@	$(LTCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+ at am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+ at AMDEP_TRUE@@am__fastdepCC_FALSE@	source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+ at AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+ at am__fastdepCC_FALSE@	$(LTCOMPILE) -c -o $@ $<
+
+libadmsAdmstpath_la-admstpathYacc.lo: admstpathYacc.c
+ at am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(libadmsAdmstpath_la_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT libadmsAdmstpath_la-admstpathYacc.lo -MD -MP -MF $(DEPDIR)/libadmsAdmstpath_la-admstpathYacc.Tpo -c -o libadmsAdmstpath_la-admstpathYacc.lo `test -f 'admstpathYacc.c' || echo '$(srcdir)/'`admstpathYacc.c
+ at am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/libadmsAdmstpath_la-admstpathYacc.Tpo $(DEPDIR)/libadmsAdmstpath_la-admstpathYacc.Plo
+ at AMDEP_TRUE@@am__fastdepCC_FALSE@	source='admstpathYacc.c' object='libadmsAdmstpath_la-admstpathYacc.lo' libtool=yes @AMDEPBACKSLASH@
+ at AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+ at am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(libadmsAdmstpath_la_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o libadmsAdmstpath_la-admstpathYacc.lo `test -f 'admstpathYacc.c' || echo '$(srcdir)/'`admstpathYacc.c
+
+libadmsElement_la-adms.lo: adms.c
+ at am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(libadmsElement_la_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT libadmsElement_la-adms.lo -MD -MP -MF $(DEPDIR)/libadmsElement_la-adms.Tpo -c -o libadmsElement_la-adms.lo `test -f 'adms.c' || echo '$(srcdir)/'`adms.c
+ at am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/libadmsElement_la-adms.Tpo $(DEPDIR)/libadmsElement_la-adms.Plo
+ at AMDEP_TRUE@@am__fastdepCC_FALSE@	source='adms.c' object='libadmsElement_la-adms.lo' libtool=yes @AMDEPBACKSLASH@
+ at AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+ at am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(libadmsElement_la_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o libadmsElement_la-adms.lo `test -f 'adms.c' || echo '$(srcdir)/'`adms.c
+
+libadmsPreprocessor_la-preprocessorYacc.lo: preprocessorYacc.c
+ at am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(libadmsPreprocessor_la_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT libadmsPreprocessor_la-preprocessorYacc.lo -MD -MP -MF $(DEPDIR)/libadmsPreprocessor_la-preprocessorYacc.Tpo -c -o libadmsPreprocessor_la-preprocessorYacc.lo `test -f 'preprocessorYacc.c' || echo '$(srcdir)/'`preprocessorYacc.c
+ at am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/libadmsPreprocessor_la-preprocessorYacc.Tpo $(DEPDIR)/libadmsPreprocessor_la-preprocessorYacc.Plo
+ at AMDEP_TRUE@@am__fastdepCC_FALSE@	source='preprocessorYacc.c' object='libadmsPreprocessor_la-preprocessorYacc.lo' libtool=yes @AMDEPBACKSLASH@
+ at AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+ at am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(libadmsPreprocessor_la_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o libadmsPreprocessor_la-preprocessorYacc.lo `test -f 'preprocessorYacc.c' || echo '$(srcdir)/'`preprocessorYacc.c
+
+libadmsPreprocessor_la-preprocessorLex.lo: preprocessorLex.c
+ at am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(libadmsPreprocessor_la_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT libadmsPreprocessor_la-preprocessorLex.lo -MD -MP -MF $(DEPDIR)/libadmsPreprocessor_la-preprocessorLex.Tpo -c -o libadmsPreprocessor_la-preprocessorLex.lo `test -f 'preprocessorLex.c' || echo '$(srcdir)/'`preprocessorLex.c
+ at am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/libadmsPreprocessor_la-preprocessorLex.Tpo $(DEPDIR)/libadmsPreprocessor_la-preprocessorLex.Plo
+ at AMDEP_TRUE@@am__fastdepCC_FALSE@	source='preprocessorLex.c' object='libadmsPreprocessor_la-preprocessorLex.lo' libtool=yes @AMDEPBACKSLASH@
+ at AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+ at am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(libadmsPreprocessor_la_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o libadmsPreprocessor_la-preprocessorLex.lo `test -f 'preprocessorLex.c' || echo '$(srcdir)/'`preprocessorLex.c
+
+libadmsPreprocessor_la-preprocessorMain.lo: preprocessorMain.c
+ at am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(libadmsPreprocessor_la_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT libadmsPreprocessor_la-preprocessorMain.lo -MD -MP -MF $(DEPDIR)/libadmsPreprocessor_la-preprocessorMain.Tpo -c -o libadmsPreprocessor_la-preprocessorMain.lo `test -f 'preprocessorMain.c' || echo '$(srcdir)/'`preprocessorMain.c
+ at am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/libadmsPreprocessor_la-preprocessorMain.Tpo $(DEPDIR)/libadmsPreprocessor_la-preprocessorMain.Plo
+ at AMDEP_TRUE@@am__fastdepCC_FALSE@	source='preprocessorMain.c' object='libadmsPreprocessor_la-preprocessorMain.lo' libtool=yes @AMDEPBACKSLASH@
+ at AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+ at am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(libadmsPreprocessor_la_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o libadmsPreprocessor_la-preprocessorMain.lo `test -f 'preprocessorMain.c' || echo '$(srcdir)/'`preprocessorMain.c
+
+libadmsVeriloga_la-verilogaYacc.lo: verilogaYacc.c
+ at am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(libadmsVeriloga_la_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT libadmsVeriloga_la-verilogaYacc.lo -MD -MP -MF $(DEPDIR)/libadmsVeriloga_la-verilogaYacc.Tpo -c -o libadmsVeriloga_la-verilogaYacc.lo `test -f 'verilogaYacc.c' || echo '$(srcdir)/'`verilogaYacc.c
+ at am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/libadmsVeriloga_la-verilogaYacc.Tpo $(DEPDIR)/libadmsVeriloga_la-verilogaYacc.Plo
+ at AMDEP_TRUE@@am__fastdepCC_FALSE@	source='verilogaYacc.c' object='libadmsVeriloga_la-verilogaYacc.lo' libtool=yes @AMDEPBACKSLASH@
+ at AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+ at am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(libadmsVeriloga_la_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o libadmsVeriloga_la-verilogaYacc.lo `test -f 'verilogaYacc.c' || echo '$(srcdir)/'`verilogaYacc.c
+
+libadmsVeriloga_la-verilogaLex.lo: verilogaLex.c
+ at am__fastdepCC_TRUE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(libadmsVeriloga_la_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT libadmsVeriloga_la-verilogaLex.lo -MD -MP -MF $(DEPDIR)/libadmsVeriloga_la-verilogaLex.Tpo -c -o libadmsVeriloga_la-verilogaLex.lo `test -f 'verilogaLex.c' || echo '$(srcdir)/'`verilogaLex.c
+ at am__fastdepCC_TRUE@	$(am__mv) $(DEPDIR)/libadmsVeriloga_la-verilogaLex.Tpo $(DEPDIR)/libadmsVeriloga_la-verilogaLex.Plo
+ at AMDEP_TRUE@@am__fastdepCC_FALSE@	source='verilogaLex.c' object='libadmsVeriloga_la-verilogaLex.lo' libtool=yes @AMDEPBACKSLASH@
+ at AMDEP_TRUE@@am__fastdepCC_FALSE@	DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+ at am__fastdepCC_FALSE@	$(LIBTOOL)  --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(libadmsVeriloga_la_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o libadmsVeriloga_la-verilogaLex.lo `test -f 'verilogaLex.c' || echo '$(srcdir)/'`verilogaLex.c
+
+.l.c:
+	$(am__skiplex) $(SHELL) $(YLWRAP) $< $(LEX_OUTPUT_ROOT).c $@ -- $(LEXCOMPILE)
+
+mostlyclean-libtool:
+	-rm -f *.lo
+
+clean-libtool:
+	-rm -rf .libs _libs
+install-man1: $(dist_man_MANS)
+	@$(NORMAL_INSTALL)
+	test -z "$(man1dir)" || $(MKDIR_P) "$(DESTDIR)$(man1dir)"
+	@list=''; test -n "$(man1dir)" || exit 0; \
+	{ for i in $$list; do echo "$$i"; done; \
+	l2='$(dist_man_MANS)'; for i in $$l2; do echo "$$i"; done | \
+	  sed -n '/\.1[a-z]*$$/p'; \
+	} | while read p; do \
+	  if test -f $$p; then d=; else d="$(srcdir)/"; fi; \
+	  echo "$$d$$p"; echo "$$p"; \
+	done | \
+	sed -e 'n;s,.*/,,;p;h;s,.*\.,,;s,^[^1][0-9a-z]*$$,1,;x' \
+	      -e 's,\.[0-9a-z]*$$,,;$(transform);G;s,\n,.,' | \
+	sed 'N;N;s,\n, ,g' | { \
+	list=; while read file base inst; do \
+	  if test "$$base" = "$$inst"; then list="$$list $$file"; else \
+	    echo " $(INSTALL_DATA) '$$file' '$(DESTDIR)$(man1dir)/$$inst'"; \
+	    $(INSTALL_DATA) "$$file" "$(DESTDIR)$(man1dir)/$$inst" || exit $$?; \
+	  fi; \
+	done; \
+	for i in $$list; do echo "$$i"; done | $(am__base_list) | \
+	while read files; do \
+	  test -z "$$files" || { \
+	    echo " $(INSTALL_DATA) $$files '$(DESTDIR)$(man1dir)'"; \
+	    $(INSTALL_DATA) $$files "$(DESTDIR)$(man1dir)" || exit $$?; }; \
+	done; }
+
+uninstall-man1:
+	@$(NORMAL_UNINSTALL)
+	@list=''; test -n "$(man1dir)" || exit 0; \
+	files=`{ for i in $$list; do echo "$$i"; done; \
+	l2='$(dist_man_MANS)'; for i in $$l2; do echo "$$i"; done | \
+	  sed -n '/\.1[a-z]*$$/p'; \
+	} | sed -e 's,.*/,,;h;s,.*\.,,;s,^[^1][0-9a-z]*$$,1,;x' \
+	      -e 's,\.[0-9a-z]*$$,,;$(transform);G;s,\n,.,'`; \
+	test -z "$$files" || { \
+	  echo " ( cd '$(DESTDIR)$(man1dir)' && rm -f" $$files ")"; \
+	  cd "$(DESTDIR)$(man1dir)" && rm -f $$files; }
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+	list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+	unique=`for i in $$list; do \
+	    if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+	  done | \
+	  $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+	      END { if (nonempty) { for (i in files) print i; }; }'`; \
+	mkid -fID $$unique
+tags: TAGS
+
+TAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+		$(TAGS_FILES) $(LISP)
+	set x; \
+	here=`pwd`; \
+	list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+	unique=`for i in $$list; do \
+	    if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+	  done | \
+	  $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+	      END { if (nonempty) { for (i in files) print i; }; }'`; \
+	shift; \
+	if test -z "$(ETAGS_ARGS)$$*$$unique"; then :; else \
+	  test -n "$$unique" || unique=$$empty_fix; \
+	  if test $$# -gt 0; then \
+	    $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+	      "$$@" $$unique; \
+	  else \
+	    $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+	      $$unique; \
+	  fi; \
+	fi
+ctags: CTAGS
+CTAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+		$(TAGS_FILES) $(LISP)
+	list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+	unique=`for i in $$list; do \
+	    if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+	  done | \
+	  $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+	      END { if (nonempty) { for (i in files) print i; }; }'`; \
+	test -z "$(CTAGS_ARGS)$$unique" \
+	  || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+	     $$unique
+
+GTAGS:
+	here=`$(am__cd) $(top_builddir) && pwd` \
+	  && $(am__cd) $(top_srcdir) \
+	  && gtags -i $(GTAGS_ARGS) "$$here"
+
+distclean-tags:
+	-rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+	@list='$(MANS)'; if test -n "$$list"; then \
+	  list=`for p in $$list; do \
+	    if test -f $$p; then d=; else d="$(srcdir)/"; fi; \
+	    if test -f "$$d$$p"; then echo "$$d$$p"; else :; fi; done`; \
+	  if test -n "$$list" && \
+	    grep 'ab help2man is required to generate this page' $$list >/dev/null; then \
+	    echo "error: found man pages containing the \`missing help2man' replacement text:" >&2; \
+	    grep -l 'ab help2man is required to generate this page' $$list | sed 's/^/         /' >&2; \
+	    echo "       to fix them, install help2man, remove and regenerate the man pages;" >&2; \
+	    echo "       typically \`make maintainer-clean' will remove them" >&2; \
+	    exit 1; \
+	  else :; fi; \
+	else :; fi
+	@srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+	topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+	list='$(DISTFILES)'; \
+	  dist_files=`for file in $$list; do echo $$file; done | \
+	  sed -e "s|^$$srcdirstrip/||;t" \
+	      -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+	case $$dist_files in \
+	  */*) $(MKDIR_P) `echo "$$dist_files" | \
+			   sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+			   sort -u` ;; \
+	esac; \
+	for file in $$dist_files; do \
+	  if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+	  if test -d $$d/$$file; then \
+	    dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+	    if test -d "$(distdir)/$$file"; then \
+	      find "$(distdir)/$$file" -type d ! -perm -700 -exec chmod u+rwx {} \;; \
+	    fi; \
+	    if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+	      cp -fpR $(srcdir)/$$file "$(distdir)$$dir" || exit 1; \
+	      find "$(distdir)/$$file" -type d ! -perm -700 -exec chmod u+rwx {} \;; \
+	    fi; \
+	    cp -fpR $$d/$$file "$(distdir)$$dir" || exit 1; \
+	  else \
+	    test -f "$(distdir)/$$file" \
+	    || cp -p $$d/$$file "$(distdir)/$$file" \
+	    || exit 1; \
+	  fi; \
+	done
+check-am: all-am
+check: $(BUILT_SOURCES)
+	$(MAKE) $(AM_MAKEFLAGS) check-am
+all-am: Makefile $(LTLIBRARIES) $(PROGRAMS) $(MANS)
+install-binPROGRAMS: install-libLTLIBRARIES
+
+installdirs:
+	for dir in "$(DESTDIR)$(libdir)" "$(DESTDIR)$(bindir)" "$(DESTDIR)$(man1dir)"; do \
+	  test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+	done
+install: $(BUILT_SOURCES)
+	$(MAKE) $(AM_MAKEFLAGS) install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+	@$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+	$(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+	  install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+	  `test -z '$(STRIP)' || \
+	    echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+
+clean-generic:
+	-test -z "$(CLEANFILES)" || rm -f $(CLEANFILES)
+
+distclean-generic:
+	-test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+	-test . = "$(srcdir)" || test -z "$(CONFIG_CLEAN_VPATH_FILES)" || rm -f $(CONFIG_CLEAN_VPATH_FILES)
+
+maintainer-clean-generic:
+	@echo "This command is intended for maintainers to use"
+	@echo "it deletes files that may require special tools to rebuild."
+	-rm -f preprocessorLex.c
+	-rm -f verilogaLex.c
+	-test -z "$(BUILT_SOURCES)" || rm -f $(BUILT_SOURCES)
+clean: clean-am
+
+clean-am: clean-binPROGRAMS clean-generic clean-libLTLIBRARIES \
+	clean-libtool clean-noinstPROGRAMS mostlyclean-am
+
+distclean: distclean-am
+	-rm -rf ./$(DEPDIR)
+	-rm -f Makefile
+distclean-am: clean-am distclean-compile distclean-generic \
+	distclean-tags
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+html-am:
+
+info: info-am
+
+info-am:
+
+install-data-am: install-man
+
+install-dvi: install-dvi-am
+
+install-dvi-am:
+
+install-exec-am: install-binPROGRAMS install-libLTLIBRARIES
+
+install-html: install-html-am
+
+install-html-am:
+
+install-info: install-info-am
+
+install-info-am:
+
+install-man: install-man1
+
+install-pdf: install-pdf-am
+
+install-pdf-am:
+
+install-ps: install-ps-am
+
+install-ps-am:
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+	-rm -rf ./$(DEPDIR)
+	-rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-compile mostlyclean-generic \
+	mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-binPROGRAMS uninstall-libLTLIBRARIES \
+	uninstall-man
+
+uninstall-man: uninstall-man1
+
+.MAKE: all check install install-am install-strip
+
+.PHONY: CTAGS GTAGS all all-am check check-am clean clean-binPROGRAMS \
+	clean-generic clean-libLTLIBRARIES clean-libtool \
+	clean-noinstPROGRAMS ctags distclean distclean-compile \
+	distclean-generic distclean-libtool distclean-tags distdir dvi \
+	dvi-am html html-am info info-am install install-am \
+	install-binPROGRAMS install-data install-data-am install-dvi \
+	install-dvi-am install-exec install-exec-am install-html \
+	install-html-am install-info install-info-am \
+	install-libLTLIBRARIES install-man install-man1 install-pdf \
+	install-pdf-am install-ps install-ps-am install-strip \
+	installcheck installcheck-am installdirs maintainer-clean \
+	maintainer-clean-generic mostlyclean mostlyclean-compile \
+	mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+	tags uninstall uninstall-am uninstall-binPROGRAMS \
+	uninstall-libLTLIBRARIES uninstall-man uninstall-man1
+
+
+# use mkctext.pl to create C files containing the contents of each
+# input file in a C character array. The output is used by make check
+# only
+ at MAINTAINER_MODE_TRUE@$(incfilec):%.c:% ${srcdir}/mkctext.pl
+ at MAINTAINER_MODE_TRUE@	$(PERL) ${srcdir}/mkctext.pl $< $(top_srcdir)
+
+ at MAINTAINER_MODE_TRUE@admstpathYacc.y admstpathYacc.h adms.h adms.c: ${top_srcdir}/adms.xml ${top_srcdir}/admstpath.xml ${srcdir}/mkelements.pl
+ at MAINTAINER_MODE_TRUE@	$(PERL) ${srcdir}/mkelements.pl $(top_srcdir)
+
+ at MAINTAINER_MODE_TRUE@verilogaYacc.y: ${srcdir}/verilogaYacc.y.in ${srcdir}/mkgrammar.pl
+ at MAINTAINER_MODE_TRUE@	$(PERL) ${srcdir}/mkgrammar.pl ${srcdir}/verilogaYacc.y.in
+# -b -> re-defines file-prefix (not y.tab.c)
+# -d -> creates #define file
+ at MAINTAINER_MODE_TRUE@verilogaLex.c: verilogaLex.l
+ at MAINTAINER_MODE_TRUE@	flex -Pveriloga -overilogaLex.c ${srcdir}/verilogaLex.l
+
+ at MAINTAINER_MODE_TRUE@verilogaYacc.c: verilogaYacc.y verilogaLex.l
+ at MAINTAINER_MODE_TRUE@	bison -by -d -pveriloga ${srcdir}/verilogaYacc.y
+ at MAINTAINER_MODE_TRUE@	mv -f y.tab.c verilogaYacc.c
+ at MAINTAINER_MODE_TRUE@	mv -f y.tab.h verilogaYacc.h
+
+ at MAINTAINER_MODE_TRUE@admstpathYacc.c: admstpathYacc.y admstpathYacc.h
+ at MAINTAINER_MODE_TRUE@	bison -by -padmstpath ${srcdir}/admstpathYacc.y
+ at MAINTAINER_MODE_TRUE@	mv -f y.tab.c admstpathYacc.c
+
+ at MAINTAINER_MODE_TRUE@preprocessorLex.c: preprocessorLex.l
+ at MAINTAINER_MODE_TRUE@	flex -Ppreprocessor -opreprocessorLex.c ${srcdir}/preprocessorLex.l
+
+ at MAINTAINER_MODE_TRUE@preprocessorYacc.c: preprocessorYacc.y preprocessorLex.l
+ at MAINTAINER_MODE_TRUE@	bison -by -d -ppreprocessor ${srcdir}/preprocessorYacc.y
+ at MAINTAINER_MODE_TRUE@	mv -f y.tab.c preprocessorYacc.c
+ at MAINTAINER_MODE_TRUE@	mv -f y.tab.h preprocessorYacc.h
+
+preprocessorLex.l: $(incfilec)
+
+admsXml.c: adms.implicit.xml.c
+
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/admsXml/adms.c b/admsXml/adms.c
new file mode 100644
index 0000000..9e21bdf
--- /dev/null
+++ b/admsXml/adms.c
@@ -0,0 +1,8705 @@
+
+/* ------- code automatically created by ./mkelements.pl -------------- */
+
+#include "adms.h"
+const double adms_dzero=0.0;
+double adms_NAN;
+FILE *stdadmstdbgimpl=NULL;
+#undef TTK
+#define TTK(tk) if(e==admse_##tk) return #tk;
+char* ns_etostr (admse e)
+{
+  TK
+  if(e==admse__zero) return "_zero";
+  if(e==admse__any) return "_any";
+  if(e==admse__constant) return "_constant";
+  if(e==admse__p) return "_p";
+  if(e==admse__s) return "_s";
+  if(e==admse__path) return "_path";
+  if(e==admse__arguments) return "_arguments";
+  if(e==admse__croix) return "_croix";
+  if(e==admse__croixcroix) return "_croixcroix";
+  if(e==admse__ladms) return "_ladms";
+  if(e==admse__ladmst) return "_ladmst";
+  return NULL;
+}
+#undef TTK
+#define TTK(tk) if(!strcmp(mytk,#tk)) return admse_##tk;
+admse ns_strtoe (const char* mytk)
+{
+  TK
+  return admse__any;
+}
+static char* adms_admsxml_uid (p_kadms myitem)
+{
+  if(!myitem)
+    return adms_kclone("");
+  if(myitem->_datatypename==admse_simulator)
+    return adms_simulator_uid((p_simulator)myitem);
+  if(myitem->_datatypename==admse_admsmain)
+    return adms_admsmain_uid((p_admsmain)myitem);
+  if(myitem->_datatypename==admse_list)
+    return adms_list_uid((p_list)myitem);
+  if(myitem->_datatypename==admse_nature)
+    return adms_nature_uid((p_nature)myitem);
+  if(myitem->_datatypename==admse_discipline)
+    return adms_discipline_uid((p_discipline)myitem);
+  if(myitem->_datatypename==admse_module)
+    return adms_module_uid((p_module)myitem);
+  if(myitem->_datatypename==admse_analogfunction)
+    return adms_analogfunction_uid((p_analogfunction)myitem);
+  if(myitem->_datatypename==admse_nodealias)
+    return adms_nodealias_uid((p_nodealias)myitem);
+  if(myitem->_datatypename==admse_node)
+    return adms_node_uid((p_node)myitem);
+  if(myitem->_datatypename==admse_branchalias)
+    return adms_branchalias_uid((p_branchalias)myitem);
+  if(myitem->_datatypename==admse_branch)
+    return adms_branch_uid((p_branch)myitem);
+  if(myitem->_datatypename==admse_variableprototype)
+    return adms_variableprototype_uid((p_variableprototype)myitem);
+  if(myitem->_datatypename==admse_source)
+    return adms_source_uid((p_source)myitem);
+  if(myitem->_datatypename==admse_range)
+    return adms_range_uid((p_range)myitem);
+  if(myitem->_datatypename==admse_jacobian)
+    return adms_jacobian_uid((p_jacobian)myitem);
+  if(myitem->_datatypename==admse_analog)
+    return adms_analog_uid((p_analog)myitem);
+  if(myitem->_datatypename==admse_math)
+    return adms_math_uid((p_math)myitem);
+  if(myitem->_datatypename==admse_string)
+    return adms_string_uid((p_string)myitem);
+  if(myitem->_datatypename==admse_subexpression)
+    return adms_subexpression_uid((p_subexpression)myitem);
+  if(myitem->_datatypename==admse_mapply_unary)
+    return adms_mapply_unary_uid((p_mapply_unary)myitem);
+  if(myitem->_datatypename==admse_mapply_binary)
+    return adms_mapply_binary_uid((p_mapply_binary)myitem);
+  if(myitem->_datatypename==admse_mapply_ternary)
+    return adms_mapply_ternary_uid((p_mapply_ternary)myitem);
+  if(myitem->_datatypename==admse_number)
+    return adms_number_uid((p_number)myitem);
+  if(myitem->_datatypename==admse_function)
+    return adms_function_uid((p_function)myitem);
+  if(myitem->_datatypename==admse_variable)
+    return adms_variable_uid((p_variable)myitem);
+  if(myitem->_datatypename==admse_array)
+    return adms_array_uid((p_array)myitem);
+  if(myitem->_datatypename==admse_probe)
+    return adms_probe_uid((p_probe)myitem);
+  if(myitem->_datatypename==admse_expression)
+    return adms_expression_uid((p_expression)myitem);
+  if(myitem->_datatypename==admse_instance)
+    return adms_instance_uid((p_instance)myitem);
+  if(myitem->_datatypename==admse_instanceparameter)
+    return adms_instanceparameter_uid((p_instanceparameter)myitem);
+  if(myitem->_datatypename==admse_instancenode)
+    return adms_instancenode_uid((p_instancenode)myitem);
+  if(myitem->_datatypename==admse_nilled)
+    return adms_nilled_uid((p_nilled)myitem);
+  if(myitem->_datatypename==admse_assignment)
+    return adms_assignment_uid((p_assignment)myitem);
+  if(myitem->_datatypename==admse_contribution)
+    return adms_contribution_uid((p_contribution)myitem);
+  if(myitem->_datatypename==admse_conditional)
+    return adms_conditional_uid((p_conditional)myitem);
+  if(myitem->_datatypename==admse_forloop)
+    return adms_forloop_uid((p_forloop)myitem);
+  if(myitem->_datatypename==admse_whileloop)
+    return adms_whileloop_uid((p_whileloop)myitem);
+  if(myitem->_datatypename==admse_case)
+    return adms_case_uid((p_case)myitem);
+  if(myitem->_datatypename==admse_caseitem)
+    return adms_caseitem_uid((p_caseitem)myitem);
+  if(myitem->_datatypename==admse_blockvariable)
+    return adms_blockvariable_uid((p_blockvariable)myitem);
+  if(myitem->_datatypename==admse_block)
+    return adms_block_uid((p_block)myitem);
+  if(myitem->_datatypename==admse_callfunction)
+    return adms_callfunction_uid((p_callfunction)myitem);
+  if(myitem->_datatypename==admse_evaluation)
+    return adms_evaluation_uid((p_evaluation)myitem);
+  if(myitem->_datatypename==admse_text)
+    return adms_text_uid((p_text)myitem);
+  if(myitem->_datatypename==admse_path)
+    return adms_path_uid((p_path)myitem);
+  if(myitem->_datatypename==admse_ptraverse)
+    return adms_ptraverse_uid((p_ptraverse)myitem);
+  if(myitem->_datatypename==admse_pparse)
+    return adms_pparse_uid((p_pparse)myitem);
+  if(myitem->_datatypename==admse_admst)
+    return adms_admst_uid((p_admst)myitem);
+  if(myitem->_datatypename==admse_transform)
+    return adms_transform_uid((p_transform)myitem);
+  if(myitem->_datatypename==admse_itransform)
+    return adms_itransform_uid((p_itransform)myitem);
+  if(myitem->_datatypename==admse_return)
+    return adms_return_uid((p_return)myitem);
+  if(myitem->_datatypename==admse_attribute)
+    return adms_attribute_uid((p_attribute)myitem);
+  if(myitem->_datatypename==admse_admstvariable)
+    return adms_admstvariable_uid((p_admstvariable)myitem);
+  if(myitem->_datatypename==admse_lexval)
+    return adms_lexval_uid((p_lexval)myitem);
+  if(myitem->_datatypename==admse_yaccval)
+    return adms_yaccval_uid((p_yaccval)myitem);
+  adms_message_fatal_continue(("%s:%i: internal error - should not be reached\n",__FILE__,__LINE__))
+  adms_message_fatal(("%s:%i: please report to r29173 at users.sourceforge.net\n",__FILE__,__LINE__))
+  return NULL;
+}
+char* aprintf (p_ktransform mytransform,p_kadmst myadmst)
+{
+  if(myadmst->_pseudo==admse__ladms)
+  {
+    adms_message_fatal_continue(("list not supported\n"))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+  }
+  if(myadmst->_pseudo==admse_empty)
+    return adms_kclone("");
+  if(myadmst->_pseudo==admse_datatypename)
+    return adms_kclone(ns_etostr((admse)myadmst->_item.i));
+  if(myadmst->_pseudo==admse_basicenumeration)
+    return adms_kclone(ns_etostr((admse)myadmst->_item.i));
+  if(myadmst->_pseudo==admse_basicinteger)
+    return adms_integertostring(myadmst->_item.i);
+  if(myadmst->_pseudo==admse_basicreal)
+    return adms_doubletostring(myadmst->_item.r);
+  if(myadmst->_pseudo==admse_basicstring)
+    return adms_kclone((char*)myadmst->_item.s);
+  if(myadmst->_pseudo==admse__croix)
+  {
+    if(((p_attribute)myadmst->_item.p)->_value)
+      return aprintf(mytransform,(p_admst)((p_attribute)myadmst->_item.p)->_value);
+    else
+      return adms_kclone("");
+  }
+  if(myadmst->_pseudo==admse_simulator)
+    return adms_simulator_uid((p_simulator)myadmst->_item.p);
+  if(myadmst->_pseudo==admse_admsmain)
+    return adms_admsmain_uid((p_admsmain)myadmst->_item.p);
+  if(myadmst->_pseudo==admse_list)
+    return adms_list_uid((p_list)myadmst->_item.p);
+  if(myadmst->_pseudo==admse_nature)
+    return adms_nature_uid((p_nature)myadmst->_item.p);
+  if(myadmst->_pseudo==admse_discipline)
+    return adms_discipline_uid((p_discipline)myadmst->_item.p);
+  if(myadmst->_pseudo==admse_module)
+    return adms_module_uid((p_module)myadmst->_item.p);
+  if(myadmst->_pseudo==admse_analogfunction)
+    return adms_analogfunction_uid((p_analogfunction)myadmst->_item.p);
+  if(myadmst->_pseudo==admse_nodealias)
+    return adms_nodealias_uid((p_nodealias)myadmst->_item.p);
+  if(myadmst->_pseudo==admse_node)
+    return adms_node_uid((p_node)myadmst->_item.p);
+  if(myadmst->_pseudo==admse_branchalias)
+    return adms_branchalias_uid((p_branchalias)myadmst->_item.p);
+  if(myadmst->_pseudo==admse_branch)
+    return adms_branch_uid((p_branch)myadmst->_item.p);
+  if(myadmst->_pseudo==admse_variableprototype)
+    return adms_variableprototype_uid((p_variableprototype)myadmst->_item.p);
+  if(myadmst->_pseudo==admse_source)
+    return adms_source_uid((p_source)myadmst->_item.p);
+  if(myadmst->_pseudo==admse_range)
+    return adms_range_uid((p_range)myadmst->_item.p);
+  if(myadmst->_pseudo==admse_jacobian)
+    return adms_jacobian_uid((p_jacobian)myadmst->_item.p);
+  if(myadmst->_pseudo==admse_analog)
+    return adms_analog_uid((p_analog)myadmst->_item.p);
+  if(myadmst->_pseudo==admse_math)
+    return adms_math_uid((p_math)myadmst->_item.p);
+  if(myadmst->_pseudo==admse_string)
+    return adms_string_uid((p_string)myadmst->_item.p);
+  if(myadmst->_pseudo==admse_subexpression)
+    return adms_subexpression_uid((p_subexpression)myadmst->_item.p);
+  if(myadmst->_pseudo==admse_mapply_unary)
+    return adms_mapply_unary_uid((p_mapply_unary)myadmst->_item.p);
+  if(myadmst->_pseudo==admse_mapply_binary)
+    return adms_mapply_binary_uid((p_mapply_binary)myadmst->_item.p);
+  if(myadmst->_pseudo==admse_mapply_ternary)
+    return adms_mapply_ternary_uid((p_mapply_ternary)myadmst->_item.p);
+  if(myadmst->_pseudo==admse_number)
+    return adms_number_uid((p_number)myadmst->_item.p);
+  if(myadmst->_pseudo==admse_function)
+    return adms_function_uid((p_function)myadmst->_item.p);
+  if(myadmst->_pseudo==admse_variable)
+    return adms_variable_uid((p_variable)myadmst->_item.p);
+  if(myadmst->_pseudo==admse_array)
+    return adms_array_uid((p_array)myadmst->_item.p);
+  if(myadmst->_pseudo==admse_probe)
+    return adms_probe_uid((p_probe)myadmst->_item.p);
+  if(myadmst->_pseudo==admse_expression)
+    return adms_expression_uid((p_expression)myadmst->_item.p);
+  if(myadmst->_pseudo==admse_instance)
+    return adms_instance_uid((p_instance)myadmst->_item.p);
+  if(myadmst->_pseudo==admse_instanceparameter)
+    return adms_instanceparameter_uid((p_instanceparameter)myadmst->_item.p);
+  if(myadmst->_pseudo==admse_instancenode)
+    return adms_instancenode_uid((p_instancenode)myadmst->_item.p);
+  if(myadmst->_pseudo==admse_nilled)
+    return adms_nilled_uid((p_nilled)myadmst->_item.p);
+  if(myadmst->_pseudo==admse_assignment)
+    return adms_assignment_uid((p_assignment)myadmst->_item.p);
+  if(myadmst->_pseudo==admse_contribution)
+    return adms_contribution_uid((p_contribution)myadmst->_item.p);
+  if(myadmst->_pseudo==admse_conditional)
+    return adms_conditional_uid((p_conditional)myadmst->_item.p);
+  if(myadmst->_pseudo==admse_forloop)
+    return adms_forloop_uid((p_forloop)myadmst->_item.p);
+  if(myadmst->_pseudo==admse_whileloop)
+    return adms_whileloop_uid((p_whileloop)myadmst->_item.p);
+  if(myadmst->_pseudo==admse_case)
+    return adms_case_uid((p_case)myadmst->_item.p);
+  if(myadmst->_pseudo==admse_caseitem)
+    return adms_caseitem_uid((p_caseitem)myadmst->_item.p);
+  if(myadmst->_pseudo==admse_blockvariable)
+    return adms_blockvariable_uid((p_blockvariable)myadmst->_item.p);
+  if(myadmst->_pseudo==admse_block)
+    return adms_block_uid((p_block)myadmst->_item.p);
+  if(myadmst->_pseudo==admse_callfunction)
+    return adms_callfunction_uid((p_callfunction)myadmst->_item.p);
+  if(myadmst->_pseudo==admse_evaluation)
+    return adms_evaluation_uid((p_evaluation)myadmst->_item.p);
+  if(myadmst->_pseudo==admse_text)
+    return adms_text_uid((p_text)myadmst->_item.p);
+  if(myadmst->_pseudo==admse_path)
+    return adms_path_uid((p_path)myadmst->_item.p);
+  if(myadmst->_pseudo==admse_ptraverse)
+    return adms_ptraverse_uid((p_ptraverse)myadmst->_item.p);
+  if(myadmst->_pseudo==admse_pparse)
+    return adms_pparse_uid((p_pparse)myadmst->_item.p);
+  if(myadmst->_pseudo==admse_admst)
+    return adms_admst_uid((p_admst)myadmst->_item.p);
+  if(myadmst->_pseudo==admse_transform)
+    return adms_transform_uid((p_transform)myadmst->_item.p);
+  if(myadmst->_pseudo==admse_itransform)
+    return adms_itransform_uid((p_itransform)myadmst->_item.p);
+  if(myadmst->_pseudo==admse_return)
+    return adms_return_uid((p_return)myadmst->_item.p);
+  if(myadmst->_pseudo==admse_attribute)
+    return adms_attribute_uid((p_attribute)myadmst->_item.p);
+  if(myadmst->_pseudo==admse_admstvariable)
+    return adms_admstvariable_uid((p_admstvariable)myadmst->_item.p);
+  if(myadmst->_pseudo==admse_lexval)
+    return adms_lexval_uid((p_lexval)myadmst->_item.p);
+  if(myadmst->_pseudo==admse_yaccval)
+    return adms_yaccval_uid((p_yaccval)myadmst->_item.p);
+  adms_message_fatal_continue(("%s:%i: internal error - should not be reached\n",__FILE__,__LINE__))
+  adms_message_fatal_continue(("%s:%i: please report to r29173 at users.sourceforge.net\n",__FILE__,__LINE__))
+  adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+  return NULL;
+}
+
+const int minusone=-1;
+void deref (p_admst myadmst)
+{
+  myadmst->_refd--;
+  if(myadmst->_refd)
+    return;
+  if(myadmst->_original)
+  {
+    if(myadmst->_hasnewbasicstring==1)
+    {
+      myadmst->_original->_refd--;
+      if(myadmst->_original->_refd==0)
+      {
+        free(myadmst->_original->_item.s);
+        adms_admst_free(myadmst->_original);
+      }
+    }
+    else if(myadmst->_hasnewbasicstring==2)
+    {
+      myadmst->_original->_refd--;
+      if(myadmst->_original->_refd==0)
+      {
+        adms_return_free((p_return)myadmst->_original->_item.p);
+        adms_admst_free(myadmst->_original);
+      }
+    else
+      adms_message_fatal(("internal error"))
+    }
+  }
+  if(myadmst->_hasnewbasicstring==1)
+    free(myadmst->_item.s);
+  else if(myadmst->_hasnewbasicstring==2)
+    adms_return_free((p_return)myadmst->_item.p);
+  adms_admst_free(myadmst);
+}
+#define p_basicstring char*
+#define adms_basicstring_uid(e) ((char*)e)
+static p_admst adms_basicenumeration_xnew (p_ktransform mytransform,p_admst dot,p_slist myinputs[],const int size)
+{
+  if(size!=1)
+  {
+    adms_message_fatal_continue(("admst:new(basicenumeration) bad number of inputs (found %i, expected 1)\n",size))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else if(adms_slist_length(myinputs[0])!=1)
+  {
+    adms_message_fatal_continue(("admst:new(basicenumeration) bad number of element in inputs[0] (found %i, expected 1)\n",adms_slist_length(myinputs[0])))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else
+  {
+    char*s=aprintf(mytransform,(p_admst)(myinputs[0]->data));
+    /*xnew*/p_admst myadmst=adms_admst_newbi(dot,dot,adms_strtol(mytransform,s));
+    free(s);
+    deref((p_admst)myinputs[0]->data);
+    adms_slist_free(myinputs[0]);
+    return myadmst;
+  }
+}
+static p_admst adms_basicinteger_xnew (p_ktransform mytransform,p_admst dot,p_slist myinputs[],const int size)
+{
+  if(size!=1)
+  {
+    adms_message_fatal_continue(("admst:new(basicinteger) bad number of inputs (found %i, expected 1)\n",size))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else if(adms_slist_length(myinputs[0])!=1)
+  {
+    adms_message_fatal_continue(("admst:new(basicinteger) bad number of element in inputs[0] (found %i, expected 1)\n",adms_slist_length(myinputs[0])))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else
+  {
+    char*s=aprintf(mytransform,(p_admst)(myinputs[0]->data));
+    /*xnew*/p_admst myadmst=adms_admst_newbi(dot,dot,adms_strtol(mytransform,s));
+    free(s);
+    deref((p_admst)myinputs[0]->data);
+    adms_slist_free(myinputs[0]);
+    return myadmst;
+  }
+}
+static p_admst adms_basicreal_xnew (p_ktransform mytransform,p_admst dot,p_slist myinputs[],const int size)
+{
+  if(size!=1)
+  {
+    adms_message_fatal_continue(("admst:new(basicreal) bad number of inputs (found %i, expected 1)\n",size))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else if(adms_slist_length(myinputs[0])!=1)
+  {
+    adms_message_fatal_continue(("admst:new(basicreal) bad number of element in inputs[0] (found %i, expected 1)\n",adms_slist_length(myinputs[0])))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else
+  {
+    char*s=aprintf(mytransform,(p_admst)(myinputs[0]->data));
+    /*xnew*/p_admst myadmst=adms_admst_newbr(dot,dot,adms_strtod(mytransform,s));
+    free(s);
+    deref((p_admst)myinputs[0]->data);
+    adms_slist_free(myinputs[0]);
+    return myadmst;
+  }
+}
+static p_admst adms_basicstring_xnew (p_ktransform mytransform,p_admst dot,p_slist myinputs[],const int size)
+{
+  if(size!=1)
+  {
+    adms_message_fatal_continue(("admst:new(basicstring) bad number of inputs (found %i, expected 1)\n",size))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else if(adms_slist_length(myinputs[0])!=1)
+  {
+    adms_message_fatal_continue(("admst:new(basicstring) bad number of element in inputs[0] (found %i, expected 1)\n",adms_slist_length(myinputs[0])))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else
+  {
+    char*s=aprintf(mytransform,(p_admst)(myinputs[0]->data));
+    /*xnew*/p_admst myadmst=adms_admst_newbs(dot,dot,s);
+    deref((p_admst)myinputs[0]->data);
+    adms_slist_free(myinputs[0]);
+    return myadmst;
+  }
+}
+static int adms_basicenumeration_cmp (const char* mybasicenumeration,const char* refbasicenumeration)
+{
+  return (mybasicenumeration!=refbasicenumeration);
+}
+static int adms_basicinteger_cmp (const char* mybasicinteger,const char* refbasicinteger)
+{
+  return (mybasicinteger!=refbasicinteger);
+}
+static int adms_basicreal_cmp (const char* mybasicreal,const char* refbasicreal)
+{
+  return ((mybasicreal-refbasicreal)<0)||((mybasicreal-refbasicreal)>0);
+}
+static int adms_basicstring_cmp (const char* mybasicstring,const char* refbasicstring)
+{
+  return (strcmp(mybasicstring,refbasicstring));
+}
+static int adms_simulator_cmp (p_simulator mysimulator,p_simulator refsimulator)
+{
+  return
+  (
+    strcmp(mysimulator->_name,refsimulator->_name)
+  );
+}
+static int adms_admsmain_cmp (p_admsmain myadmsmain,p_admsmain refadmsmain)
+{
+  return
+  (
+    strcmp(myadmsmain->_name,refadmsmain->_name)
+  );
+}
+static int adms_list_cmp (p_list mylist,p_list reflist)
+{
+  return
+  (
+    strcmp(mylist->_datatype,reflist->_datatype)
+  );
+}
+static int adms_nature_cmp (p_nature mynature,p_nature refnature)
+{
+  return
+  (
+    strcmp(mynature->_access,refnature->_access)
+  );
+}
+static int adms_discipline_cmp (p_discipline mydiscipline,p_discipline refdiscipline)
+{
+  return
+  (
+    strcmp(mydiscipline->_name,refdiscipline->_name)
+  );
+}
+static int adms_module_cmp (p_module mymodule,p_module refmodule)
+{
+  return
+  (
+    strcmp(mymodule->_name,refmodule->_name)
+  );
+}
+static int adms_analogfunction_cmp (p_analogfunction myanalogfunction,p_analogfunction refanalogfunction)
+{
+  return
+  (
+    (myanalogfunction->_module != refanalogfunction->_module)
+    ||
+    strcmp(myanalogfunction->_lexval->_string,refanalogfunction->_lexval->_string)
+  );
+}
+static int adms_nodealias_cmp (p_nodealias mynodealias,p_nodealias refnodealias)
+{
+  return
+  (
+    (mynodealias->_module != refnodealias->_module)
+    ||
+    strcmp(mynodealias->_name,refnodealias->_name)
+  );
+}
+static int adms_node_cmp (p_node mynode,p_node refnode)
+{
+  return
+  (
+    (mynode->_module != refnode->_module)
+    ||
+    strcmp(mynode->_name,refnode->_name)
+  );
+}
+static int adms_branchalias_cmp (p_branchalias mybranchalias,p_branchalias refbranchalias)
+{
+  return
+  (
+    (mybranchalias->_module != refbranchalias->_module)
+    ||
+    strcmp(mybranchalias->_name,refbranchalias->_name)
+  );
+}
+static int adms_branch_cmp (p_branch mybranch,p_branch refbranch)
+{
+  return
+  (
+    (mybranch->_module != refbranch->_module)
+    ||
+    (mybranch->_pnode != refbranch->_pnode)
+    ||
+    (mybranch->_nnode != refbranch->_nnode)
+  );
+}
+static int adms_variableprototype_cmp (p_variableprototype myvariableprototype,p_variableprototype refvariableprototype)
+{
+  return
+  (
+    (myvariableprototype->_module != refvariableprototype->_module)
+    ||
+    strcmp(myvariableprototype->_lexval->_string,refvariableprototype->_lexval->_string)
+    ||
+    (myvariableprototype->_block != refvariableprototype->_block)
+  );
+}
+static int adms_source_cmp (p_source mysource,p_source refsource)
+{
+  return
+  (
+    (mysource->_module != refsource->_module)
+    ||
+    (mysource->_branch != refsource->_branch)
+    ||
+    (mysource->_nature != refsource->_nature)
+  );
+}
+static int adms_range_cmp (p_range myrange,p_range refrange)
+{
+  return
+  (
+    (myrange->_module != refrange->_module)
+    ||
+    (myrange->_infexpr != refrange->_infexpr)
+    ||
+    (myrange->_supexpr != refrange->_supexpr)
+  );
+}
+static int adms_jacobian_cmp (p_jacobian myjacobian,p_jacobian refjacobian)
+{
+  return
+  (
+    (myjacobian->_module != refjacobian->_module)
+    ||
+    (myjacobian->_row != refjacobian->_row)
+    ||
+    (myjacobian->_column != refjacobian->_column)
+  );
+}
+static int adms_analog_cmp (p_analog myanalog,p_analog refanalog)
+{
+  return
+  (
+    (myanalog->_code != refanalog->_code)
+  );
+}
+static int adms_math_cmp (p_math mymath,p_math refmath)
+{
+  return
+  (
+    strcmp(mymath->_name,refmath->_name)
+  );
+}
+static int adms_string_cmp (p_string mystring,p_string refstring)
+{
+  return
+  (
+    strcmp(mystring->_value,refstring->_value)
+  );
+}
+static int adms_subexpression_cmp (p_subexpression mysubexpression,p_subexpression refsubexpression)
+{
+  return
+  (
+    (mysubexpression->_expression != refsubexpression->_expression)
+  );
+}
+static int adms_mapply_unary_cmp (p_mapply_unary mymapply_unary,p_mapply_unary refmapply_unary)
+{
+  return
+  (
+    (mymapply_unary->_name != refmapply_unary->_name)
+    ||
+    (mymapply_unary->_arg1 != refmapply_unary->_arg1)
+  );
+}
+static int adms_mapply_binary_cmp (p_mapply_binary mymapply_binary,p_mapply_binary refmapply_binary)
+{
+  return
+  (
+    (mymapply_binary->_name != refmapply_binary->_name)
+    ||
+    (mymapply_binary->_arg1 != refmapply_binary->_arg1)
+    ||
+    (mymapply_binary->_arg2 != refmapply_binary->_arg2)
+  );
+}
+static int adms_mapply_ternary_cmp (p_mapply_ternary mymapply_ternary,p_mapply_ternary refmapply_ternary)
+{
+  return
+  (
+    (mymapply_ternary->_name != refmapply_ternary->_name)
+    ||
+    (mymapply_ternary->_arg1 != refmapply_ternary->_arg1)
+    ||
+    (mymapply_ternary->_arg2 != refmapply_ternary->_arg2)
+    ||
+    (mymapply_ternary->_arg3 != refmapply_ternary->_arg3)
+  );
+}
+static int adms_number_cmp (p_number mynumber,p_number refnumber)
+{
+  return
+  (
+    strcmp(mynumber->_lexval->_string,refnumber->_lexval->_string)
+  );
+}
+static int adms_function_cmp (p_function myfunction,p_function reffunction)
+{
+  return
+  (
+    strcmp(myfunction->_lexval->_string,reffunction->_lexval->_string)
+    ||
+    (myfunction->_unique_id != reffunction->_unique_id)
+  );
+}
+static int adms_variable_cmp (p_variable myvariable,p_variable refvariable)
+{
+  return
+  (
+    (myvariable->_prototype != refvariable->_prototype)
+  );
+}
+static int adms_array_cmp (p_array myarray,p_array refarray)
+{
+  return
+  (
+    (myarray->_variable != refarray->_variable)
+    ||
+    (myarray->_index != refarray->_index)
+  );
+}
+static int adms_probe_cmp (p_probe myprobe,p_probe refprobe)
+{
+  return
+  (
+    (myprobe->_module != refprobe->_module)
+    ||
+    (myprobe->_branch != refprobe->_branch)
+    ||
+    (myprobe->_nature != refprobe->_nature)
+  );
+}
+static int adms_expression_cmp (p_expression myexpression,p_expression refexpression)
+{
+  return
+  (
+    (myexpression->_module != refexpression->_module)
+    ||
+    (myexpression->_tree != refexpression->_tree)
+  );
+}
+static int adms_instance_cmp (p_instance myinstance,p_instance refinstance)
+{
+  return
+  (
+    (myinstance->_instantiator != refinstance->_instantiator)
+    ||
+    (myinstance->_module != refinstance->_module)
+    ||
+    strcmp(myinstance->_name,refinstance->_name)
+  );
+}
+static int adms_instanceparameter_cmp (p_instanceparameter myinstanceparameter,p_instanceparameter refinstanceparameter)
+{
+  return
+  (
+    (myinstanceparameter->_parameter != refinstanceparameter->_parameter)
+  );
+}
+static int adms_instancenode_cmp (p_instancenode myinstancenode,p_instancenode refinstancenode)
+{
+  return
+  (
+    (myinstancenode->_nodefrominstantiator != refinstancenode->_nodefrominstantiator)
+    ||
+    (myinstancenode->_nodefrommodule != refinstancenode->_nodefrommodule)
+  );
+}
+static int adms_nilled_cmp (p_nilled mynilled,p_nilled refnilled)
+{
+  return
+  (
+    (mynilled->_module != refnilled->_module)
+  );
+}
+static int adms_assignment_cmp (p_assignment myassignment,p_assignment refassignment)
+{
+  return
+  (
+    (myassignment->_module != refassignment->_module)
+    ||
+    (myassignment->_lhs != refassignment->_lhs)
+    ||
+    (myassignment->_rhs != refassignment->_rhs)
+    ||
+    strcmp(myassignment->_lexval->_string,refassignment->_lexval->_string)
+  );
+}
+static int adms_contribution_cmp (p_contribution mycontribution,p_contribution refcontribution)
+{
+  return
+  (
+    (mycontribution->_module != refcontribution->_module)
+    ||
+    (mycontribution->_lhs != refcontribution->_lhs)
+    ||
+    (mycontribution->_rhs != refcontribution->_rhs)
+    ||
+    strcmp(mycontribution->_lexval->_string,refcontribution->_lexval->_string)
+  );
+}
+static int adms_conditional_cmp (p_conditional myconditional,p_conditional refconditional)
+{
+  return
+  (
+    (myconditional->_module != refconditional->_module)
+    ||
+    (myconditional->_if != refconditional->_if)
+    ||
+    (myconditional->_then != refconditional->_then)
+    ||
+    (myconditional->_else != refconditional->_else)
+  );
+}
+static int adms_forloop_cmp (p_forloop myforloop,p_forloop refforloop)
+{
+  return
+  (
+    (myforloop->_module != refforloop->_module)
+    ||
+    (myforloop->_initial != refforloop->_initial)
+    ||
+    (myforloop->_condition != refforloop->_condition)
+    ||
+    (myforloop->_update != refforloop->_update)
+    ||
+    (myforloop->_forblock != refforloop->_forblock)
+  );
+}
+static int adms_whileloop_cmp (p_whileloop mywhileloop,p_whileloop refwhileloop)
+{
+  return
+  (
+    (mywhileloop->_module != refwhileloop->_module)
+    ||
+    (mywhileloop->_while != refwhileloop->_while)
+    ||
+    (mywhileloop->_whileblock != refwhileloop->_whileblock)
+  );
+}
+static int adms_case_cmp (p_case mycase,p_case refcase)
+{
+  return
+  (
+    (mycase->_module != refcase->_module)
+    ||
+    (mycase->_case != refcase->_case)
+  );
+}
+static int adms_caseitem_cmp (p_caseitem mycaseitem,p_caseitem refcaseitem)
+{
+  return
+  (
+    (mycaseitem->_code != refcaseitem->_code)
+  );
+}
+static int adms_blockvariable_cmp (p_blockvariable myblockvariable,p_blockvariable refblockvariable)
+{
+  return
+  (
+    (myblockvariable->_block != refblockvariable->_block)
+  );
+}
+static int adms_block_cmp (p_block myblock,p_block refblock)
+{
+  return
+  (
+    (myblock->_module != refblock->_module)
+    ||
+    strcmp(myblock->_lexval->_string,refblock->_lexval->_string)
+    ||
+    (myblock->_block != refblock->_block)
+    ||
+    (myblock->_item != refblock->_item)
+  );
+}
+static int adms_callfunction_cmp (p_callfunction mycallfunction,p_callfunction refcallfunction)
+{
+  return
+  (
+    (mycallfunction->_module != refcallfunction->_module)
+    ||
+    (mycallfunction->_function != refcallfunction->_function)
+  );
+}
+static int adms_evaluation_cmp (p_evaluation myevaluation,p_evaluation refevaluation)
+{
+  return
+  (
+    1
+  );
+}
+static int adms_attribute_cmp (p_attribute myattribute,p_attribute refattribute)
+{
+  return
+  (
+    strcmp(myattribute->_name,refattribute->_name)
+  );
+}
+static int adms_lexval_cmp (p_lexval mylexval,p_lexval reflexval)
+{
+  return
+  (
+    strcmp(mylexval->_string,reflexval->_string)
+    ||
+    strcmp(mylexval->_f,reflexval->_f)
+    ||
+    (mylexval->_l != reflexval->_l)
+    ||
+    (mylexval->_c != reflexval->_c)
+  );
+}
+
+/* ------- adms -------------- */
+void adms_adms_free (p_adms myadms)
+{
+  if(!myadms)
+    return;
+  adms_slist_free(myadms->_attribute);
+  adms_slist_free(myadms->_variable);
+  free(myadms);
+}
+
+void adms_adms_valueto_datatypename (p_adms myadms,int _datatypename)
+{
+  myadms->_datatypename=_datatypename;
+}
+
+void adms_adms_valueto_attribute (p_adms myadms,p_slist _attribute)
+{
+  myadms->_attribute=_attribute;
+}
+
+void adms_adms_valueto_variable (p_adms myadms,p_slist _variable)
+{
+  myadms->_variable=_variable;
+}
+
+/* ------- simulator -------------- */
+void adms_simulator_free (p_simulator mysimulator)
+{
+  if(!mysimulator)
+    return;
+  free(mysimulator->_name);
+  free(mysimulator->_currentdate);
+  free(mysimulator->_developer);
+  free(mysimulator->_fullname);
+  free(mysimulator->_package_name);
+  free(mysimulator->_package_tarname);
+  free(mysimulator->_package_version);
+  free(mysimulator->_package_string);
+  free(mysimulator->_package_bugreport);
+  free(mysimulator->_tmp);
+  free(mysimulator->_tmp1);
+  free(mysimulator->_ddx);
+  free(mysimulator);
+}
+
+void adms_simulator_valueto_name (p_simulator mysimulator,const char* _name)
+{
+  mysimulator->_name=adms_kclone(_name);
+  mysimulator->_name_isdefault=0;
+}
+
+void adms_simulator_valueto_currentdate (p_simulator mysimulator,const char* _currentdate)
+{
+  mysimulator->_currentdate=adms_kclone(_currentdate);
+  mysimulator->_currentdate_isdefault=0;
+}
+
+void adms_simulator_valueto_developer (p_simulator mysimulator,const char* _developer)
+{
+  mysimulator->_developer=adms_kclone(_developer);
+  mysimulator->_developer_isdefault=0;
+}
+
+void adms_simulator_valueto_fullname (p_simulator mysimulator,const char* _fullname)
+{
+  mysimulator->_fullname=adms_kclone(_fullname);
+  mysimulator->_fullname_isdefault=0;
+}
+
+void adms_simulator_valueto_package_name (p_simulator mysimulator,const char* _package_name)
+{
+  mysimulator->_package_name=adms_kclone(_package_name);
+  mysimulator->_package_name_isdefault=0;
+}
+
+void adms_simulator_valueto_package_tarname (p_simulator mysimulator,const char* _package_tarname)
+{
+  mysimulator->_package_tarname=adms_kclone(_package_tarname);
+  mysimulator->_package_tarname_isdefault=0;
+}
+
+void adms_simulator_valueto_package_version (p_simulator mysimulator,const char* _package_version)
+{
+  mysimulator->_package_version=adms_kclone(_package_version);
+  mysimulator->_package_version_isdefault=0;
+}
+
+void adms_simulator_valueto_package_string (p_simulator mysimulator,const char* _package_string)
+{
+  mysimulator->_package_string=adms_kclone(_package_string);
+  mysimulator->_package_string_isdefault=0;
+}
+
+void adms_simulator_valueto_package_bugreport (p_simulator mysimulator,const char* _package_bugreport)
+{
+  mysimulator->_package_bugreport=adms_kclone(_package_bugreport);
+  mysimulator->_package_bugreport_isdefault=0;
+}
+
+void adms_simulator_valueto_probe (p_simulator mysimulator,p_probe _probe)
+{
+  mysimulator->_probe=_probe;
+  mysimulator->_probe_isdefault=0;
+}
+
+void adms_simulator_valueto_tmp (p_simulator mysimulator,const char* _tmp)
+{
+  mysimulator->_tmp=adms_kclone(_tmp);
+  mysimulator->_tmp_isdefault=0;
+}
+
+void adms_simulator_valueto_tmp1 (p_simulator mysimulator,const char* _tmp1)
+{
+  mysimulator->_tmp1=adms_kclone(_tmp1);
+  mysimulator->_tmp1_isdefault=0;
+}
+
+void adms_simulator_valueto_br1 (p_simulator mysimulator,p_branch _br1)
+{
+  mysimulator->_br1=_br1;
+  mysimulator->_br1_isdefault=0;
+}
+
+void adms_simulator_valueto_br2 (p_simulator mysimulator,p_branch _br2)
+{
+  mysimulator->_br2=_br2;
+  mysimulator->_br2_isdefault=0;
+}
+
+void adms_simulator_valueto_sr1 (p_simulator mysimulator,p_source _sr1)
+{
+  mysimulator->_sr1=_sr1;
+  mysimulator->_sr1_isdefault=0;
+}
+
+void adms_simulator_valueto_ddx (p_simulator mysimulator,const char* _ddx)
+{
+  mysimulator->_ddx=adms_kclone(_ddx);
+  mysimulator->_ddx_isdefault=0;
+}
+p_simulator adms_simulator_new (const char* myname)
+{
+  p_simulator mynewsimulator=(p_simulator) calloc(1,(size_t)sizeof(t_simulator));
+  mynewsimulator->_adms._datatypename=admse_simulator;
+  mynewsimulator->_name=adms_kclone(myname);
+  mynewsimulator->_name_isdefault=1;
+  mynewsimulator->_currentdate_isdefault=-1;
+  mynewsimulator->_developer_isdefault=-1;
+  mynewsimulator->_fullname_isdefault=-1;
+  mynewsimulator->_package_name_isdefault=-1;
+  mynewsimulator->_package_tarname_isdefault=-1;
+  mynewsimulator->_package_version_isdefault=-1;
+  mynewsimulator->_package_string_isdefault=-1;
+  mynewsimulator->_package_bugreport_isdefault=-1;
+  mynewsimulator->_probe_isdefault=-1;
+  mynewsimulator->_tmp=adms_kclone("");
+  mynewsimulator->_tmp_isdefault=1;
+  mynewsimulator->_tmp1=adms_kclone("");
+  mynewsimulator->_tmp1_isdefault=1;
+  mynewsimulator->_br1_isdefault=-1;
+  mynewsimulator->_br2_isdefault=-1;
+  mynewsimulator->_sr1_isdefault=-1;
+  mynewsimulator->_ddx=adms_kclone("");
+  mynewsimulator->_ddx_isdefault=1;
+  return mynewsimulator;
+}
+char*adms_simulator_uid (p_simulator mynewsimulator)
+{
+  char*myuid=NULL;
+  adms_k2strconcat(&myuid,mynewsimulator->_name);
+  return myuid;
+}
+static p_admst adms_simulator_xnew (p_ktransform mytransform,p_admst dot,p_slist myinputs[],const int size)
+{
+  if(size!=1)
+  {
+    adms_message_fatal_continue(("admst:new(simulator) bad number of inputs (found %i, expected 1)\n",size))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else
+  {
+    char* myname=aprintf(mytransform,(p_admst)myinputs[0]->data);
+    /*xnew*/p_admst myadmst=adms_admst_newpa(dot,dot,(p_adms)adms_simulator_new(myname));
+    free(myname);
+    return myadmst;
+  }
+}
+
+/* ------- admsmain -------------- */
+void adms_admsmain_free (p_admsmain myadmsmain)
+{
+  if(!myadmsmain)
+    return;
+  free(myadmsmain->_name);
+  free(myadmsmain->_fullfilename);
+  free(myadmsmain->_filename);
+  free(myadmsmain->_curfilename);
+  adms_slist_free(myadmsmain->_module);
+  adms_slist_free(myadmsmain->_discipline);
+  adms_slist_free(myadmsmain->_nature);
+  adms_slist_free(myadmsmain->_variable);
+  adms_slist_free(myadmsmain->_argv);
+  adms_slist_free(myadmsmain->_transform);
+  adms_slist_free(myadmsmain->_invtransform);
+  adms_slist_free(myadmsmain->_itransform);
+  adms_slist_free(myadmsmain->_itransforminsideapplytemplate);
+  adms_slist_free(myadmsmain->_valueof);
+  free(myadmsmain);
+}
+
+void adms_admsmain_valueto_name (p_admsmain myadmsmain,const char* _name)
+{
+  myadmsmain->_name=adms_kclone(_name);
+  myadmsmain->_name_isdefault=0;
+}
+
+void adms_admsmain_valueto_argc (p_admsmain myadmsmain,int _argc)
+{
+  myadmsmain->_argc=_argc;
+  myadmsmain->_argc_isdefault=0;
+}
+
+void adms_admsmain_valueto_fullfilename (p_admsmain myadmsmain,const char* _fullfilename)
+{
+  myadmsmain->_fullfilename=adms_kclone(_fullfilename);
+  myadmsmain->_fullfilename_isdefault=0;
+}
+
+void adms_admsmain_valueto_filename (p_admsmain myadmsmain,const char* _filename)
+{
+  myadmsmain->_filename=adms_kclone(_filename);
+  myadmsmain->_filename_isdefault=0;
+}
+
+void adms_admsmain_valueto_curfilename (p_admsmain myadmsmain,const char* _curfilename)
+{
+  myadmsmain->_curfilename=adms_kclone(_curfilename);
+  myadmsmain->_curfilename_isdefault=0;
+}
+
+void adms_admsmain_valueto_curline (p_admsmain myadmsmain,int _curline)
+{
+  myadmsmain->_curline=_curline;
+  myadmsmain->_curline_isdefault=0;
+}
+
+void adms_admsmain_valueto_fpos (p_admsmain myadmsmain,int _fpos)
+{
+  myadmsmain->_fpos=_fpos;
+  myadmsmain->_fpos_isdefault=0;
+}
+
+void adms_admsmain_valueto_simulator (p_admsmain myadmsmain,p_simulator _simulator)
+{
+  myadmsmain->_simulator=_simulator;
+  myadmsmain->_simulator_isdefault=0;
+}
+
+void adms_admsmain_valueto_info (p_admsmain myadmsmain,admse _info)
+{
+  myadmsmain->_info=_info;
+  myadmsmain->_info_isdefault=0;
+}
+
+void adms_admsmain_valueto_usage (p_admsmain myadmsmain,admse _usage)
+{
+  myadmsmain->_usage=_usage;
+  myadmsmain->_usage_isdefault=0;
+}
+
+void adms_admsmain_valueto_verbose (p_admsmain myadmsmain,admse _verbose)
+{
+  myadmsmain->_verbose=_verbose;
+  myadmsmain->_verbose_isdefault=0;
+}
+
+void adms_admsmain_valueto_hint (p_admsmain myadmsmain,admse _hint)
+{
+  myadmsmain->_hint=_hint;
+  myadmsmain->_hint_isdefault=0;
+}
+
+void adms_admsmain_valueto_warning (p_admsmain myadmsmain,admse _warning)
+{
+  myadmsmain->_warning=_warning;
+  myadmsmain->_warning_isdefault=0;
+}
+
+void adms_admsmain_valueto_obsolete (p_admsmain myadmsmain,admse _obsolete)
+{
+  myadmsmain->_obsolete=_obsolete;
+  myadmsmain->_obsolete_isdefault=0;
+}
+
+void adms_admsmain_valueto_debug (p_admsmain myadmsmain,admse _debug)
+{
+  myadmsmain->_debug=_debug;
+  myadmsmain->_debug_isdefault=0;
+}
+
+void adms_admsmain_valueto_dbg_vla (p_admsmain myadmsmain,admse _dbg_vla)
+{
+  myadmsmain->_dbg_vla=_dbg_vla;
+  myadmsmain->_dbg_vla_isdefault=0;
+}
+
+void adms_admsmain_valueto_dbg_xml (p_admsmain myadmsmain,admse _dbg_xml)
+{
+  myadmsmain->_dbg_xml=_dbg_xml;
+  myadmsmain->_dbg_xml_isdefault=0;
+}
+
+void adms_admsmain_valueto_error (p_admsmain myadmsmain,admse _error)
+{
+  myadmsmain->_error=_error;
+  myadmsmain->_error_isdefault=0;
+}
+
+void adms_admsmain_valueto_fatal (p_admsmain myadmsmain,admse _fatal)
+{
+  myadmsmain->_fatal=_fatal;
+  myadmsmain->_fatal_isdefault=0;
+}
+
+void adms_admsmain_valueto_dbg_mem (p_admsmain myadmsmain,admse _dbg_mem)
+{
+  myadmsmain->_dbg_mem=_dbg_mem;
+  myadmsmain->_dbg_mem_isdefault=0;
+}
+
+void adms_admsmain_valueto_module (p_admsmain myadmsmain,p_slist _module)
+{
+  myadmsmain->_module=_module;
+  myadmsmain->_module_isdefault=0;
+}
+p_module adms_admsmain_list_module_prepend_by_id_once_or_abort (p_admsmain mymyadmsmain,const char* myname)
+{
+  p_slist list=mymyadmsmain->_module;
+  p_module refmodule=adms_module_new(myname);
+  while(list)
+  {
+    if(!adms_module_cmp((p_module)list->data,refmodule))
+    {
+      adms_message_fatal(("admsmain=[%s] module=[%s] already defined\n",
+        adms_admsmain_uid(mymyadmsmain),adms_module_uid(refmodule)))
+      adms_module_free (refmodule);
+    }
+    list=list->next;
+  }
+  adms_slist_push(&mymyadmsmain->_module,(p_adms)refmodule);
+  return refmodule;
+}
+p_module adms_admsmain_list_module_lookup_by_id (p_admsmain mymyadmsmain,const char* myname)
+{
+  p_slist list=mymyadmsmain->_module;
+  while(list) {
+    p_module refmodule=(p_module)list->data;
+    if(!strcmp(refmodule->_name,myname))
+      return refmodule;
+    list=list->next;
+  }
+  return NULL;
+}
+
+void adms_admsmain_valueto_discipline (p_admsmain myadmsmain,p_slist _discipline)
+{
+  myadmsmain->_discipline=_discipline;
+  myadmsmain->_discipline_isdefault=0;
+}
+void adms_admsmain_list_discipline_prepend_once_or_abort (p_admsmain mymyadmsmain,p_discipline mydiscipline)
+{
+  p_slist list=mymyadmsmain->_discipline;
+  while(list)
+  {
+    if(!adms_discipline_cmp((p_discipline)list->data,mydiscipline))
+    {
+      adms_message_fatal(("admsmain=[%s] discipline=[%s] already defined\n",
+        adms_admsmain_uid(mymyadmsmain),adms_discipline_uid(mydiscipline)))
+      return;
+    }
+    list=list->next;
+  }
+  adms_slist_push(&mymyadmsmain->_discipline,(p_adms)mydiscipline);
+}
+p_discipline adms_admsmain_list_discipline_lookup_by_id (p_admsmain mymyadmsmain,const char* myname)
+{
+  p_slist list=mymyadmsmain->_discipline;
+  while(list) {
+    p_discipline refdiscipline=(p_discipline)list->data;
+    if(!strcmp(refdiscipline->_name,myname))
+      return refdiscipline;
+    list=list->next;
+  }
+  return NULL;
+}
+
+void adms_admsmain_valueto_nature (p_admsmain myadmsmain,p_slist _nature)
+{
+  myadmsmain->_nature=_nature;
+  myadmsmain->_nature_isdefault=0;
+}
+p_nature adms_admsmain_list_nature_prepend_by_id_once_or_abort (p_admsmain mymyadmsmain,const char* myaccess)
+{
+  p_slist list=mymyadmsmain->_nature;
+  p_nature refnature=adms_nature_new(myaccess);
+  while(list)
+  {
+    if(!adms_nature_cmp((p_nature)list->data,refnature))
+    {
+      adms_message_fatal(("admsmain=[%s] nature=[%s] already defined\n",
+        adms_admsmain_uid(mymyadmsmain),adms_nature_uid(refnature)))
+      adms_nature_free (refnature);
+    }
+    list=list->next;
+  }
+  adms_slist_push(&mymyadmsmain->_nature,(p_adms)refnature);
+  return refnature;
+}
+p_nature adms_admsmain_list_nature_lookup_by_id (p_admsmain mymyadmsmain,const char* myaccess)
+{
+  p_slist list=mymyadmsmain->_nature;
+  while(list) {
+    p_nature refnature=(p_nature)list->data;
+    if(!strcmp(refnature->_access,myaccess))
+      return refnature;
+    list=list->next;
+  }
+  return NULL;
+}
+
+void adms_admsmain_valueto_variable (p_admsmain myadmsmain,p_slist _variable)
+{
+  myadmsmain->_variable=_variable;
+  myadmsmain->_variable_isdefault=0;
+}
+
+void adms_admsmain_valueto_argv (p_admsmain myadmsmain,p_slist _argv)
+{
+  myadmsmain->_argv=_argv;
+  myadmsmain->_argv_isdefault=0;
+}
+
+void adms_admsmain_valueto_transform (p_admsmain myadmsmain,p_slist _transform)
+{
+  myadmsmain->_transform=_transform;
+  myadmsmain->_transform_isdefault=0;
+}
+
+void adms_admsmain_valueto_invtransform (p_admsmain myadmsmain,p_slist _invtransform)
+{
+  myadmsmain->_invtransform=_invtransform;
+  myadmsmain->_invtransform_isdefault=0;
+}
+
+void adms_admsmain_valueto_itransform (p_admsmain myadmsmain,p_slist _itransform)
+{
+  myadmsmain->_itransform=_itransform;
+  myadmsmain->_itransform_isdefault=0;
+}
+
+void adms_admsmain_valueto_itransforminsideapplytemplate (p_admsmain myadmsmain,p_slist _itransforminsideapplytemplate)
+{
+  myadmsmain->_itransforminsideapplytemplate=_itransforminsideapplytemplate;
+  myadmsmain->_itransforminsideapplytemplate_isdefault=0;
+}
+
+void adms_admsmain_valueto_valueof (p_admsmain myadmsmain,p_slist _valueof)
+{
+  myadmsmain->_valueof=_valueof;
+  myadmsmain->_valueof_isdefault=0;
+}
+p_admsmain adms_admsmain_new (const char* myname)
+{
+  p_admsmain mynewadmsmain=(p_admsmain) calloc(1,(size_t)sizeof(t_admsmain));
+  mynewadmsmain->_adms._datatypename=admse_admsmain;
+  mynewadmsmain->_name=adms_kclone(myname);
+  mynewadmsmain->_name_isdefault=1;
+  mynewadmsmain->_argc_isdefault=-1;
+  mynewadmsmain->_fullfilename_isdefault=-1;
+  mynewadmsmain->_filename_isdefault=-1;
+  mynewadmsmain->_curfilename_isdefault=-1;
+  mynewadmsmain->_curline_isdefault=-1;
+  mynewadmsmain->_fpos_isdefault=-1;
+  mynewadmsmain->_simulator_isdefault=-1;
+  mynewadmsmain->_info=admse_yes;
+  mynewadmsmain->_info_isdefault=1;
+  mynewadmsmain->_usage=admse_yes;
+  mynewadmsmain->_usage_isdefault=1;
+  mynewadmsmain->_verbose=admse_no;
+  mynewadmsmain->_verbose_isdefault=1;
+  mynewadmsmain->_hint=admse_no;
+  mynewadmsmain->_hint_isdefault=1;
+  mynewadmsmain->_warning=admse_yes;
+  mynewadmsmain->_warning_isdefault=1;
+  mynewadmsmain->_obsolete=admse_yes;
+  mynewadmsmain->_obsolete_isdefault=1;
+  mynewadmsmain->_debug=admse_no;
+  mynewadmsmain->_debug_isdefault=1;
+  mynewadmsmain->_dbg_vla=admse_no;
+  mynewadmsmain->_dbg_vla_isdefault=1;
+  mynewadmsmain->_dbg_xml=admse_no;
+  mynewadmsmain->_dbg_xml_isdefault=1;
+  mynewadmsmain->_error=admse_yes;
+  mynewadmsmain->_error_isdefault=1;
+  mynewadmsmain->_fatal=admse_yes;
+  mynewadmsmain->_fatal_isdefault=1;
+  mynewadmsmain->_dbg_mem=admse_no;
+  mynewadmsmain->_dbg_mem_isdefault=1;
+  mynewadmsmain->_module_isdefault=-1;
+  mynewadmsmain->_discipline_isdefault=-1;
+  mynewadmsmain->_nature_isdefault=-1;
+  mynewadmsmain->_variable_isdefault=-1;
+  mynewadmsmain->_argv_isdefault=-1;
+  mynewadmsmain->_transform_isdefault=-1;
+  mynewadmsmain->_invtransform_isdefault=-1;
+  mynewadmsmain->_itransform_isdefault=-1;
+  mynewadmsmain->_itransforminsideapplytemplate_isdefault=-1;
+  mynewadmsmain->_valueof_isdefault=-1;
+  return mynewadmsmain;
+}
+char*adms_admsmain_uid (p_admsmain mynewadmsmain)
+{
+  char*myuid=NULL;
+  adms_k2strconcat(&myuid,mynewadmsmain->_name);
+  return myuid;
+}
+static p_admst adms_admsmain_xnew (p_ktransform mytransform,p_admst dot,p_slist myinputs[],const int size)
+{
+  if(size!=1)
+  {
+    adms_message_fatal_continue(("admst:new(admsmain) bad number of inputs (found %i, expected 1)\n",size))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else
+  {
+    char* myname=aprintf(mytransform,(p_admst)myinputs[0]->data);
+    /*xnew*/p_admst myadmst=adms_admst_newpa(dot,dot,(p_adms)adms_admsmain_new(myname));
+    free(myname);
+    return myadmst;
+  }
+}
+
+/* ------- list -------------- */
+void adms_list_free (p_list mylist)
+{
+  if(!mylist)
+    return;
+  free(mylist->_datatype);
+  adms_slist_free(mylist->_item);
+  free(mylist);
+}
+
+void adms_list_valueto_datatype (p_list mylist,const char* _datatype)
+{
+  mylist->_datatype=adms_kclone(_datatype);
+  mylist->_datatype_isdefault=0;
+}
+
+void adms_list_valueto_item (p_list mylist,p_slist _item)
+{
+  mylist->_item=_item;
+  mylist->_item_isdefault=0;
+}
+p_list adms_list_new (const char* mydatatype)
+{
+  p_list mynewlist=(p_list) calloc(1,(size_t)sizeof(t_list));
+  mynewlist->_adms._datatypename=admse_list;
+  mynewlist->_datatype=adms_kclone(mydatatype);
+  mynewlist->_datatype_isdefault=1;
+  mynewlist->_item_isdefault=-1;
+  return mynewlist;
+}
+char*adms_list_uid (p_list mynewlist)
+{
+  char*myuid=NULL;
+  adms_k2strconcat(&myuid,mynewlist->_datatype);
+  return myuid;
+}
+static p_admst adms_list_xnew (p_ktransform mytransform,p_admst dot,p_slist myinputs[],const int size)
+{
+  if(size!=1)
+  {
+    adms_message_fatal_continue(("admst:new(list) bad number of inputs (found %i, expected 1)\n",size))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else
+  {
+    char* mydatatype=aprintf(mytransform,(p_admst)myinputs[0]->data);
+    /*xnew*/p_admst myadmst=adms_admst_newpa(dot,dot,(p_adms)adms_list_new(mydatatype));
+    free(mydatatype);
+    return myadmst;
+  }
+}
+
+/* ------- nature -------------- */
+void adms_nature_free (p_nature mynature)
+{
+  if(!mynature)
+    return;
+  free(mynature->_access);
+  free(mynature->_name);
+  free(mynature->_ddt_name);
+  free(mynature->_idt_name);
+  free(mynature->_units);
+  free(mynature);
+}
+
+void adms_nature_valueto_access (p_nature mynature,const char* _access)
+{
+  mynature->_access=adms_kclone(_access);
+  mynature->_access_isdefault=0;
+}
+
+void adms_nature_valueto_name (p_nature mynature,const char* _name)
+{
+  mynature->_name=adms_kclone(_name);
+  mynature->_name_isdefault=0;
+}
+
+void adms_nature_valueto_abstol (p_nature mynature,p_number _abstol)
+{
+  mynature->_abstol=_abstol;
+  mynature->_abstol_isdefault=0;
+}
+
+void adms_nature_valueto_base (p_nature mynature,p_nature _base)
+{
+  mynature->_base=_base;
+  mynature->_base_isdefault=0;
+}
+
+void adms_nature_valueto_ddt_name (p_nature mynature,const char* _ddt_name)
+{
+  mynature->_ddt_name=adms_kclone(_ddt_name);
+  mynature->_ddt_name_isdefault=0;
+}
+
+void adms_nature_valueto_ddt_nature (p_nature mynature,p_nature _ddt_nature)
+{
+  mynature->_ddt_nature=_ddt_nature;
+  mynature->_ddt_nature_isdefault=0;
+}
+
+void adms_nature_valueto_idt_name (p_nature mynature,const char* _idt_name)
+{
+  mynature->_idt_name=adms_kclone(_idt_name);
+  mynature->_idt_name_isdefault=0;
+}
+
+void adms_nature_valueto_idt_nature (p_nature mynature,p_nature _idt_nature)
+{
+  mynature->_idt_nature=_idt_nature;
+  mynature->_idt_nature_isdefault=0;
+}
+
+void adms_nature_valueto_units (p_nature mynature,const char* _units)
+{
+  mynature->_units=adms_kclone(_units);
+  mynature->_units_isdefault=0;
+}
+p_nature adms_nature_new (const char* myaccess)
+{
+  p_nature mynewnature=(p_nature) calloc(1,(size_t)sizeof(t_nature));
+  mynewnature->_adms._datatypename=admse_nature;
+  mynewnature->_access=adms_kclone(myaccess);
+  mynewnature->_access_isdefault=1;
+  mynewnature->_name_isdefault=-1;
+  mynewnature->_abstol_isdefault=-1;
+  mynewnature->_base_isdefault=-1;
+  mynewnature->_ddt_name_isdefault=-1;
+  mynewnature->_ddt_nature_isdefault=-1;
+  mynewnature->_idt_name_isdefault=-1;
+  mynewnature->_idt_nature_isdefault=-1;
+  mynewnature->_units_isdefault=-1;
+  return mynewnature;
+}
+char*adms_nature_uid (p_nature mynewnature)
+{
+  char*myuid=NULL;
+  adms_k2strconcat(&myuid,mynewnature->_access);
+  return myuid;
+}
+static p_admst adms_nature_xnew (p_ktransform mytransform,p_admst dot,p_slist myinputs[],const int size)
+{
+  if(size!=1)
+  {
+    adms_message_fatal_continue(("admst:new(nature) bad number of inputs (found %i, expected 1)\n",size))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else
+  {
+    char* myaccess=aprintf(mytransform,(p_admst)myinputs[0]->data);
+    /*xnew*/p_admst myadmst=adms_admst_newpa(dot,dot,(p_adms)adms_nature_new(myaccess));
+    free(myaccess);
+    return myadmst;
+  }
+}
+
+/* ------- discipline -------------- */
+void adms_discipline_free (p_discipline mydiscipline)
+{
+  if(!mydiscipline)
+    return;
+  free(mydiscipline->_name);
+  free(mydiscipline);
+}
+
+void adms_discipline_valueto_name (p_discipline mydiscipline,const char* _name)
+{
+  mydiscipline->_name=adms_kclone(_name);
+  mydiscipline->_name_isdefault=0;
+}
+
+void adms_discipline_valueto_flow (p_discipline mydiscipline,p_nature _flow)
+{
+  mydiscipline->_flow=_flow;
+  mydiscipline->_flow_isdefault=0;
+}
+
+void adms_discipline_valueto_potential (p_discipline mydiscipline,p_nature _potential)
+{
+  mydiscipline->_potential=_potential;
+  mydiscipline->_potential_isdefault=0;
+}
+
+void adms_discipline_valueto_domain (p_discipline mydiscipline,admse _domain)
+{
+  mydiscipline->_domain=_domain;
+  mydiscipline->_domain_isdefault=0;
+}
+p_discipline adms_discipline_new (const char* myname)
+{
+  p_discipline mynewdiscipline=(p_discipline) calloc(1,(size_t)sizeof(t_discipline));
+  mynewdiscipline->_adms._datatypename=admse_discipline;
+  mynewdiscipline->_name=adms_kclone(myname);
+  mynewdiscipline->_name_isdefault=1;
+  mynewdiscipline->_flow_isdefault=-1;
+  mynewdiscipline->_potential_isdefault=-1;
+  mynewdiscipline->_domain=admse_continuous;
+  mynewdiscipline->_domain_isdefault=1;
+  return mynewdiscipline;
+}
+char*adms_discipline_uid (p_discipline mynewdiscipline)
+{
+  char*myuid=NULL;
+  adms_k2strconcat(&myuid,mynewdiscipline->_name);
+  return myuid;
+}
+static p_admst adms_discipline_xnew (p_ktransform mytransform,p_admst dot,p_slist myinputs[],const int size)
+{
+  if(size!=1)
+  {
+    adms_message_fatal_continue(("admst:new(discipline) bad number of inputs (found %i, expected 1)\n",size))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else
+  {
+    char* myname=aprintf(mytransform,(p_admst)myinputs[0]->data);
+    /*xnew*/p_admst myadmst=adms_admst_newpa(dot,dot,(p_adms)adms_discipline_new(myname));
+    free(myname);
+    return myadmst;
+  }
+}
+
+/* ------- module -------------- */
+void adms_module_free (p_module mymodule)
+{
+  if(!mymodule)
+    return;
+  free(mymodule->_name);
+  adms_slist_free(mymodule->_node);
+  adms_slist_free(mymodule->_nodealias);
+  adms_slist_free(mymodule->_branch);
+  adms_slist_free(mymodule->_branchalias);
+  adms_slist_free(mymodule->_analogfunction);
+  adms_slist_free(mymodule->_instance);
+  adms_slist_free(mymodule->_variable);
+  adms_slist_free(mymodule->_block);
+  adms_slist_free(mymodule->_blockvariable);
+  adms_slist_free(mymodule->_assignment);
+  adms_slist_free(mymodule->_callfunction);
+  adms_slist_free(mymodule->_contribution);
+  adms_slist_free(mymodule->_conditional);
+  adms_slist_free(mymodule->_case);
+  adms_slist_free(mymodule->_forloop);
+  adms_slist_free(mymodule->_whileloop);
+  adms_slist_free(mymodule->_instantiator);
+  adms_slist_free(mymodule->_expression);
+  adms_slist_free(mymodule->_jacobian);
+  adms_slist_free(mymodule->_probe);
+  adms_slist_free(mymodule->_source);
+  adms_slist_free(mymodule->_range);
+  adms_slist_free(mymodule->_attribute);
+  free(mymodule);
+}
+
+void adms_module_valueto_name (p_module mymodule,const char* _name)
+{
+  mymodule->_name=adms_kclone(_name);
+  mymodule->_name_isdefault=0;
+}
+
+void adms_module_valueto_node (p_module mymodule,p_slist _node)
+{
+  mymodule->_node=_node;
+  mymodule->_node_isdefault=0;
+}
+p_node adms_module_list_node_prepend_by_id_once_or_ignore (p_module mymymodule,p_module mymodule,const char* myname)
+{
+  p_slist list=mymymodule->_node;
+  p_node refnode=adms_node_new(mymodule,myname);
+  while(list)
+  {
+    if(!adms_node_cmp((p_node)list->data,refnode))
+    {
+      adms_node_free (refnode);
+      return (p_node)list->data;
+    }
+    list=list->next;
+  }
+  adms_slist_push(&mymymodule->_node,(p_adms)refnode);
+  return refnode;
+}
+p_node adms_module_list_node_prepend_by_id_once_or_abort (p_module mymymodule,p_module mymodule,const char* myname)
+{
+  p_slist list=mymymodule->_node;
+  p_node refnode=adms_node_new(mymodule,myname);
+  while(list)
+  {
+    if(!adms_node_cmp((p_node)list->data,refnode))
+    {
+      adms_message_fatal(("module=[%s] node=[%s] already defined\n",
+        adms_module_uid(mymymodule),adms_node_uid(refnode)))
+      adms_node_free (refnode);
+    }
+    list=list->next;
+  }
+  adms_slist_push(&mymymodule->_node,(p_adms)refnode);
+  return refnode;
+}
+p_node adms_module_list_node_lookup_by_id (p_module mymymodule,p_module mymodule,const char* myname)
+{
+  p_slist list=mymymodule->_node;
+  while(list) {
+    p_node refnode=(p_node)list->data;
+    if((refnode->_module==mymodule)&&
+       !strcmp(refnode->_name,myname))
+      return refnode;
+    list=list->next;
+  }
+  return NULL;
+}
+
+void adms_module_valueto_nodealias (p_module mymodule,p_slist _nodealias)
+{
+  mymodule->_nodealias=_nodealias;
+  mymodule->_nodealias_isdefault=0;
+}
+p_nodealias adms_module_list_nodealias_prepend_by_id_once_or_ignore (p_module mymymodule,p_module mymodule,const char* myname)
+{
+  p_slist list=mymymodule->_nodealias;
+  p_nodealias refnodealias=adms_nodealias_new(mymodule,myname);
+  while(list)
+  {
+    if(!adms_nodealias_cmp((p_nodealias)list->data,refnodealias))
+    {
+      adms_nodealias_free (refnodealias);
+      return (p_nodealias)list->data;
+    }
+    list=list->next;
+  }
+  adms_slist_push(&mymymodule->_nodealias,(p_adms)refnodealias);
+  return refnodealias;
+}
+p_nodealias adms_module_list_nodealias_prepend_by_id_once_or_abort (p_module mymymodule,p_module mymodule,const char* myname)
+{
+  p_slist list=mymymodule->_nodealias;
+  p_nodealias refnodealias=adms_nodealias_new(mymodule,myname);
+  while(list)
+  {
+    if(!adms_nodealias_cmp((p_nodealias)list->data,refnodealias))
+    {
+      adms_message_fatal(("module=[%s] nodealias=[%s] already defined\n",
+        adms_module_uid(mymymodule),adms_nodealias_uid(refnodealias)))
+      adms_nodealias_free (refnodealias);
+    }
+    list=list->next;
+  }
+  adms_slist_push(&mymymodule->_nodealias,(p_adms)refnodealias);
+  return refnodealias;
+}
+p_nodealias adms_module_list_nodealias_lookup_by_id (p_module mymymodule,p_module mymodule,const char* myname)
+{
+  p_slist list=mymymodule->_nodealias;
+  while(list) {
+    p_nodealias refnodealias=(p_nodealias)list->data;
+    if((refnodealias->_module==mymodule)&&
+       !strcmp(refnodealias->_name,myname))
+      return refnodealias;
+    list=list->next;
+  }
+  return NULL;
+}
+
+void adms_module_valueto_branch (p_module mymodule,p_slist _branch)
+{
+  mymodule->_branch=_branch;
+  mymodule->_branch_isdefault=0;
+}
+p_branch adms_module_list_branch_prepend_by_id_once_or_ignore (p_module mymymodule,p_module mymodule,p_node mypnode,p_node mynnode)
+{
+  p_slist list=mymymodule->_branch;
+  p_branch refbranch=adms_branch_new(mymodule,mypnode,mynnode);
+  while(list)
+  {
+    if(!adms_branch_cmp((p_branch)list->data,refbranch))
+    {
+      adms_branch_free (refbranch);
+      return (p_branch)list->data;
+    }
+    list=list->next;
+  }
+  adms_slist_push(&mymymodule->_branch,(p_adms)refbranch);
+  return refbranch;
+}
+
+void adms_module_valueto_branchalias (p_module mymodule,p_slist _branchalias)
+{
+  mymodule->_branchalias=_branchalias;
+  mymodule->_branchalias_isdefault=0;
+}
+p_branchalias adms_module_list_branchalias_prepend_by_id_once_or_ignore (p_module mymymodule,p_module mymodule,const char* myname)
+{
+  p_slist list=mymymodule->_branchalias;
+  p_branchalias refbranchalias=adms_branchalias_new(mymodule,myname);
+  while(list)
+  {
+    if(!adms_branchalias_cmp((p_branchalias)list->data,refbranchalias))
+    {
+      adms_branchalias_free (refbranchalias);
+      return (p_branchalias)list->data;
+    }
+    list=list->next;
+  }
+  adms_slist_push(&mymymodule->_branchalias,(p_adms)refbranchalias);
+  return refbranchalias;
+}
+p_branchalias adms_module_list_branchalias_prepend_by_id_once_or_abort (p_module mymymodule,p_module mymodule,const char* myname)
+{
+  p_slist list=mymymodule->_branchalias;
+  p_branchalias refbranchalias=adms_branchalias_new(mymodule,myname);
+  while(list)
+  {
+    if(!adms_branchalias_cmp((p_branchalias)list->data,refbranchalias))
+    {
+      adms_message_fatal(("module=[%s] branchalias=[%s] already defined\n",
+        adms_module_uid(mymymodule),adms_branchalias_uid(refbranchalias)))
+      adms_branchalias_free (refbranchalias);
+    }
+    list=list->next;
+  }
+  adms_slist_push(&mymymodule->_branchalias,(p_adms)refbranchalias);
+  return refbranchalias;
+}
+p_branchalias adms_module_list_branchalias_lookup_by_id (p_module mymymodule,p_module mymodule,const char* myname)
+{
+  p_slist list=mymymodule->_branchalias;
+  while(list) {
+    p_branchalias refbranchalias=(p_branchalias)list->data;
+    if((refbranchalias->_module==mymodule)&&
+       !strcmp(refbranchalias->_name,myname))
+      return refbranchalias;
+    list=list->next;
+  }
+  return NULL;
+}
+
+void adms_module_valueto_analogfunction (p_module mymodule,p_slist _analogfunction)
+{
+  mymodule->_analogfunction=_analogfunction;
+  mymodule->_analogfunction_isdefault=0;
+}
+void adms_module_list_analogfunction_prepend_once_or_abort (p_module mymymodule,p_analogfunction myanalogfunction)
+{
+  p_slist list=mymymodule->_analogfunction;
+  while(list)
+  {
+    if(!adms_analogfunction_cmp((p_analogfunction)list->data,myanalogfunction))
+    {
+      adms_message_fatal(("module=[%s] analogfunction=[%s] already defined\n",
+        adms_module_uid(mymymodule),adms_analogfunction_uid(myanalogfunction)))
+      return;
+    }
+    list=list->next;
+  }
+  adms_slist_push(&mymymodule->_analogfunction,(p_adms)myanalogfunction);
+}
+
+void adms_module_valueto_instance (p_module mymodule,p_slist _instance)
+{
+  mymodule->_instance=_instance;
+  mymodule->_instance_isdefault=0;
+}
+p_instance adms_module_list_instance_prepend_by_id_once_or_abort (p_module mymymodule,p_module myinstantiator,p_module mymodule,const char* myname)
+{
+  p_slist list=mymymodule->_instance;
+  p_instance refinstance=adms_instance_new(myinstantiator,mymodule,myname);
+  while(list)
+  {
+    if(!adms_instance_cmp((p_instance)list->data,refinstance))
+    {
+      adms_message_fatal(("module=[%s] instance=[%s] already defined\n",
+        adms_module_uid(mymymodule),adms_instance_uid(refinstance)))
+      adms_instance_free (refinstance);
+    }
+    list=list->next;
+  }
+  adms_slist_push(&mymymodule->_instance,(p_adms)refinstance);
+  return refinstance;
+}
+
+void adms_module_valueto_variable (p_module mymodule,p_slist _variable)
+{
+  mymodule->_variable=_variable;
+  mymodule->_variable_isdefault=0;
+}
+void adms_module_list_variable_prepend_once_or_abort (p_module mymymodule,p_variableprototype myvariable)
+{
+  p_slist list=mymymodule->_variable;
+  while(list)
+  {
+    if(!adms_variableprototype_cmp((p_variableprototype)list->data,myvariable))
+    {
+      adms_message_fatal(("module=[%s] variable=[%s] already defined\n",
+        adms_module_uid(mymymodule),adms_variableprototype_uid(myvariable)))
+      return;
+    }
+    list=list->next;
+  }
+  adms_slist_push(&mymymodule->_variable,(p_adms)myvariable);
+}
+p_variableprototype adms_module_list_variable_lookup_by_id (p_module mymymodule,p_module mymodule,p_lexval mylexval,p_adms myblock)
+{
+  p_slist list=mymymodule->_variable;
+  while(list) {
+    p_variableprototype refvariableprototype=(p_variableprototype)list->data;
+    if((refvariableprototype->_module==mymodule)&&
+       !strcmp(refvariableprototype->_lexval->_string,mylexval->_string)&&
+       (refvariableprototype->_block==myblock))
+      return refvariableprototype;
+    list=list->next;
+  }
+  return NULL;
+}
+
+void adms_module_valueto_analog (p_module mymodule,p_analog _analog)
+{
+  mymodule->_analog=_analog;
+  mymodule->_analog_isdefault=0;
+}
+
+void adms_module_valueto_block (p_module mymodule,p_slist _block)
+{
+  mymodule->_block=_block;
+  mymodule->_block_isdefault=0;
+}
+
+void adms_module_valueto_blockvariable (p_module mymodule,p_slist _blockvariable)
+{
+  mymodule->_blockvariable=_blockvariable;
+  mymodule->_blockvariable_isdefault=0;
+}
+void adms_module_list_blockvariable_prepend_once_or_abort (p_module mymymodule,p_blockvariable myblockvariable)
+{
+  p_slist list=mymymodule->_blockvariable;
+  while(list)
+  {
+    if(!adms_blockvariable_cmp((p_blockvariable)list->data,myblockvariable))
+    {
+      adms_message_fatal(("module=[%s] blockvariable=[%s] already defined\n",
+        adms_module_uid(mymymodule),adms_blockvariable_uid(myblockvariable)))
+      return;
+    }
+    list=list->next;
+  }
+  adms_slist_push(&mymymodule->_blockvariable,(p_adms)myblockvariable);
+}
+p_blockvariable adms_module_list_blockvariable_lookup_by_id (p_module mymymodule,p_block myblock)
+{
+  p_slist list=mymymodule->_blockvariable;
+  while(list) {
+    p_blockvariable refblockvariable=(p_blockvariable)list->data;
+    if((refblockvariable->_block==myblock))
+      return refblockvariable;
+    list=list->next;
+  }
+  return NULL;
+}
+
+void adms_module_valueto_assignment (p_module mymodule,p_slist _assignment)
+{
+  mymodule->_assignment=_assignment;
+  mymodule->_assignment_isdefault=0;
+}
+
+void adms_module_valueto_callfunction (p_module mymodule,p_slist _callfunction)
+{
+  mymodule->_callfunction=_callfunction;
+  mymodule->_callfunction_isdefault=0;
+}
+
+void adms_module_valueto_contribution (p_module mymodule,p_slist _contribution)
+{
+  mymodule->_contribution=_contribution;
+  mymodule->_contribution_isdefault=0;
+}
+
+void adms_module_valueto_conditional (p_module mymodule,p_slist _conditional)
+{
+  mymodule->_conditional=_conditional;
+  mymodule->_conditional_isdefault=0;
+}
+
+void adms_module_valueto_case (p_module mymodule,p_slist _case)
+{
+  mymodule->_case=_case;
+  mymodule->_case_isdefault=0;
+}
+
+void adms_module_valueto_forloop (p_module mymodule,p_slist _forloop)
+{
+  mymodule->_forloop=_forloop;
+  mymodule->_forloop_isdefault=0;
+}
+
+void adms_module_valueto_whileloop (p_module mymodule,p_slist _whileloop)
+{
+  mymodule->_whileloop=_whileloop;
+  mymodule->_whileloop_isdefault=0;
+}
+
+void adms_module_valueto_instantiator (p_module mymodule,p_slist _instantiator)
+{
+  mymodule->_instantiator=_instantiator;
+  mymodule->_instantiator_isdefault=0;
+}
+
+void adms_module_valueto_expression (p_module mymodule,p_slist _expression)
+{
+  mymodule->_expression=_expression;
+  mymodule->_expression_isdefault=0;
+}
+
+void adms_module_valueto_jacobian (p_module mymodule,p_slist _jacobian)
+{
+  mymodule->_jacobian=_jacobian;
+  mymodule->_jacobian_isdefault=0;
+}
+
+void adms_module_valueto_probe (p_module mymodule,p_slist _probe)
+{
+  mymodule->_probe=_probe;
+  mymodule->_probe_isdefault=0;
+}
+p_probe adms_module_list_probe_prepend_by_id_once_or_ignore (p_module mymymodule,p_module mymodule,p_branch mybranch,p_nature mynature)
+{
+  p_slist list=mymymodule->_probe;
+  p_probe refprobe=adms_probe_new(mymodule,mybranch,mynature);
+  while(list)
+  {
+    if(!adms_probe_cmp((p_probe)list->data,refprobe))
+    {
+      adms_probe_free (refprobe);
+      return (p_probe)list->data;
+    }
+    list=list->next;
+  }
+  adms_slist_push(&mymymodule->_probe,(p_adms)refprobe);
+  return refprobe;
+}
+
+void adms_module_valueto_source (p_module mymodule,p_slist _source)
+{
+  mymodule->_source=_source;
+  mymodule->_source_isdefault=0;
+}
+p_source adms_module_list_source_prepend_by_id_once_or_ignore (p_module mymymodule,p_module mymodule,p_branch mybranch,p_nature mynature)
+{
+  p_slist list=mymymodule->_source;
+  p_source refsource=adms_source_new(mymodule,mybranch,mynature);
+  while(list)
+  {
+    if(!adms_source_cmp((p_source)list->data,refsource))
+    {
+      adms_source_free (refsource);
+      return (p_source)list->data;
+    }
+    list=list->next;
+  }
+  adms_slist_push(&mymymodule->_source,(p_adms)refsource);
+  return refsource;
+}
+
+void adms_module_valueto_range (p_module mymodule,p_slist _range)
+{
+  mymodule->_range=_range;
+  mymodule->_range_isdefault=0;
+}
+p_range adms_module_list_range_prepend_by_id_once_or_abort (p_module mymymodule,p_module mymodule,p_expression myinfexpr,p_expression mysupexpr)
+{
+  p_slist list=mymymodule->_range;
+  p_range refrange=adms_range_new(mymodule,myinfexpr,mysupexpr);
+  while(list)
+  {
+    if(!adms_range_cmp((p_range)list->data,refrange))
+    {
+      adms_message_fatal(("module=[%s] range=[%s] already defined\n",
+        adms_module_uid(mymymodule),adms_range_uid(refrange)))
+      adms_range_free (refrange);
+    }
+    list=list->next;
+  }
+  adms_slist_push(&mymymodule->_range,(p_adms)refrange);
+  return refrange;
+}
+
+void adms_module_valueto_attribute (p_module mymodule,p_slist _attribute)
+{
+  mymodule->_attribute=_attribute;
+  mymodule->_attribute_isdefault=0;
+}
+
+void adms_module_valueto_evaluation (p_module mymodule,p_evaluation _evaluation)
+{
+  mymodule->_evaluation=_evaluation;
+  mymodule->_evaluation_isdefault=0;
+}
+p_module adms_module_new (const char* myname)
+{
+  p_module mynewmodule=(p_module) calloc(1,(size_t)sizeof(t_module));
+  mynewmodule->_adms._datatypename=admse_module;
+  mynewmodule->_name=adms_kclone(myname);
+  mynewmodule->_name_isdefault=1;
+  mynewmodule->_node_isdefault=-1;
+  mynewmodule->_nodealias_isdefault=-1;
+  mynewmodule->_branch_isdefault=-1;
+  mynewmodule->_branchalias_isdefault=-1;
+  mynewmodule->_analogfunction_isdefault=-1;
+  mynewmodule->_instance_isdefault=-1;
+  mynewmodule->_variable_isdefault=-1;
+  mynewmodule->_analog_isdefault=-1;
+  mynewmodule->_block_isdefault=-1;
+  mynewmodule->_blockvariable_isdefault=-1;
+  mynewmodule->_assignment_isdefault=-1;
+  mynewmodule->_callfunction_isdefault=-1;
+  mynewmodule->_contribution_isdefault=-1;
+  mynewmodule->_conditional_isdefault=-1;
+  mynewmodule->_case_isdefault=-1;
+  mynewmodule->_forloop_isdefault=-1;
+  mynewmodule->_whileloop_isdefault=-1;
+  mynewmodule->_instantiator_isdefault=-1;
+  mynewmodule->_expression_isdefault=-1;
+  mynewmodule->_jacobian_isdefault=-1;
+  mynewmodule->_probe_isdefault=-1;
+  mynewmodule->_source_isdefault=-1;
+  mynewmodule->_range_isdefault=-1;
+  mynewmodule->_attribute_isdefault=-1;
+  mynewmodule->_evaluation_isdefault=-1;
+  return mynewmodule;
+}
+char*adms_module_uid (p_module mynewmodule)
+{
+  char*myuid=NULL;
+  adms_k2strconcat(&myuid,mynewmodule->_name);
+  return myuid;
+}
+static p_admst adms_module_xnew (p_ktransform mytransform,p_admst dot,p_slist myinputs[],const int size)
+{
+  if(size!=1)
+  {
+    adms_message_fatal_continue(("admst:new(module) bad number of inputs (found %i, expected 1)\n",size))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else
+  {
+    char* myname=aprintf(mytransform,(p_admst)myinputs[0]->data);
+    /*xnew*/p_admst myadmst=adms_admst_newpa(dot,dot,(p_adms)adms_module_new(myname));
+    free(myname);
+    return myadmst;
+  }
+}
+
+/* ------- analogfunction -------------- */
+void adms_analogfunction_free (p_analogfunction myanalogfunction)
+{
+  if(!myanalogfunction)
+    return;
+  adms_slist_free(myanalogfunction->_variable);
+  adms_slist_free(myanalogfunction->_attribute);
+  free(myanalogfunction);
+}
+
+void adms_analogfunction_valueto_module (p_analogfunction myanalogfunction,p_module _module)
+{
+  myanalogfunction->_module=_module;
+  myanalogfunction->_module_isdefault=0;
+}
+
+void adms_analogfunction_valueto_lexval (p_analogfunction myanalogfunction,p_lexval _lexval)
+{
+  myanalogfunction->_lexval=_lexval;
+  myanalogfunction->_lexval_isdefault=0;
+}
+
+void adms_analogfunction_valueto_type (p_analogfunction myanalogfunction,admse _type)
+{
+  myanalogfunction->_type=_type;
+  myanalogfunction->_type_isdefault=0;
+}
+
+void adms_analogfunction_valueto_tree (p_analogfunction myanalogfunction,p_adms _tree)
+{
+  myanalogfunction->_tree=_tree;
+  myanalogfunction->_tree_isdefault=0;
+}
+
+void adms_analogfunction_valueto_variable (p_analogfunction myanalogfunction,p_slist _variable)
+{
+  myanalogfunction->_variable=_variable;
+  myanalogfunction->_variable_isdefault=0;
+}
+void adms_analogfunction_list_variable_prepend_once_or_abort (p_analogfunction mymyanalogfunction,p_variableprototype myvariable)
+{
+  p_slist list=mymyanalogfunction->_variable;
+  while(list)
+  {
+    if(!adms_variableprototype_cmp((p_variableprototype)list->data,myvariable))
+    {
+      adms_message_fatal(("analogfunction=[%s] variable=[%s] already defined\n",
+        adms_analogfunction_uid(mymyanalogfunction),adms_variableprototype_uid(myvariable)))
+      return;
+    }
+    list=list->next;
+  }
+  adms_slist_push(&mymyanalogfunction->_variable,(p_adms)myvariable);
+}
+p_variableprototype adms_analogfunction_list_variable_lookup_by_id (p_analogfunction mymyanalogfunction,p_module mymodule,p_lexval mylexval,p_adms myblock)
+{
+  p_slist list=mymyanalogfunction->_variable;
+  while(list) {
+    p_variableprototype refvariableprototype=(p_variableprototype)list->data;
+    if((refvariableprototype->_module==mymodule)&&
+       !strcmp(refvariableprototype->_lexval->_string,mylexval->_string)&&
+       (refvariableprototype->_block==myblock))
+      return refvariableprototype;
+    list=list->next;
+  }
+  return NULL;
+}
+
+void adms_analogfunction_valueto_attribute (p_analogfunction myanalogfunction,p_slist _attribute)
+{
+  myanalogfunction->_attribute=_attribute;
+  myanalogfunction->_attribute_isdefault=0;
+}
+p_analogfunction adms_analogfunction_new (p_module mymodule,p_lexval mylexval)
+{
+  p_analogfunction mynewanalogfunction=(p_analogfunction) calloc(1,(size_t)sizeof(t_analogfunction));
+  mynewanalogfunction->_adms._datatypename=admse_analogfunction;
+  mynewanalogfunction->_module=mymodule;
+  mynewanalogfunction->_module_isdefault=1;
+  mynewanalogfunction->_lexval=mylexval;
+  mynewanalogfunction->_lexval_isdefault=1;
+  mynewanalogfunction->_type=admse_real;
+  mynewanalogfunction->_type_isdefault=1;
+  mynewanalogfunction->_tree_isdefault=-1;
+  mynewanalogfunction->_variable_isdefault=-1;
+  mynewanalogfunction->_attribute_isdefault=-1;
+  return mynewanalogfunction;
+}
+char*adms_analogfunction_uid (p_analogfunction mynewanalogfunction)
+{
+  char*myuid=NULL;
+  adms_strconcat(&myuid,adms_module_uid(mynewanalogfunction->_module));
+  adms_k2strconcat(&myuid,":");
+  adms_strconcat(&myuid,adms_lexval_uid(mynewanalogfunction->_lexval));
+  return myuid;
+}
+static p_admst adms_analogfunction_xnew (p_ktransform mytransform,p_admst dot,p_slist myinputs[],const int size)
+{
+  if(size!=2)
+  {
+    adms_message_fatal_continue(("admst:new(analogfunction) bad number of inputs (found %i, expected 2)\n",size))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else
+  {
+    p_module mymodule=(p_module)((p_admst)myinputs[0]->data)->_item.p;
+    char* mylexvals=aprintf(mytransform,(p_admst)myinputs[1]->data);
+    p_lexval mylexval=adms_lexval_new(mylexvals,adms_transform_uid(mytransform),0,0);
+    /*xnew*/p_admst myadmst=adms_admst_newpa(dot,dot,(p_adms)adms_analogfunction_new(mymodule,mylexval));
+    free(mylexvals);
+    return myadmst;
+  }
+}
+
+/* ------- nodealias -------------- */
+void adms_nodealias_free (p_nodealias mynodealias)
+{
+  if(!mynodealias)
+    return;
+  free(mynodealias->_name);
+  free(mynodealias);
+}
+
+void adms_nodealias_valueto_module (p_nodealias mynodealias,p_module _module)
+{
+  mynodealias->_module=_module;
+  mynodealias->_module_isdefault=0;
+}
+
+void adms_nodealias_valueto_name (p_nodealias mynodealias,const char* _name)
+{
+  mynodealias->_name=adms_kclone(_name);
+  mynodealias->_name_isdefault=0;
+}
+
+void adms_nodealias_valueto_node (p_nodealias mynodealias,p_node _node)
+{
+  mynodealias->_node=_node;
+  mynodealias->_node_isdefault=0;
+}
+p_nodealias adms_nodealias_new (p_module mymodule,const char* myname)
+{
+  p_nodealias mynewnodealias=(p_nodealias) calloc(1,(size_t)sizeof(t_nodealias));
+  mynewnodealias->_adms._datatypename=admse_nodealias;
+  mynewnodealias->_module=mymodule;
+  mynewnodealias->_module_isdefault=1;
+  mynewnodealias->_name=adms_kclone(myname);
+  mynewnodealias->_name_isdefault=1;
+  mynewnodealias->_node_isdefault=-1;
+  return mynewnodealias;
+}
+char*adms_nodealias_uid (p_nodealias mynewnodealias)
+{
+  char*myuid=NULL;
+  adms_k2strconcat(&myuid,mynewnodealias->_name);
+  return myuid;
+}
+static p_admst adms_nodealias_xnew (p_ktransform mytransform,p_admst dot,p_slist myinputs[],const int size)
+{
+  if(size!=2)
+  {
+    adms_message_fatal_continue(("admst:new(nodealias) bad number of inputs (found %i, expected 2)\n",size))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else
+  {
+    p_module mymodule=(p_module)((p_admst)myinputs[0]->data)->_item.p;
+    char* myname=aprintf(mytransform,(p_admst)myinputs[1]->data);
+    /*xnew*/p_admst myadmst=adms_admst_newpa(dot,dot,(p_adms)adms_nodealias_new(mymodule,myname));
+    free(myname);
+    return myadmst;
+  }
+}
+
+/* ------- node -------------- */
+void adms_node_free (p_node mynode)
+{
+  if(!mynode)
+    return;
+  free(mynode->_name);
+  adms_slist_free(mynode->_attribute);
+  free(mynode);
+}
+
+void adms_node_valueto_module (p_node mynode,p_module _module)
+{
+  mynode->_module=_module;
+  mynode->_module_isdefault=0;
+}
+
+void adms_node_valueto_name (p_node mynode,const char* _name)
+{
+  mynode->_name=adms_kclone(_name);
+  mynode->_name_isdefault=0;
+}
+
+void adms_node_valueto_direction (p_node mynode,admse _direction)
+{
+  mynode->_direction=_direction;
+  mynode->_direction_isdefault=0;
+}
+
+void adms_node_valueto_discipline (p_node mynode,p_discipline _discipline)
+{
+  mynode->_discipline=_discipline;
+  mynode->_discipline_isdefault=0;
+}
+
+void adms_node_valueto_grounded (p_node mynode,admse _grounded)
+{
+  mynode->_grounded=_grounded;
+  mynode->_grounded_isdefault=0;
+}
+
+void adms_node_valueto_location (p_node mynode,admse _location)
+{
+  mynode->_location=_location;
+  mynode->_location_isdefault=0;
+}
+
+void adms_node_valueto_attribute (p_node mynode,p_slist _attribute)
+{
+  mynode->_attribute=_attribute;
+  mynode->_attribute_isdefault=0;
+}
+p_node adms_node_new (p_module mymodule,const char* myname)
+{
+  p_node mynewnode=(p_node) calloc(1,(size_t)sizeof(t_node));
+  mynewnode->_adms._datatypename=admse_node;
+  mynewnode->_module=mymodule;
+  mynewnode->_module_isdefault=1;
+  mynewnode->_name=adms_kclone(myname);
+  mynewnode->_name_isdefault=1;
+  mynewnode->_direction=admse_inout;
+  mynewnode->_direction_isdefault=1;
+  mynewnode->_discipline_isdefault=-1;
+  mynewnode->_grounded=admse_no;
+  mynewnode->_grounded_isdefault=1;
+  mynewnode->_location=admse_internal;
+  mynewnode->_location_isdefault=1;
+  mynewnode->_attribute_isdefault=-1;
+  return mynewnode;
+}
+char*adms_node_uid (p_node mynewnode)
+{
+  char*myuid=NULL;
+  adms_k2strconcat(&myuid,mynewnode->_name);
+  return myuid;
+}
+static p_admst adms_node_xnew (p_ktransform mytransform,p_admst dot,p_slist myinputs[],const int size)
+{
+  if(size!=2)
+  {
+    adms_message_fatal_continue(("admst:new(node) bad number of inputs (found %i, expected 2)\n",size))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else
+  {
+    p_module mymodule=(p_module)((p_admst)myinputs[0]->data)->_item.p;
+    char* myname=aprintf(mytransform,(p_admst)myinputs[1]->data);
+    /*xnew*/p_admst myadmst=adms_admst_newpa(dot,dot,(p_adms)adms_node_new(mymodule,myname));
+    free(myname);
+    return myadmst;
+  }
+}
+
+/* ------- branchalias -------------- */
+void adms_branchalias_free (p_branchalias mybranchalias)
+{
+  if(!mybranchalias)
+    return;
+  free(mybranchalias->_name);
+  free(mybranchalias);
+}
+
+void adms_branchalias_valueto_module (p_branchalias mybranchalias,p_module _module)
+{
+  mybranchalias->_module=_module;
+  mybranchalias->_module_isdefault=0;
+}
+
+void adms_branchalias_valueto_name (p_branchalias mybranchalias,const char* _name)
+{
+  mybranchalias->_name=adms_kclone(_name);
+  mybranchalias->_name_isdefault=0;
+}
+
+void adms_branchalias_valueto_branch (p_branchalias mybranchalias,p_branch _branch)
+{
+  mybranchalias->_branch=_branch;
+  mybranchalias->_branch_isdefault=0;
+}
+p_branchalias adms_branchalias_new (p_module mymodule,const char* myname)
+{
+  p_branchalias mynewbranchalias=(p_branchalias) calloc(1,(size_t)sizeof(t_branchalias));
+  mynewbranchalias->_adms._datatypename=admse_branchalias;
+  mynewbranchalias->_module=mymodule;
+  mynewbranchalias->_module_isdefault=1;
+  mynewbranchalias->_name=adms_kclone(myname);
+  mynewbranchalias->_name_isdefault=1;
+  mynewbranchalias->_branch_isdefault=-1;
+  return mynewbranchalias;
+}
+char*adms_branchalias_uid (p_branchalias mynewbranchalias)
+{
+  char*myuid=NULL;
+  adms_k2strconcat(&myuid,mynewbranchalias->_name);
+  return myuid;
+}
+static p_admst adms_branchalias_xnew (p_ktransform mytransform,p_admst dot,p_slist myinputs[],const int size)
+{
+  if(size!=2)
+  {
+    adms_message_fatal_continue(("admst:new(branchalias) bad number of inputs (found %i, expected 2)\n",size))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else
+  {
+    p_module mymodule=(p_module)((p_admst)myinputs[0]->data)->_item.p;
+    char* myname=aprintf(mytransform,(p_admst)myinputs[1]->data);
+    /*xnew*/p_admst myadmst=adms_admst_newpa(dot,dot,(p_adms)adms_branchalias_new(mymodule,myname));
+    free(myname);
+    return myadmst;
+  }
+}
+
+/* ------- branch -------------- */
+void adms_branch_free (p_branch mybranch)
+{
+  if(!mybranch)
+    return;
+  adms_slist_free(mybranch->_attribute);
+  free(mybranch);
+}
+
+void adms_branch_valueto_module (p_branch mybranch,p_module _module)
+{
+  mybranch->_module=_module;
+  mybranch->_module_isdefault=0;
+}
+
+void adms_branch_valueto_pnode (p_branch mybranch,p_node _pnode)
+{
+  mybranch->_pnode=_pnode;
+  mybranch->_pnode_isdefault=0;
+}
+
+void adms_branch_valueto_nnode (p_branch mybranch,p_node _nnode)
+{
+  mybranch->_nnode=_nnode;
+  mybranch->_nnode_isdefault=0;
+}
+
+void adms_branch_valueto_discipline (p_branch mybranch,p_discipline _discipline)
+{
+  mybranch->_discipline=_discipline;
+  mybranch->_discipline_isdefault=0;
+}
+
+void adms_branch_valueto_grounded (p_branch mybranch,admse _grounded)
+{
+  mybranch->_grounded=_grounded;
+  mybranch->_grounded_isdefault=0;
+}
+
+void adms_branch_valueto_attribute (p_branch mybranch,p_slist _attribute)
+{
+  mybranch->_attribute=_attribute;
+  mybranch->_attribute_isdefault=0;
+}
+p_branch adms_branch_new (p_module mymodule,p_node mypnode,p_node mynnode)
+{
+  p_branch mynewbranch=(p_branch) calloc(1,(size_t)sizeof(t_branch));
+  mynewbranch->_adms._datatypename=admse_branch;
+  mynewbranch->_module=mymodule;
+  mynewbranch->_module_isdefault=1;
+  mynewbranch->_pnode=mypnode;
+  mynewbranch->_pnode_isdefault=1;
+  mynewbranch->_nnode=mynnode;
+  mynewbranch->_nnode_isdefault=1;
+  mynewbranch->_discipline_isdefault=-1;
+  mynewbranch->_grounded=admse_no;
+  mynewbranch->_grounded_isdefault=1;
+  mynewbranch->_attribute_isdefault=-1;
+  return mynewbranch;
+}
+char*adms_branch_uid (p_branch mynewbranch)
+{
+  char*myuid=NULL;
+  adms_strconcat(&myuid,adms_node_uid(mynewbranch->_pnode));
+  adms_k2strconcat(&myuid,",");
+  adms_strconcat(&myuid,adms_node_uid(mynewbranch->_nnode));
+  return myuid;
+}
+static p_admst adms_branch_xnew (p_ktransform mytransform,p_admst dot,p_slist myinputs[],const int size)
+{
+  if(size!=3)
+  {
+    adms_message_fatal_continue(("admst:new(branch) bad number of inputs (found %i, expected 3)\n",size))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else
+  {
+    p_module mymodule=(p_module)((p_admst)myinputs[0]->data)->_item.p;
+    p_node mypnode=(p_node)((p_admst)myinputs[1]->data)->_item.p;
+    p_node mynnode=(p_node)((p_admst)myinputs[2]->data)->_item.p;
+    /*xnew*/p_admst myadmst=adms_admst_newpa(dot,dot,(p_adms)adms_branch_new(mymodule,mypnode,mynnode));
+    return myadmst;
+  }
+}
+
+/* ------- variableprototype -------------- */
+void adms_variableprototype_free (p_variableprototype myvariableprototype)
+{
+  if(!myvariableprototype)
+    return;
+  adms_slist_free(myvariableprototype->_arraydefault);
+  adms_slist_free(myvariableprototype->_attribute);
+  adms_slist_free(myvariableprototype->_alias);
+  adms_slist_free(myvariableprototype->_range);
+  adms_slist_free(myvariableprototype->_probe);
+  adms_slist_free(myvariableprototype->_ddxprobe);
+  adms_slist_free(myvariableprototype->_variable);
+  adms_slist_free(myvariableprototype->_assignment);
+  adms_slist_free(myvariableprototype->_instance);
+  free(myvariableprototype);
+}
+
+void adms_variableprototype_valueto_module (p_variableprototype myvariableprototype,p_module _module)
+{
+  myvariableprototype->_module=_module;
+  myvariableprototype->_module_isdefault=0;
+}
+
+void adms_variableprototype_valueto_lexval (p_variableprototype myvariableprototype,p_lexval _lexval)
+{
+  myvariableprototype->_lexval=_lexval;
+  myvariableprototype->_lexval_isdefault=0;
+}
+
+void adms_variableprototype_valueto_block (p_variableprototype myvariableprototype,p_adms _block)
+{
+  myvariableprototype->_block=_block;
+  myvariableprototype->_block_isdefault=0;
+}
+
+void adms_variableprototype_valueto_dependency (p_variableprototype myvariableprototype,admse _dependency)
+{
+  myvariableprototype->_dependency=_dependency;
+  myvariableprototype->_dependency_isdefault=0;
+}
+
+void adms_variableprototype_valueto_static (p_variableprototype myvariableprototype,admse _static)
+{
+  myvariableprototype->_static=_static;
+  myvariableprototype->_static_isdefault=0;
+}
+
+void adms_variableprototype_valueto_dynamic (p_variableprototype myvariableprototype,admse _dynamic)
+{
+  myvariableprototype->_dynamic=_dynamic;
+  myvariableprototype->_dynamic_isdefault=0;
+}
+
+void adms_variableprototype_valueto_sizetype (p_variableprototype myvariableprototype,admse _sizetype)
+{
+  myvariableprototype->_sizetype=_sizetype;
+  myvariableprototype->_sizetype_isdefault=0;
+}
+
+void adms_variableprototype_valueto_parametertype (p_variableprototype myvariableprototype,admse _parametertype)
+{
+  myvariableprototype->_parametertype=_parametertype;
+  myvariableprototype->_parametertype_isdefault=0;
+}
+
+void adms_variableprototype_valueto_type (p_variableprototype myvariableprototype,admse _type)
+{
+  myvariableprototype->_type=_type;
+  myvariableprototype->_type_isdefault=0;
+}
+
+void adms_variableprototype_valueto_maxsize (p_variableprototype myvariableprototype,p_number _maxsize)
+{
+  myvariableprototype->_maxsize=_maxsize;
+  myvariableprototype->_maxsize_isdefault=0;
+}
+
+void adms_variableprototype_valueto_minsize (p_variableprototype myvariableprototype,p_number _minsize)
+{
+  myvariableprototype->_minsize=_minsize;
+  myvariableprototype->_minsize_isdefault=0;
+}
+
+void adms_variableprototype_valueto_input (p_variableprototype myvariableprototype,admse _input)
+{
+  myvariableprototype->_input=_input;
+  myvariableprototype->_input_isdefault=0;
+}
+
+void adms_variableprototype_valueto_output (p_variableprototype myvariableprototype,admse _output)
+{
+  myvariableprototype->_output=_output;
+  myvariableprototype->_output_isdefault=0;
+}
+
+void adms_variableprototype_valueto_scope (p_variableprototype myvariableprototype,admse _scope)
+{
+  myvariableprototype->_scope=_scope;
+  myvariableprototype->_scope_isdefault=0;
+}
+
+void adms_variableprototype_valueto_default (p_variableprototype myvariableprototype,p_expression _default)
+{
+  myvariableprototype->_default=_default;
+  myvariableprototype->_default_isdefault=0;
+}
+
+void adms_variableprototype_valueto_derivate (p_variableprototype myvariableprototype,admse _derivate)
+{
+  myvariableprototype->_derivate=_derivate;
+  myvariableprototype->_derivate_isdefault=0;
+}
+
+void adms_variableprototype_valueto_isstate (p_variableprototype myvariableprototype,admse _isstate)
+{
+  myvariableprototype->_isstate=_isstate;
+  myvariableprototype->_isstate_isdefault=0;
+}
+
+void adms_variableprototype_valueto_usedinmodel (p_variableprototype myvariableprototype,admse _usedinmodel)
+{
+  myvariableprototype->_usedinmodel=_usedinmodel;
+  myvariableprototype->_usedinmodel_isdefault=0;
+}
+
+void adms_variableprototype_valueto_usedininstance (p_variableprototype myvariableprototype,admse _usedininstance)
+{
+  myvariableprototype->_usedininstance=_usedininstance;
+  myvariableprototype->_usedininstance_isdefault=0;
+}
+
+void adms_variableprototype_valueto_usedininitial_step (p_variableprototype myvariableprototype,admse _usedininitial_step)
+{
+  myvariableprototype->_usedininitial_step=_usedininitial_step;
+  myvariableprototype->_usedininitial_step_isdefault=0;
+}
+
+void adms_variableprototype_valueto_usedinevaluate (p_variableprototype myvariableprototype,admse _usedinevaluate)
+{
+  myvariableprototype->_usedinevaluate=_usedinevaluate;
+  myvariableprototype->_usedinevaluate_isdefault=0;
+}
+
+void adms_variableprototype_valueto_usedinnoise (p_variableprototype myvariableprototype,admse _usedinnoise)
+{
+  myvariableprototype->_usedinnoise=_usedinnoise;
+  myvariableprototype->_usedinnoise_isdefault=0;
+}
+
+void adms_variableprototype_valueto_usedinfinal (p_variableprototype myvariableprototype,admse _usedinfinal)
+{
+  myvariableprototype->_usedinfinal=_usedinfinal;
+  myvariableprototype->_usedinfinal_isdefault=0;
+}
+
+void adms_variableprototype_valueto_setinmodel (p_variableprototype myvariableprototype,admse _setinmodel)
+{
+  myvariableprototype->_setinmodel=_setinmodel;
+  myvariableprototype->_setinmodel_isdefault=0;
+}
+
+void adms_variableprototype_valueto_setininstance (p_variableprototype myvariableprototype,admse _setininstance)
+{
+  myvariableprototype->_setininstance=_setininstance;
+  myvariableprototype->_setininstance_isdefault=0;
+}
+
+void adms_variableprototype_valueto_setininitial_step (p_variableprototype myvariableprototype,admse _setininitial_step)
+{
+  myvariableprototype->_setininitial_step=_setininitial_step;
+  myvariableprototype->_setininitial_step_isdefault=0;
+}
+
+void adms_variableprototype_valueto_setinevaluate (p_variableprototype myvariableprototype,admse _setinevaluate)
+{
+  myvariableprototype->_setinevaluate=_setinevaluate;
+  myvariableprototype->_setinevaluate_isdefault=0;
+}
+
+void adms_variableprototype_valueto_setinnoise (p_variableprototype myvariableprototype,admse _setinnoise)
+{
+  myvariableprototype->_setinnoise=_setinnoise;
+  myvariableprototype->_setinnoise_isdefault=0;
+}
+
+void adms_variableprototype_valueto_setinfinal (p_variableprototype myvariableprototype,admse _setinfinal)
+{
+  myvariableprototype->_setinfinal=_setinfinal;
+  myvariableprototype->_setinfinal_isdefault=0;
+}
+
+void adms_variableprototype_valueto_setingetprev (p_variableprototype myvariableprototype,admse _setingetprev)
+{
+  myvariableprototype->_setingetprev=_setingetprev;
+  myvariableprototype->_setingetprev_isdefault=0;
+}
+
+void adms_variableprototype_valueto_TemperatureDependent (p_variableprototype myvariableprototype,admse _TemperatureDependent)
+{
+  myvariableprototype->_TemperatureDependent=_TemperatureDependent;
+  myvariableprototype->_TemperatureDependent_isdefault=0;
+}
+
+void adms_variableprototype_valueto_OPdependent (p_variableprototype myvariableprototype,admse _OPdependent)
+{
+  myvariableprototype->_OPdependent=_OPdependent;
+  myvariableprototype->_OPdependent_isdefault=0;
+}
+
+void adms_variableprototype_valueto_insource (p_variableprototype myvariableprototype,admse _insource)
+{
+  myvariableprototype->_insource=_insource;
+  myvariableprototype->_insource_isdefault=0;
+}
+
+void adms_variableprototype_valueto_vcount (p_variableprototype myvariableprototype,int _vcount)
+{
+  myvariableprototype->_vcount=_vcount;
+  myvariableprototype->_vcount_isdefault=0;
+}
+
+void adms_variableprototype_valueto_vlast (p_variableprototype myvariableprototype,p_assignment _vlast)
+{
+  myvariableprototype->_vlast=_vlast;
+  myvariableprototype->_vlast_isdefault=0;
+}
+
+void adms_variableprototype_valueto_arraydefault (p_variableprototype myvariableprototype,p_slist _arraydefault)
+{
+  myvariableprototype->_arraydefault=_arraydefault;
+  myvariableprototype->_arraydefault_isdefault=0;
+}
+
+void adms_variableprototype_valueto_attribute (p_variableprototype myvariableprototype,p_slist _attribute)
+{
+  myvariableprototype->_attribute=_attribute;
+  myvariableprototype->_attribute_isdefault=0;
+}
+
+void adms_variableprototype_valueto_alias (p_variableprototype myvariableprototype,p_slist _alias)
+{
+  myvariableprototype->_alias=_alias;
+  myvariableprototype->_alias_isdefault=0;
+}
+void adms_variableprototype_list_alias_prepend_once_or_abort (p_variableprototype mymyvariableprototype,const char* myalias)
+{
+  p_slist list=mymyvariableprototype->_alias;
+  while(list)
+  {
+    if(!adms_basicstring_cmp((p_basicstring)list->data,myalias))
+    {
+      adms_message_fatal(("variableprototype=[%s] alias=[%s] already defined\n",
+        adms_variableprototype_uid(mymyvariableprototype),adms_basicstring_uid(myalias)))
+      return;
+    }
+    list=list->next;
+  }
+  adms_slist_push(&mymyvariableprototype->_alias,(p_adms)myalias);
+}
+
+void adms_variableprototype_valueto_range (p_variableprototype myvariableprototype,p_slist _range)
+{
+  myvariableprototype->_range=_range;
+  myvariableprototype->_range_isdefault=0;
+}
+
+void adms_variableprototype_valueto_probe (p_variableprototype myvariableprototype,p_slist _probe)
+{
+  myvariableprototype->_probe=_probe;
+  myvariableprototype->_probe_isdefault=0;
+}
+
+void adms_variableprototype_valueto_ddxprobe (p_variableprototype myvariableprototype,p_slist _ddxprobe)
+{
+  myvariableprototype->_ddxprobe=_ddxprobe;
+  myvariableprototype->_ddxprobe_isdefault=0;
+}
+
+void adms_variableprototype_valueto_variable (p_variableprototype myvariableprototype,p_slist _variable)
+{
+  myvariableprototype->_variable=_variable;
+  myvariableprototype->_variable_isdefault=0;
+}
+
+void adms_variableprototype_valueto_assignment (p_variableprototype myvariableprototype,p_slist _assignment)
+{
+  myvariableprototype->_assignment=_assignment;
+  myvariableprototype->_assignment_isdefault=0;
+}
+
+void adms_variableprototype_valueto_instance (p_variableprototype myvariableprototype,p_slist _instance)
+{
+  myvariableprototype->_instance=_instance;
+  myvariableprototype->_instance_isdefault=0;
+}
+p_variableprototype adms_variableprototype_new (p_module mymodule,p_lexval mylexval,p_adms myblock)
+{
+  p_variableprototype mynewvariableprototype=(p_variableprototype) calloc(1,(size_t)sizeof(t_variableprototype));
+  mynewvariableprototype->_adms._datatypename=admse_variableprototype;
+  mynewvariableprototype->_module=mymodule;
+  mynewvariableprototype->_module_isdefault=1;
+  mynewvariableprototype->_lexval=mylexval;
+  mynewvariableprototype->_lexval_isdefault=1;
+  mynewvariableprototype->_block=myblock;
+  mynewvariableprototype->_block_isdefault=1;
+  mynewvariableprototype->_dependency=admse_constant;
+  mynewvariableprototype->_dependency_isdefault=1;
+  mynewvariableprototype->_static=admse_no;
+  mynewvariableprototype->_static_isdefault=1;
+  mynewvariableprototype->_dynamic=admse_no;
+  mynewvariableprototype->_dynamic_isdefault=1;
+  mynewvariableprototype->_sizetype=admse_scalar;
+  mynewvariableprototype->_sizetype_isdefault=1;
+  mynewvariableprototype->_parametertype=admse_model;
+  mynewvariableprototype->_parametertype_isdefault=1;
+  mynewvariableprototype->_type=admse_real;
+  mynewvariableprototype->_type_isdefault=1;
+  mynewvariableprototype->_maxsize_isdefault=-1;
+  mynewvariableprototype->_minsize_isdefault=-1;
+  mynewvariableprototype->_input=admse_no;
+  mynewvariableprototype->_input_isdefault=1;
+  mynewvariableprototype->_output=admse_no;
+  mynewvariableprototype->_output_isdefault=1;
+  mynewvariableprototype->_scope=admse_local;
+  mynewvariableprototype->_scope_isdefault=1;
+  mynewvariableprototype->_default_isdefault=-1;
+  mynewvariableprototype->_derivate=admse_no;
+  mynewvariableprototype->_derivate_isdefault=1;
+  mynewvariableprototype->_isstate=admse_no;
+  mynewvariableprototype->_isstate_isdefault=1;
+  mynewvariableprototype->_usedinmodel=admse_no;
+  mynewvariableprototype->_usedinmodel_isdefault=1;
+  mynewvariableprototype->_usedininstance=admse_no;
+  mynewvariableprototype->_usedininstance_isdefault=1;
+  mynewvariableprototype->_usedininitial_step=admse_no;
+  mynewvariableprototype->_usedininitial_step_isdefault=1;
+  mynewvariableprototype->_usedinevaluate=admse_no;
+  mynewvariableprototype->_usedinevaluate_isdefault=1;
+  mynewvariableprototype->_usedinnoise=admse_no;
+  mynewvariableprototype->_usedinnoise_isdefault=1;
+  mynewvariableprototype->_usedinfinal=admse_no;
+  mynewvariableprototype->_usedinfinal_isdefault=1;
+  mynewvariableprototype->_setinmodel=admse_no;
+  mynewvariableprototype->_setinmodel_isdefault=1;
+  mynewvariableprototype->_setininstance=admse_no;
+  mynewvariableprototype->_setininstance_isdefault=1;
+  mynewvariableprototype->_setininitial_step=admse_no;
+  mynewvariableprototype->_setininitial_step_isdefault=1;
+  mynewvariableprototype->_setinevaluate=admse_no;
+  mynewvariableprototype->_setinevaluate_isdefault=1;
+  mynewvariableprototype->_setinnoise=admse_no;
+  mynewvariableprototype->_setinnoise_isdefault=1;
+  mynewvariableprototype->_setinfinal=admse_no;
+  mynewvariableprototype->_setinfinal_isdefault=1;
+  mynewvariableprototype->_setingetprev=admse_no;
+  mynewvariableprototype->_setingetprev_isdefault=1;
+  mynewvariableprototype->_TemperatureDependent=admse_no;
+  mynewvariableprototype->_TemperatureDependent_isdefault=1;
+  mynewvariableprototype->_OPdependent=admse_no;
+  mynewvariableprototype->_OPdependent_isdefault=1;
+  mynewvariableprototype->_insource=admse_no;
+  mynewvariableprototype->_insource_isdefault=1;
+  mynewvariableprototype->_vcount=0;
+  mynewvariableprototype->_vcount_isdefault=1;
+  mynewvariableprototype->_vlast_isdefault=-1;
+  mynewvariableprototype->_arraydefault_isdefault=-1;
+  mynewvariableprototype->_attribute_isdefault=-1;
+  mynewvariableprototype->_alias_isdefault=-1;
+  mynewvariableprototype->_range_isdefault=-1;
+  mynewvariableprototype->_probe_isdefault=-1;
+  mynewvariableprototype->_ddxprobe_isdefault=-1;
+  mynewvariableprototype->_variable_isdefault=-1;
+  mynewvariableprototype->_assignment_isdefault=-1;
+  mynewvariableprototype->_instance_isdefault=-1;
+  return mynewvariableprototype;
+}
+char*adms_variableprototype_uid (p_variableprototype mynewvariableprototype)
+{
+  char*myuid=NULL;
+  adms_strconcat(&myuid,adms_lexval_uid(mynewvariableprototype->_lexval));
+  return myuid;
+}
+static p_admst adms_variableprototype_xnew (p_ktransform mytransform,p_admst dot,p_slist myinputs[],const int size)
+{
+  if(size!=3)
+  {
+    adms_message_fatal_continue(("admst:new(variableprototype) bad number of inputs (found %i, expected 3)\n",size))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else
+  {
+    p_module mymodule=(p_module)((p_admst)myinputs[0]->data)->_item.p;
+    char* mylexvals=aprintf(mytransform,(p_admst)myinputs[1]->data);
+    p_lexval mylexval=adms_lexval_new(mylexvals,adms_transform_uid(mytransform),0,0);
+    p_adms myblock=(p_adms)((p_admst)myinputs[2]->data)->_item.p;
+    /*xnew*/p_admst myadmst=adms_admst_newpa(dot,dot,(p_adms)adms_variableprototype_new(mymodule,mylexval,myblock));
+    free(mylexvals);
+    return myadmst;
+  }
+}
+
+/* ------- source -------------- */
+void adms_source_free (p_source mysource)
+{
+  if(!mysource)
+    return;
+  adms_slist_free(mysource->_probe);
+  adms_slist_free(mysource->_attribute);
+  free(mysource);
+}
+
+void adms_source_valueto_module (p_source mysource,p_module _module)
+{
+  mysource->_module=_module;
+  mysource->_module_isdefault=0;
+}
+
+void adms_source_valueto_branch (p_source mysource,p_branch _branch)
+{
+  mysource->_branch=_branch;
+  mysource->_branch_isdefault=0;
+}
+
+void adms_source_valueto_nature (p_source mysource,p_nature _nature)
+{
+  mysource->_nature=_nature;
+  mysource->_nature_isdefault=0;
+}
+
+void adms_source_valueto_discipline (p_source mysource,p_discipline _discipline)
+{
+  mysource->_discipline=_discipline;
+  mysource->_discipline_isdefault=0;
+}
+
+void adms_source_valueto_static (p_source mysource,admse _static)
+{
+  mysource->_static=_static;
+  mysource->_static_isdefault=0;
+}
+
+void adms_source_valueto_dynamic (p_source mysource,admse _dynamic)
+{
+  mysource->_dynamic=_dynamic;
+  mysource->_dynamic_isdefault=0;
+}
+
+void adms_source_valueto_whitenoise (p_source mysource,admse _whitenoise)
+{
+  mysource->_whitenoise=_whitenoise;
+  mysource->_whitenoise_isdefault=0;
+}
+
+void adms_source_valueto_flickernoise (p_source mysource,admse _flickernoise)
+{
+  mysource->_flickernoise=_flickernoise;
+  mysource->_flickernoise_isdefault=0;
+}
+
+void adms_source_valueto_grounded (p_source mysource,admse _grounded)
+{
+  mysource->_grounded=_grounded;
+  mysource->_grounded_isdefault=0;
+}
+
+void adms_source_valueto_switch (p_source mysource,admse _switch)
+{
+  mysource->_switch=_switch;
+  mysource->_switch_isdefault=0;
+}
+
+void adms_source_valueto_type (p_source mysource,admse _type)
+{
+  mysource->_type=_type;
+  mysource->_type_isdefault=0;
+}
+
+void adms_source_valueto_probe (p_source mysource,p_slist _probe)
+{
+  mysource->_probe=_probe;
+  mysource->_probe_isdefault=0;
+}
+
+void adms_source_valueto_attribute (p_source mysource,p_slist _attribute)
+{
+  mysource->_attribute=_attribute;
+  mysource->_attribute_isdefault=0;
+}
+p_source adms_source_new (p_module mymodule,p_branch mybranch,p_nature mynature)
+{
+  p_source mynewsource=(p_source) calloc(1,(size_t)sizeof(t_source));
+  mynewsource->_adms._datatypename=admse_source;
+  mynewsource->_module=mymodule;
+  mynewsource->_module_isdefault=1;
+  mynewsource->_branch=mybranch;
+  mynewsource->_branch_isdefault=1;
+  mynewsource->_nature=mynature;
+  mynewsource->_nature_isdefault=1;
+  mynewsource->_discipline_isdefault=-1;
+  mynewsource->_static=admse_no;
+  mynewsource->_static_isdefault=1;
+  mynewsource->_dynamic=admse_no;
+  mynewsource->_dynamic_isdefault=1;
+  mynewsource->_whitenoise=admse_no;
+  mynewsource->_whitenoise_isdefault=1;
+  mynewsource->_flickernoise=admse_no;
+  mynewsource->_flickernoise_isdefault=1;
+  mynewsource->_grounded=admse_no;
+  mynewsource->_grounded_isdefault=1;
+  mynewsource->_switch=admse_no;
+  mynewsource->_switch_isdefault=1;
+  mynewsource->_type=admse_fs;
+  mynewsource->_type_isdefault=1;
+  mynewsource->_probe_isdefault=-1;
+  mynewsource->_attribute_isdefault=-1;
+  return mynewsource;
+}
+char*adms_source_uid (p_source mynewsource)
+{
+  char*myuid=NULL;
+  adms_strconcat(&myuid,adms_nature_uid(mynewsource->_nature));
+  adms_k2strconcat(&myuid,"(");
+  adms_strconcat(&myuid,adms_branch_uid(mynewsource->_branch));
+  adms_k2strconcat(&myuid,")");
+  return myuid;
+}
+static p_admst adms_source_xnew (p_ktransform mytransform,p_admst dot,p_slist myinputs[],const int size)
+{
+  if(size!=3)
+  {
+    adms_message_fatal_continue(("admst:new(source) bad number of inputs (found %i, expected 3)\n",size))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else
+  {
+    p_module mymodule=(p_module)((p_admst)myinputs[0]->data)->_item.p;
+    p_branch mybranch=(p_branch)((p_admst)myinputs[1]->data)->_item.p;
+    p_nature mynature=(p_nature)((p_admst)myinputs[2]->data)->_item.p;
+    /*xnew*/p_admst myadmst=adms_admst_newpa(dot,dot,(p_adms)adms_source_new(mymodule,mybranch,mynature));
+    return myadmst;
+  }
+}
+
+/* ------- range -------------- */
+void adms_range_free (p_range myrange)
+{
+  if(!myrange)
+    return;
+  free(myrange->_name);
+  free(myrange);
+}
+
+void adms_range_valueto_module (p_range myrange,p_module _module)
+{
+  myrange->_module=_module;
+  myrange->_module_isdefault=0;
+}
+
+void adms_range_valueto_infexpr (p_range myrange,p_expression _infexpr)
+{
+  myrange->_infexpr=_infexpr;
+  myrange->_infexpr_isdefault=0;
+}
+
+void adms_range_valueto_supexpr (p_range myrange,p_expression _supexpr)
+{
+  myrange->_supexpr=_supexpr;
+  myrange->_supexpr_isdefault=0;
+}
+
+void adms_range_valueto_name (p_range myrange,const char* _name)
+{
+  myrange->_name=adms_kclone(_name);
+  myrange->_name_isdefault=0;
+}
+
+void adms_range_valueto_infboundtype (p_range myrange,admse _infboundtype)
+{
+  myrange->_infboundtype=_infboundtype;
+  myrange->_infboundtype_isdefault=0;
+}
+
+void adms_range_valueto_supboundtype (p_range myrange,admse _supboundtype)
+{
+  myrange->_supboundtype=_supboundtype;
+  myrange->_supboundtype_isdefault=0;
+}
+
+void adms_range_valueto_type (p_range myrange,admse _type)
+{
+  myrange->_type=_type;
+  myrange->_type_isdefault=0;
+}
+p_range adms_range_new (p_module mymodule,p_expression myinfexpr,p_expression mysupexpr)
+{
+  p_range mynewrange=(p_range) calloc(1,(size_t)sizeof(t_range));
+  mynewrange->_adms._datatypename=admse_range;
+  mynewrange->_module=mymodule;
+  mynewrange->_module_isdefault=1;
+  mynewrange->_infexpr=myinfexpr;
+  mynewrange->_infexpr_isdefault=1;
+  mynewrange->_supexpr=mysupexpr;
+  mynewrange->_supexpr_isdefault=1;
+  mynewrange->_name_isdefault=-1;
+  mynewrange->_infboundtype_isdefault=-1;
+  mynewrange->_supboundtype_isdefault=-1;
+  mynewrange->_type=admse_include;
+  mynewrange->_type_isdefault=1;
+  return mynewrange;
+}
+char*adms_range_uid (p_range mynewrange)
+{
+  char*myuid=NULL;
+  if(mynewrange->_type==admse_include)
+  {
+    adms_k2strconcat(&myuid,"from");
+  }
+  if(mynewrange->_type==admse_include_value)
+  {
+    adms_k2strconcat(&myuid,"from");
+  }
+  if(mynewrange->_type==admse_exclude)
+  {
+    adms_k2strconcat(&myuid,"exclude");
+  }
+  if(mynewrange->_type==admse_exclude_value)
+  {
+    adms_k2strconcat(&myuid,"exclude");
+  }
+  adms_k2strconcat(&myuid," ");
+  if(mynewrange->_infboundtype==admse_range_bound_include)
+  {
+    adms_k2strconcat(&myuid,"[");
+  }
+  if(mynewrange->_infboundtype==admse_range_bound_exclude)
+  {
+    adms_k2strconcat(&myuid,"(");
+  }
+  if(mynewrange->_infboundtype==admse_range_bound_value)
+  {
+    adms_k2strconcat(&myuid,"[");
+  }
+  adms_strconcat(&myuid,adms_expression_uid(mynewrange->_infexpr));
+  adms_k2strconcat(&myuid,":");
+  adms_strconcat(&myuid,adms_expression_uid(mynewrange->_supexpr));
+  if(mynewrange->_supboundtype==admse_range_bound_include)
+  {
+    adms_k2strconcat(&myuid,"]");
+  }
+  if(mynewrange->_supboundtype==admse_range_bound_exclude)
+  {
+    adms_k2strconcat(&myuid,")");
+  }
+  if(mynewrange->_supboundtype==admse_range_bound_value)
+  {
+    adms_k2strconcat(&myuid,"]");
+  }
+  return myuid;
+}
+static p_admst adms_range_xnew (p_ktransform mytransform,p_admst dot,p_slist myinputs[],const int size)
+{
+  if(size!=3)
+  {
+    adms_message_fatal_continue(("admst:new(range) bad number of inputs (found %i, expected 3)\n",size))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else
+  {
+    p_module mymodule=(p_module)((p_admst)myinputs[0]->data)->_item.p;
+    p_expression myinfexpr=(p_expression)((p_admst)myinputs[1]->data)->_item.p;
+    p_expression mysupexpr=(p_expression)((p_admst)myinputs[2]->data)->_item.p;
+    /*xnew*/p_admst myadmst=adms_admst_newpa(dot,dot,(p_adms)adms_range_new(mymodule,myinfexpr,mysupexpr));
+    return myadmst;
+  }
+}
+
+/* ------- jacobian -------------- */
+void adms_jacobian_free (p_jacobian myjacobian)
+{
+  if(!myjacobian)
+    return;
+  free(myjacobian);
+}
+
+void adms_jacobian_valueto_module (p_jacobian myjacobian,p_module _module)
+{
+  myjacobian->_module=_module;
+  myjacobian->_module_isdefault=0;
+}
+
+void adms_jacobian_valueto_row (p_jacobian myjacobian,p_node _row)
+{
+  myjacobian->_row=_row;
+  myjacobian->_row_isdefault=0;
+}
+
+void adms_jacobian_valueto_column (p_jacobian myjacobian,p_node _column)
+{
+  myjacobian->_column=_column;
+  myjacobian->_column_isdefault=0;
+}
+
+void adms_jacobian_valueto_diagonal (p_jacobian myjacobian,admse _diagonal)
+{
+  myjacobian->_diagonal=_diagonal;
+  myjacobian->_diagonal_isdefault=0;
+}
+
+void adms_jacobian_valueto_static (p_jacobian myjacobian,admse _static)
+{
+  myjacobian->_static=_static;
+  myjacobian->_static_isdefault=0;
+}
+
+void adms_jacobian_valueto_dynamic (p_jacobian myjacobian,admse _dynamic)
+{
+  myjacobian->_dynamic=_dynamic;
+  myjacobian->_dynamic_isdefault=0;
+}
+p_jacobian adms_jacobian_new (p_module mymodule,p_node myrow,p_node mycolumn)
+{
+  p_jacobian mynewjacobian=(p_jacobian) calloc(1,(size_t)sizeof(t_jacobian));
+  mynewjacobian->_adms._datatypename=admse_jacobian;
+  mynewjacobian->_module=mymodule;
+  mynewjacobian->_module_isdefault=1;
+  mynewjacobian->_row=myrow;
+  mynewjacobian->_row_isdefault=1;
+  mynewjacobian->_column=mycolumn;
+  mynewjacobian->_column_isdefault=1;
+  mynewjacobian->_diagonal=admse_no;
+  mynewjacobian->_diagonal_isdefault=1;
+  mynewjacobian->_static=admse_no;
+  mynewjacobian->_static_isdefault=1;
+  mynewjacobian->_dynamic=admse_no;
+  mynewjacobian->_dynamic_isdefault=1;
+  return mynewjacobian;
+}
+char*adms_jacobian_uid (p_jacobian mynewjacobian)
+{
+  char*myuid=NULL;
+  adms_strconcat(&myuid,adms_node_uid(mynewjacobian->_row));
+  adms_k2strconcat(&myuid,":");
+  adms_strconcat(&myuid,adms_node_uid(mynewjacobian->_column));
+  return myuid;
+}
+static p_admst adms_jacobian_xnew (p_ktransform mytransform,p_admst dot,p_slist myinputs[],const int size)
+{
+  if(size!=3)
+  {
+    adms_message_fatal_continue(("admst:new(jacobian) bad number of inputs (found %i, expected 3)\n",size))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else
+  {
+    p_module mymodule=(p_module)((p_admst)myinputs[0]->data)->_item.p;
+    p_node myrow=(p_node)((p_admst)myinputs[1]->data)->_item.p;
+    p_node mycolumn=(p_node)((p_admst)myinputs[2]->data)->_item.p;
+    /*xnew*/p_admst myadmst=adms_admst_newpa(dot,dot,(p_adms)adms_jacobian_new(mymodule,myrow,mycolumn));
+    return myadmst;
+  }
+}
+
+/* ------- analog -------------- */
+void adms_analog_free (p_analog myanalog)
+{
+  if(!myanalog)
+    return;
+  free(myanalog);
+}
+
+void adms_analog_valueto_code (p_analog myanalog,p_adms _code)
+{
+  myanalog->_code=_code;
+  myanalog->_code_isdefault=0;
+}
+p_analog adms_analog_new (p_adms mycode)
+{
+  p_analog mynewanalog=(p_analog) calloc(1,(size_t)sizeof(t_analog));
+  mynewanalog->_adms._datatypename=admse_analog;
+  mynewanalog->_code=mycode;
+  mynewanalog->_code_isdefault=1;
+  return mynewanalog;
+}
+char*adms_analog_uid (p_analog mynewanalog)
+{
+  char*myuid=NULL;
+  adms_k2strconcat(&myuid,"analog ");
+  adms_strconcat(&myuid,adms_admsxml_uid(mynewanalog->_code));
+  return myuid;
+}
+static p_admst adms_analog_xnew (p_ktransform mytransform,p_admst dot,p_slist myinputs[],const int size)
+{
+  if(size!=1)
+  {
+    adms_message_fatal_continue(("admst:new(analog) bad number of inputs (found %i, expected 1)\n",size))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else
+  {
+    p_adms mycode=(p_adms)((p_admst)myinputs[0]->data)->_item.p;
+    /*xnew*/p_admst myadmst=adms_admst_newpa(dot,dot,(p_adms)adms_analog_new(mycode));
+    return myadmst;
+  }
+}
+
+/* ------- math -------------- */
+void adms_math_free (p_math mymath)
+{
+  if(!mymath)
+    return;
+  free(mymath->_name);
+  adms_slist_free(mymath->_attribute);
+  free(mymath);
+}
+
+void adms_math_valueto_name (p_math mymath,const char* _name)
+{
+  mymath->_name=adms_kclone(_name);
+  mymath->_name_isdefault=0;
+}
+
+void adms_math_valueto_value (p_math mymath,double _value)
+{
+  mymath->_value=_value;
+  mymath->_value_isdefault=0;
+}
+
+void adms_math_valueto_static (p_math mymath,admse _static)
+{
+  mymath->_static=_static;
+  mymath->_static_isdefault=0;
+}
+
+void adms_math_valueto_dynamic (p_math mymath,admse _dynamic)
+{
+  mymath->_dynamic=_dynamic;
+  mymath->_dynamic_isdefault=0;
+}
+
+void adms_math_valueto_dependency (p_math mymath,admse _dependency)
+{
+  mymath->_dependency=_dependency;
+  mymath->_dependency_isdefault=0;
+}
+
+void adms_math_valueto_attribute (p_math mymath,p_slist _attribute)
+{
+  mymath->_attribute=_attribute;
+  mymath->_attribute_isdefault=0;
+}
+p_math adms_math_new (const char* myname)
+{
+  p_math mynewmath=(p_math) calloc(1,(size_t)sizeof(t_math));
+  mynewmath->_adms._datatypename=admse_math;
+  mynewmath->_name=adms_kclone(myname);
+  mynewmath->_name_isdefault=1;
+  mynewmath->_value=adms_NAN;
+  mynewmath->_value_isdefault=1;
+  mynewmath->_static=admse_no;
+  mynewmath->_static_isdefault=1;
+  mynewmath->_dynamic=admse_no;
+  mynewmath->_dynamic_isdefault=1;
+  mynewmath->_dependency=admse_constant;
+  mynewmath->_dependency_isdefault=1;
+  mynewmath->_attribute_isdefault=-1;
+  return mynewmath;
+}
+char*adms_math_uid (p_math mynewmath)
+{
+  char*myuid=NULL;
+  adms_k2strconcat(&myuid,mynewmath->_name);
+  return myuid;
+}
+static p_admst adms_math_xnew (p_ktransform mytransform,p_admst dot,p_slist myinputs[],const int size)
+{
+  if(size!=1)
+  {
+    adms_message_fatal_continue(("admst:new(math) bad number of inputs (found %i, expected 1)\n",size))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else
+  {
+    char* myname=aprintf(mytransform,(p_admst)myinputs[0]->data);
+    /*xnew*/p_admst myadmst=adms_admst_newpa(dot,dot,(p_adms)adms_math_new(myname));
+    free(myname);
+    return myadmst;
+  }
+}
+
+/* ------- string -------------- */
+void adms_string_free (p_string mystring)
+{
+  if(!mystring)
+    return;
+  free(mystring->_value);
+  free(mystring);
+}
+
+void adms_string_valueto_value (p_string mystring,const char* _value)
+{
+  mystring->_value=adms_kclone(_value);
+  mystring->_value_isdefault=0;
+}
+p_string adms_string_new (const char* myvalue)
+{
+  p_string mynewstring=(p_string) calloc(1,(size_t)sizeof(t_string));
+  mynewstring->_math._adms._datatypename=admse_string;
+  mynewstring->_math._value=adms_NAN;
+  mynewstring->_math._static=admse_no;
+  mynewstring->_math._dynamic=admse_no;
+  mynewstring->_math._dependency=admse_constant;
+  mynewstring->_value=adms_kclone(myvalue);
+  mynewstring->_value_isdefault=1;
+  return mynewstring;
+}
+char*adms_string_uid (p_string mynewstring)
+{
+  char*myuid=NULL;
+  adms_k2strconcat(&myuid,"\"");
+  adms_k2strconcat(&myuid,mynewstring->_value);
+  adms_k2strconcat(&myuid,"\"");
+  return myuid;
+}
+static p_admst adms_string_xnew (p_ktransform mytransform,p_admst dot,p_slist myinputs[],const int size)
+{
+  if(size!=1)
+  {
+    adms_message_fatal_continue(("admst:new(string) bad number of inputs (found %i, expected 1)\n",size))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else
+  {
+    char* myvalue=aprintf(mytransform,(p_admst)myinputs[0]->data);
+    /*xnew*/p_admst myadmst=adms_admst_newpa(dot,dot,(p_adms)adms_string_new(myvalue));
+    free(myvalue);
+    return myadmst;
+  }
+}
+
+/* ------- subexpression -------------- */
+void adms_subexpression_free (p_subexpression mysubexpression)
+{
+  if(!mysubexpression)
+    return;
+  free(mysubexpression);
+}
+
+void adms_subexpression_valueto_expression (p_subexpression mysubexpression,p_expression _expression)
+{
+  mysubexpression->_expression=_expression;
+  mysubexpression->_expression_isdefault=0;
+}
+p_subexpression adms_subexpression_new (p_expression myexpression)
+{
+  p_subexpression mynewsubexpression=(p_subexpression) calloc(1,(size_t)sizeof(t_subexpression));
+  mynewsubexpression->_math._adms._datatypename=admse_subexpression;
+  mynewsubexpression->_math._value=adms_NAN;
+  mynewsubexpression->_math._static=admse_no;
+  mynewsubexpression->_math._dynamic=admse_no;
+  mynewsubexpression->_math._dependency=admse_constant;
+  mynewsubexpression->_expression=myexpression;
+  mynewsubexpression->_expression_isdefault=1;
+  return mynewsubexpression;
+}
+char*adms_subexpression_uid (p_subexpression mynewsubexpression)
+{
+  char*myuid=NULL;
+  adms_strconcat(&myuid,adms_expression_uid(mynewsubexpression->_expression));
+  return myuid;
+}
+static p_admst adms_subexpression_xnew (p_ktransform mytransform,p_admst dot,p_slist myinputs[],const int size)
+{
+  if(size!=1)
+  {
+    adms_message_fatal_continue(("admst:new(subexpression) bad number of inputs (found %i, expected 1)\n",size))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else
+  {
+    p_expression myexpression=(p_expression)((p_admst)myinputs[0]->data)->_item.p;
+    /*xnew*/p_admst myadmst=adms_admst_newpa(dot,dot,(p_adms)adms_subexpression_new(myexpression));
+    return myadmst;
+  }
+}
+
+/* ------- mapply_unary -------------- */
+void adms_mapply_unary_free (p_mapply_unary mymapply_unary)
+{
+  if(!mymapply_unary)
+    return;
+  free(mymapply_unary);
+}
+
+void adms_mapply_unary_valueto_name (p_mapply_unary mymapply_unary,admse _name)
+{
+  mymapply_unary->_name=_name;
+  mymapply_unary->_name_isdefault=0;
+}
+
+void adms_mapply_unary_valueto_arg1 (p_mapply_unary mymapply_unary,p_adms _arg1)
+{
+  mymapply_unary->_arg1=_arg1;
+  mymapply_unary->_arg1_isdefault=0;
+}
+p_mapply_unary adms_mapply_unary_new (admse myname,p_adms myarg1)
+{
+  p_mapply_unary mynewmapply_unary=(p_mapply_unary) calloc(1,(size_t)sizeof(t_mapply_unary));
+  mynewmapply_unary->_subexpression._math._adms._datatypename=admse_mapply_unary;
+  mynewmapply_unary->_subexpression._math._value=adms_NAN;
+  mynewmapply_unary->_subexpression._math._static=admse_no;
+  mynewmapply_unary->_subexpression._math._dynamic=admse_no;
+  mynewmapply_unary->_subexpression._math._dependency=admse_constant;
+  mynewmapply_unary->_name=myname;
+  mynewmapply_unary->_name_isdefault=1;
+  mynewmapply_unary->_arg1=myarg1;
+  mynewmapply_unary->_arg1_isdefault=1;
+  return mynewmapply_unary;
+}
+char*adms_mapply_unary_uid (p_mapply_unary mynewmapply_unary)
+{
+  char*myuid=NULL;
+  if(mynewmapply_unary->_name==admse_plus)
+  {
+    adms_k2strconcat(&myuid,"(+");
+    adms_strconcat(&myuid,adms_admsxml_uid(mynewmapply_unary->_arg1));
+    adms_k2strconcat(&myuid,")");
+  }
+  if(mynewmapply_unary->_name==admse_minus)
+  {
+    adms_k2strconcat(&myuid,"(-");
+    adms_strconcat(&myuid,adms_admsxml_uid(mynewmapply_unary->_arg1));
+    adms_k2strconcat(&myuid,")");
+  }
+  if(mynewmapply_unary->_name==admse_not)
+  {
+    adms_k2strconcat(&myuid,"(!");
+    adms_strconcat(&myuid,adms_admsxml_uid(mynewmapply_unary->_arg1));
+    adms_k2strconcat(&myuid,")");
+  }
+  if(mynewmapply_unary->_name==admse_bw_not)
+  {
+    adms_k2strconcat(&myuid,"(~");
+    adms_strconcat(&myuid,adms_admsxml_uid(mynewmapply_unary->_arg1));
+    adms_k2strconcat(&myuid,")");
+  }
+  return myuid;
+}
+static p_admst adms_mapply_unary_xnew (p_ktransform mytransform,p_admst dot,p_slist myinputs[],const int size)
+{
+  if(size!=2)
+  {
+    adms_message_fatal_continue(("admst:new(mapply_unary) bad number of inputs (found %i, expected 2)\n",size))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else
+  {
+    char* mynames=aprintf(mytransform,(p_admst)myinputs[0]->data);
+    admse mynamee=ns_strtoe(mynames);
+    admse myname=mynamee;
+    p_adms myarg1=(p_adms)((p_admst)myinputs[1]->data)->_item.p;
+    /*xnew*/p_admst myadmst=adms_admst_newpa(dot,dot,(p_adms)adms_mapply_unary_new(myname,myarg1));
+    if(!mynamee)
+    {
+      adms_message_fatal_continue(("cannot convert '%s' to a valid value\n",mynames))
+      adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    }
+    free(mynames);
+    return myadmst;
+  }
+}
+
+/* ------- mapply_binary -------------- */
+void adms_mapply_binary_free (p_mapply_binary mymapply_binary)
+{
+  if(!mymapply_binary)
+    return;
+  free(mymapply_binary);
+}
+
+void adms_mapply_binary_valueto_name (p_mapply_binary mymapply_binary,admse _name)
+{
+  mymapply_binary->_name=_name;
+  mymapply_binary->_name_isdefault=0;
+}
+
+void adms_mapply_binary_valueto_arg1 (p_mapply_binary mymapply_binary,p_adms _arg1)
+{
+  mymapply_binary->_arg1=_arg1;
+  mymapply_binary->_arg1_isdefault=0;
+}
+
+void adms_mapply_binary_valueto_arg2 (p_mapply_binary mymapply_binary,p_adms _arg2)
+{
+  mymapply_binary->_arg2=_arg2;
+  mymapply_binary->_arg2_isdefault=0;
+}
+p_mapply_binary adms_mapply_binary_new (admse myname,p_adms myarg1,p_adms myarg2)
+{
+  p_mapply_binary mynewmapply_binary=(p_mapply_binary) calloc(1,(size_t)sizeof(t_mapply_binary));
+  mynewmapply_binary->_subexpression._math._adms._datatypename=admse_mapply_binary;
+  mynewmapply_binary->_subexpression._math._value=adms_NAN;
+  mynewmapply_binary->_subexpression._math._static=admse_no;
+  mynewmapply_binary->_subexpression._math._dynamic=admse_no;
+  mynewmapply_binary->_subexpression._math._dependency=admse_constant;
+  mynewmapply_binary->_name=myname;
+  mynewmapply_binary->_name_isdefault=1;
+  mynewmapply_binary->_arg1=myarg1;
+  mynewmapply_binary->_arg1_isdefault=1;
+  mynewmapply_binary->_arg2=myarg2;
+  mynewmapply_binary->_arg2_isdefault=1;
+  return mynewmapply_binary;
+}
+char*adms_mapply_binary_uid (p_mapply_binary mynewmapply_binary)
+{
+  char*myuid=NULL;
+  if(mynewmapply_binary->_name==admse_bw_equr)
+  {
+    adms_k2strconcat(&myuid,"(");
+    adms_strconcat(&myuid,adms_admsxml_uid(mynewmapply_binary->_arg1));
+    adms_k2strconcat(&myuid,"^~");
+    adms_strconcat(&myuid,adms_admsxml_uid(mynewmapply_binary->_arg2));
+    adms_k2strconcat(&myuid,")");
+  }
+  if(mynewmapply_binary->_name==admse_bw_equl)
+  {
+    adms_k2strconcat(&myuid,"(");
+    adms_strconcat(&myuid,adms_admsxml_uid(mynewmapply_binary->_arg1));
+    adms_k2strconcat(&myuid,"~^");
+    adms_strconcat(&myuid,adms_admsxml_uid(mynewmapply_binary->_arg2));
+    adms_k2strconcat(&myuid,")");
+  }
+  if(mynewmapply_binary->_name==admse_bw_xor)
+  {
+    adms_k2strconcat(&myuid,"(");
+    adms_strconcat(&myuid,adms_admsxml_uid(mynewmapply_binary->_arg1));
+    adms_k2strconcat(&myuid,"^");
+    adms_strconcat(&myuid,adms_admsxml_uid(mynewmapply_binary->_arg2));
+    adms_k2strconcat(&myuid,")");
+  }
+  if(mynewmapply_binary->_name==admse_bw_or)
+  {
+    adms_k2strconcat(&myuid,"(");
+    adms_strconcat(&myuid,adms_admsxml_uid(mynewmapply_binary->_arg1));
+    adms_k2strconcat(&myuid,"|");
+    adms_strconcat(&myuid,adms_admsxml_uid(mynewmapply_binary->_arg2));
+    adms_k2strconcat(&myuid,")");
+  }
+  if(mynewmapply_binary->_name==admse_bw_and)
+  {
+    adms_k2strconcat(&myuid,"(");
+    adms_strconcat(&myuid,adms_admsxml_uid(mynewmapply_binary->_arg1));
+    adms_k2strconcat(&myuid,"&");
+    adms_strconcat(&myuid,adms_admsxml_uid(mynewmapply_binary->_arg2));
+    adms_k2strconcat(&myuid,")");
+  }
+  if(mynewmapply_binary->_name==admse_or)
+  {
+    adms_k2strconcat(&myuid,"(");
+    adms_strconcat(&myuid,adms_admsxml_uid(mynewmapply_binary->_arg1));
+    adms_k2strconcat(&myuid,"||");
+    adms_strconcat(&myuid,adms_admsxml_uid(mynewmapply_binary->_arg2));
+    adms_k2strconcat(&myuid,")");
+  }
+  if(mynewmapply_binary->_name==admse_and)
+  {
+    adms_k2strconcat(&myuid,"(");
+    adms_strconcat(&myuid,adms_admsxml_uid(mynewmapply_binary->_arg1));
+    adms_k2strconcat(&myuid,"&&");
+    adms_strconcat(&myuid,adms_admsxml_uid(mynewmapply_binary->_arg2));
+    adms_k2strconcat(&myuid,")");
+  }
+  if(mynewmapply_binary->_name==admse_equ)
+  {
+    adms_k2strconcat(&myuid,"(");
+    adms_strconcat(&myuid,adms_admsxml_uid(mynewmapply_binary->_arg1));
+    adms_k2strconcat(&myuid,"==");
+    adms_strconcat(&myuid,adms_admsxml_uid(mynewmapply_binary->_arg2));
+    adms_k2strconcat(&myuid,")");
+  }
+  if(mynewmapply_binary->_name==admse_notequ)
+  {
+    adms_k2strconcat(&myuid,"(");
+    adms_strconcat(&myuid,adms_admsxml_uid(mynewmapply_binary->_arg1));
+    adms_k2strconcat(&myuid,"!=");
+    adms_strconcat(&myuid,adms_admsxml_uid(mynewmapply_binary->_arg2));
+    adms_k2strconcat(&myuid,")");
+  }
+  if(mynewmapply_binary->_name==admse_lt)
+  {
+    adms_k2strconcat(&myuid,"(");
+    adms_strconcat(&myuid,adms_admsxml_uid(mynewmapply_binary->_arg1));
+    adms_k2strconcat(&myuid,"<=");
+    adms_strconcat(&myuid,adms_admsxml_uid(mynewmapply_binary->_arg2));
+    adms_k2strconcat(&myuid,")");
+  }
+  if(mynewmapply_binary->_name==admse_lt_equ)
+  {
+    adms_k2strconcat(&myuid,"(");
+    adms_strconcat(&myuid,adms_admsxml_uid(mynewmapply_binary->_arg1));
+    adms_k2strconcat(&myuid,"<=");
+    adms_strconcat(&myuid,adms_admsxml_uid(mynewmapply_binary->_arg2));
+    adms_k2strconcat(&myuid,")");
+  }
+  if(mynewmapply_binary->_name==admse_gt)
+  {
+    adms_k2strconcat(&myuid,"(");
+    adms_strconcat(&myuid,adms_admsxml_uid(mynewmapply_binary->_arg1));
+    adms_k2strconcat(&myuid,">");
+    adms_strconcat(&myuid,adms_admsxml_uid(mynewmapply_binary->_arg2));
+    adms_k2strconcat(&myuid,")");
+  }
+  if(mynewmapply_binary->_name==admse_gt_equ)
+  {
+    adms_k2strconcat(&myuid,"(");
+    adms_strconcat(&myuid,adms_admsxml_uid(mynewmapply_binary->_arg1));
+    adms_k2strconcat(&myuid,">=");
+    adms_strconcat(&myuid,adms_admsxml_uid(mynewmapply_binary->_arg2));
+    adms_k2strconcat(&myuid,")");
+  }
+  if(mynewmapply_binary->_name==admse_shiftr)
+  {
+    adms_k2strconcat(&myuid,"(");
+    adms_strconcat(&myuid,adms_admsxml_uid(mynewmapply_binary->_arg1));
+    adms_k2strconcat(&myuid,">>");
+    adms_strconcat(&myuid,adms_admsxml_uid(mynewmapply_binary->_arg2));
+    adms_k2strconcat(&myuid,")");
+  }
+  if(mynewmapply_binary->_name==admse_shiftl)
+  {
+    adms_k2strconcat(&myuid,"(");
+    adms_strconcat(&myuid,adms_admsxml_uid(mynewmapply_binary->_arg1));
+    adms_k2strconcat(&myuid,"<<");
+    adms_strconcat(&myuid,adms_admsxml_uid(mynewmapply_binary->_arg2));
+    adms_k2strconcat(&myuid,")");
+  }
+  if(mynewmapply_binary->_name==admse_addp)
+  {
+    adms_k2strconcat(&myuid,"(");
+    adms_strconcat(&myuid,adms_admsxml_uid(mynewmapply_binary->_arg1));
+    adms_k2strconcat(&myuid,"+");
+    adms_strconcat(&myuid,adms_admsxml_uid(mynewmapply_binary->_arg2));
+    adms_k2strconcat(&myuid,")");
+  }
+  if(mynewmapply_binary->_name==admse_addm)
+  {
+    adms_k2strconcat(&myuid,"(");
+    adms_strconcat(&myuid,adms_admsxml_uid(mynewmapply_binary->_arg1));
+    adms_k2strconcat(&myuid,"-");
+    adms_strconcat(&myuid,adms_admsxml_uid(mynewmapply_binary->_arg2));
+    adms_k2strconcat(&myuid,")");
+  }
+  if(mynewmapply_binary->_name==admse_multtime)
+  {
+    adms_k2strconcat(&myuid,"(");
+    adms_strconcat(&myuid,adms_admsxml_uid(mynewmapply_binary->_arg1));
+    adms_k2strconcat(&myuid,"*");
+    adms_strconcat(&myuid,adms_admsxml_uid(mynewmapply_binary->_arg2));
+    adms_k2strconcat(&myuid,")");
+  }
+  if(mynewmapply_binary->_name==admse_multdiv)
+  {
+    adms_k2strconcat(&myuid,"(");
+    adms_strconcat(&myuid,adms_admsxml_uid(mynewmapply_binary->_arg1));
+    adms_k2strconcat(&myuid,"/");
+    adms_strconcat(&myuid,adms_admsxml_uid(mynewmapply_binary->_arg2));
+    adms_k2strconcat(&myuid,")");
+  }
+  if(mynewmapply_binary->_name==admse_multmod)
+  {
+    adms_k2strconcat(&myuid,"(");
+    adms_strconcat(&myuid,adms_admsxml_uid(mynewmapply_binary->_arg1));
+    adms_k2strconcat(&myuid,"%");
+    adms_strconcat(&myuid,adms_admsxml_uid(mynewmapply_binary->_arg2));
+    adms_k2strconcat(&myuid,")");
+  }
+  return myuid;
+}
+static p_admst adms_mapply_binary_xnew (p_ktransform mytransform,p_admst dot,p_slist myinputs[],const int size)
+{
+  if(size!=3)
+  {
+    adms_message_fatal_continue(("admst:new(mapply_binary) bad number of inputs (found %i, expected 3)\n",size))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else
+  {
+    char* mynames=aprintf(mytransform,(p_admst)myinputs[0]->data);
+    admse mynamee=ns_strtoe(mynames);
+    admse myname=mynamee;
+    p_adms myarg1=(p_adms)((p_admst)myinputs[1]->data)->_item.p;
+    p_adms myarg2=(p_adms)((p_admst)myinputs[2]->data)->_item.p;
+    /*xnew*/p_admst myadmst=adms_admst_newpa(dot,dot,(p_adms)adms_mapply_binary_new(myname,myarg1,myarg2));
+    if(!mynamee)
+    {
+      adms_message_fatal_continue(("cannot convert '%s' to a valid value\n",mynames))
+      adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    }
+    free(mynames);
+    return myadmst;
+  }
+}
+
+/* ------- mapply_ternary -------------- */
+void adms_mapply_ternary_free (p_mapply_ternary mymapply_ternary)
+{
+  if(!mymapply_ternary)
+    return;
+  free(mymapply_ternary);
+}
+
+void adms_mapply_ternary_valueto_name (p_mapply_ternary mymapply_ternary,admse _name)
+{
+  mymapply_ternary->_name=_name;
+  mymapply_ternary->_name_isdefault=0;
+}
+
+void adms_mapply_ternary_valueto_arg1 (p_mapply_ternary mymapply_ternary,p_adms _arg1)
+{
+  mymapply_ternary->_arg1=_arg1;
+  mymapply_ternary->_arg1_isdefault=0;
+}
+
+void adms_mapply_ternary_valueto_arg2 (p_mapply_ternary mymapply_ternary,p_adms _arg2)
+{
+  mymapply_ternary->_arg2=_arg2;
+  mymapply_ternary->_arg2_isdefault=0;
+}
+
+void adms_mapply_ternary_valueto_arg3 (p_mapply_ternary mymapply_ternary,p_adms _arg3)
+{
+  mymapply_ternary->_arg3=_arg3;
+  mymapply_ternary->_arg3_isdefault=0;
+}
+p_mapply_ternary adms_mapply_ternary_new (admse myname,p_adms myarg1,p_adms myarg2,p_adms myarg3)
+{
+  p_mapply_ternary mynewmapply_ternary=(p_mapply_ternary) calloc(1,(size_t)sizeof(t_mapply_ternary));
+  mynewmapply_ternary->_subexpression._math._adms._datatypename=admse_mapply_ternary;
+  mynewmapply_ternary->_subexpression._math._value=adms_NAN;
+  mynewmapply_ternary->_subexpression._math._static=admse_no;
+  mynewmapply_ternary->_subexpression._math._dynamic=admse_no;
+  mynewmapply_ternary->_subexpression._math._dependency=admse_constant;
+  mynewmapply_ternary->_name=myname;
+  mynewmapply_ternary->_name_isdefault=1;
+  mynewmapply_ternary->_arg1=myarg1;
+  mynewmapply_ternary->_arg1_isdefault=1;
+  mynewmapply_ternary->_arg2=myarg2;
+  mynewmapply_ternary->_arg2_isdefault=1;
+  mynewmapply_ternary->_arg3=myarg3;
+  mynewmapply_ternary->_arg3_isdefault=1;
+  return mynewmapply_ternary;
+}
+char*adms_mapply_ternary_uid (p_mapply_ternary mynewmapply_ternary)
+{
+  char*myuid=NULL;
+  if(mynewmapply_ternary->_name==admse_conditional)
+  {
+    adms_k2strconcat(&myuid,"(");
+    adms_strconcat(&myuid,adms_admsxml_uid(mynewmapply_ternary->_arg1));
+    adms_k2strconcat(&myuid,"?");
+    adms_strconcat(&myuid,adms_admsxml_uid(mynewmapply_ternary->_arg2));
+    adms_k2strconcat(&myuid,":");
+    adms_strconcat(&myuid,adms_admsxml_uid(mynewmapply_ternary->_arg3));
+    adms_k2strconcat(&myuid,")");
+  }
+  return myuid;
+}
+static p_admst adms_mapply_ternary_xnew (p_ktransform mytransform,p_admst dot,p_slist myinputs[],const int size)
+{
+  if(size!=4)
+  {
+    adms_message_fatal_continue(("admst:new(mapply_ternary) bad number of inputs (found %i, expected 4)\n",size))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else
+  {
+    char* mynames=aprintf(mytransform,(p_admst)myinputs[0]->data);
+    admse mynamee=ns_strtoe(mynames);
+    admse myname=mynamee;
+    p_adms myarg1=(p_adms)((p_admst)myinputs[1]->data)->_item.p;
+    p_adms myarg2=(p_adms)((p_admst)myinputs[2]->data)->_item.p;
+    p_adms myarg3=(p_adms)((p_admst)myinputs[3]->data)->_item.p;
+    /*xnew*/p_admst myadmst=adms_admst_newpa(dot,dot,(p_adms)adms_mapply_ternary_new(myname,myarg1,myarg2,myarg3));
+    if(!mynamee)
+    {
+      adms_message_fatal_continue(("cannot convert '%s' to a valid value\n",mynames))
+      adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    }
+    free(mynames);
+    return myadmst;
+  }
+}
+
+/* ------- number -------------- */
+void adms_number_free (p_number mynumber)
+{
+  if(!mynumber)
+    return;
+  free(mynumber);
+}
+
+void adms_number_valueto_lexval (p_number mynumber,p_lexval _lexval)
+{
+  mynumber->_lexval=_lexval;
+  mynumber->_lexval_isdefault=0;
+}
+
+void adms_number_valueto_scalingunit (p_number mynumber,admse _scalingunit)
+{
+  mynumber->_scalingunit=_scalingunit;
+  mynumber->_scalingunit_isdefault=0;
+}
+p_number adms_number_new (p_lexval mylexval)
+{
+  p_number mynewnumber=(p_number) calloc(1,(size_t)sizeof(t_number));
+  mynewnumber->_subexpression._math._adms._datatypename=admse_number;
+  mynewnumber->_subexpression._math._value=adms_NAN;
+  mynewnumber->_subexpression._math._static=admse_no;
+  mynewnumber->_subexpression._math._dynamic=admse_no;
+  mynewnumber->_subexpression._math._dependency=admse_constant;
+  mynewnumber->_lexval=mylexval;
+  mynewnumber->_lexval_isdefault=1;
+  mynewnumber->_scalingunit=admse_1;
+  mynewnumber->_scalingunit_isdefault=1;
+  return mynewnumber;
+}
+char*adms_number_uid (p_number mynewnumber)
+{
+  char*myuid=NULL;
+  adms_strconcat(&myuid,adms_lexval_uid(mynewnumber->_lexval));
+  return myuid;
+}
+static p_admst adms_number_xnew (p_ktransform mytransform,p_admst dot,p_slist myinputs[],const int size)
+{
+  if(size!=1)
+  {
+    adms_message_fatal_continue(("admst:new(number) bad number of inputs (found %i, expected 1)\n",size))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else
+  {
+    char* mylexvals=aprintf(mytransform,(p_admst)myinputs[0]->data);
+    p_lexval mylexval=adms_lexval_new(mylexvals,adms_transform_uid(mytransform),0,0);
+    /*xnew*/p_admst myadmst=adms_admst_newpa(dot,dot,(p_adms)adms_number_new(mylexval));
+    free(mylexvals);
+    return myadmst;
+  }
+}
+
+/* ------- function -------------- */
+void adms_function_free (p_function myfunction)
+{
+  if(!myfunction)
+    return;
+  adms_slist_free(myfunction->_arguments);
+  free(myfunction);
+}
+
+void adms_function_valueto_lexval (p_function myfunction,p_lexval _lexval)
+{
+  myfunction->_lexval=_lexval;
+  myfunction->_lexval_isdefault=0;
+}
+
+void adms_function_valueto_unique_id (p_function myfunction,int _unique_id)
+{
+  myfunction->_unique_id=_unique_id;
+  myfunction->_unique_id_isdefault=0;
+}
+
+void adms_function_valueto_definition (p_function myfunction,p_analogfunction _definition)
+{
+  myfunction->_definition=_definition;
+  myfunction->_definition_isdefault=0;
+}
+
+void adms_function_valueto_class (p_function myfunction,admse _class)
+{
+  myfunction->_class=_class;
+  myfunction->_class_isdefault=0;
+}
+
+void adms_function_valueto_arguments (p_function myfunction,p_slist _arguments)
+{
+  myfunction->_arguments=_arguments;
+  myfunction->_arguments_isdefault=0;
+}
+p_function adms_function_new (p_lexval mylexval,int myunique_id)
+{
+  p_function mynewfunction=(p_function) calloc(1,(size_t)sizeof(t_function));
+  mynewfunction->_subexpression._math._adms._datatypename=admse_function;
+  mynewfunction->_subexpression._math._value=adms_NAN;
+  mynewfunction->_subexpression._math._static=admse_no;
+  mynewfunction->_subexpression._math._dynamic=admse_no;
+  mynewfunction->_subexpression._math._dependency=admse_constant;
+  mynewfunction->_lexval=mylexval;
+  mynewfunction->_lexval_isdefault=1;
+  mynewfunction->_unique_id=myunique_id;
+  mynewfunction->_unique_id_isdefault=1;
+  mynewfunction->_definition_isdefault=-1;
+  mynewfunction->_class=admse_analog;
+  mynewfunction->_class_isdefault=1;
+  mynewfunction->_arguments_isdefault=-1;
+  return mynewfunction;
+}
+char*adms_function_uid (p_function mynewfunction)
+{
+  char*myuid=NULL;
+  adms_strconcat(&myuid,adms_lexval_uid(mynewfunction->_lexval));
+  adms_k2strconcat(&myuid,"(");
+  {
+    p_slist list=mynewfunction->_arguments;
+    while(list)
+    {
+      adms_strconcat(&myuid,adms_admsxml_uid(list->data));
+      if(list->next) adms_k2strconcat(&myuid,",");
+      list=list->next;
+    }
+  }
+  adms_k2strconcat(&myuid,")");
+  return myuid;
+}
+static p_admst adms_function_xnew (p_ktransform mytransform,p_admst dot,p_slist myinputs[],const int size)
+{
+  if(size!=2)
+  {
+    adms_message_fatal_continue(("admst:new(function) bad number of inputs (found %i, expected 2)\n",size))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else
+  {
+    char* mylexvals=aprintf(mytransform,(p_admst)myinputs[0]->data);
+    p_lexval mylexval=adms_lexval_new(mylexvals,adms_transform_uid(mytransform),0,0);
+    char* myunique_ids=aprintf(mytransform,(p_admst)myinputs[1]->data);
+    int myunique_id=adms_strtol(mytransform,myunique_ids);
+    /*xnew*/p_admst myadmst=adms_admst_newpa(dot,dot,(p_adms)adms_function_new(mylexval,myunique_id));
+    free(mylexvals);
+    free(myunique_ids);
+    return myadmst;
+  }
+}
+
+/* ------- variable -------------- */
+void adms_variable_free (p_variable myvariable)
+{
+  if(!myvariable)
+    return;
+  free(myvariable);
+}
+
+void adms_variable_valueto_prototype (p_variable myvariable,p_variableprototype _prototype)
+{
+  myvariable->_prototype=_prototype;
+  myvariable->_prototype_isdefault=0;
+}
+p_variable adms_variable_new (p_variableprototype myprototype)
+{
+  p_variable mynewvariable=(p_variable) calloc(1,(size_t)sizeof(t_variable));
+  mynewvariable->_subexpression._math._adms._datatypename=admse_variable;
+  mynewvariable->_subexpression._math._value=adms_NAN;
+  mynewvariable->_subexpression._math._static=admse_no;
+  mynewvariable->_subexpression._math._dynamic=admse_no;
+  mynewvariable->_subexpression._math._dependency=admse_constant;
+  mynewvariable->_prototype=myprototype;
+  mynewvariable->_prototype_isdefault=1;
+  return mynewvariable;
+}
+char*adms_variable_uid (p_variable mynewvariable)
+{
+  char*myuid=NULL;
+  adms_strconcat(&myuid,adms_variableprototype_uid(mynewvariable->_prototype));
+  return myuid;
+}
+static p_admst adms_variable_xnew (p_ktransform mytransform,p_admst dot,p_slist myinputs[],const int size)
+{
+  if(size==3)
+    return adms_variableprototype_xnew (mytransform,dot,myinputs,size);
+  else  if(size!=1)
+  {
+    adms_message_fatal_continue(("admst:new(variable) bad number of inputs (found %i, expected 1)\n",size))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else
+  {
+    p_variableprototype myprototype=(p_variableprototype)((p_admst)myinputs[0]->data)->_item.p;
+    /*xnew*/p_admst myadmst=adms_admst_newpa(dot,dot,(p_adms)adms_variable_new(myprototype));
+    return myadmst;
+  }
+}
+
+/* ------- array -------------- */
+void adms_array_free (p_array myarray)
+{
+  if(!myarray)
+    return;
+  free(myarray);
+}
+
+void adms_array_valueto_variable (p_array myarray,p_variable _variable)
+{
+  myarray->_variable=_variable;
+  myarray->_variable_isdefault=0;
+}
+
+void adms_array_valueto_index (p_array myarray,p_adms _index)
+{
+  myarray->_index=_index;
+  myarray->_index_isdefault=0;
+}
+p_array adms_array_new (p_variable myvariable,p_adms myindex)
+{
+  p_array mynewarray=(p_array) calloc(1,(size_t)sizeof(t_array));
+  mynewarray->_subexpression._math._adms._datatypename=admse_array;
+  mynewarray->_subexpression._math._value=adms_NAN;
+  mynewarray->_subexpression._math._static=admse_no;
+  mynewarray->_subexpression._math._dynamic=admse_no;
+  mynewarray->_subexpression._math._dependency=admse_constant;
+  mynewarray->_variable=myvariable;
+  mynewarray->_variable_isdefault=1;
+  mynewarray->_index=myindex;
+  mynewarray->_index_isdefault=1;
+  return mynewarray;
+}
+char*adms_array_uid (p_array mynewarray)
+{
+  char*myuid=NULL;
+  adms_strconcat(&myuid,adms_variable_uid(mynewarray->_variable));
+  adms_k2strconcat(&myuid,"[");
+  adms_strconcat(&myuid,adms_admsxml_uid(mynewarray->_index));
+  adms_k2strconcat(&myuid,"]");
+  return myuid;
+}
+static p_admst adms_array_xnew (p_ktransform mytransform,p_admst dot,p_slist myinputs[],const int size)
+{
+  if(size!=2)
+  {
+    adms_message_fatal_continue(("admst:new(array) bad number of inputs (found %i, expected 2)\n",size))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else
+  {
+    p_variable myvariable=(p_variable)((p_admst)myinputs[0]->data)->_item.p;
+    p_adms myindex=(p_adms)((p_admst)myinputs[1]->data)->_item.p;
+    /*xnew*/p_admst myadmst=adms_admst_newpa(dot,dot,(p_adms)adms_array_new(myvariable,myindex));
+    return myadmst;
+  }
+}
+
+/* ------- probe -------------- */
+void adms_probe_free (p_probe myprobe)
+{
+  if(!myprobe)
+    return;
+  free(myprobe);
+}
+
+void adms_probe_valueto_module (p_probe myprobe,p_module _module)
+{
+  myprobe->_module=_module;
+  myprobe->_module_isdefault=0;
+}
+
+void adms_probe_valueto_branch (p_probe myprobe,p_branch _branch)
+{
+  myprobe->_branch=_branch;
+  myprobe->_branch_isdefault=0;
+}
+
+void adms_probe_valueto_nature (p_probe myprobe,p_nature _nature)
+{
+  myprobe->_nature=_nature;
+  myprobe->_nature_isdefault=0;
+}
+
+void adms_probe_valueto_seen (p_probe myprobe,admse _seen)
+{
+  myprobe->_seen=_seen;
+  myprobe->_seen_isdefault=0;
+}
+
+void adms_probe_valueto_discipline (p_probe myprobe,p_discipline _discipline)
+{
+  myprobe->_discipline=_discipline;
+  myprobe->_discipline_isdefault=0;
+}
+
+void adms_probe_valueto_grounded (p_probe myprobe,admse _grounded)
+{
+  myprobe->_grounded=_grounded;
+  myprobe->_grounded_isdefault=0;
+}
+
+void adms_probe_valueto_source (p_probe myprobe,p_source _source)
+{
+  myprobe->_source=_source;
+  myprobe->_source_isdefault=0;
+}
+p_probe adms_probe_new (p_module mymodule,p_branch mybranch,p_nature mynature)
+{
+  p_probe mynewprobe=(p_probe) calloc(1,(size_t)sizeof(t_probe));
+  mynewprobe->_subexpression._math._adms._datatypename=admse_probe;
+  mynewprobe->_subexpression._math._value=adms_NAN;
+  mynewprobe->_subexpression._math._static=admse_no;
+  mynewprobe->_subexpression._math._dynamic=admse_no;
+  mynewprobe->_subexpression._math._dependency=admse_constant;
+  mynewprobe->_module=mymodule;
+  mynewprobe->_module_isdefault=1;
+  mynewprobe->_branch=mybranch;
+  mynewprobe->_branch_isdefault=1;
+  mynewprobe->_nature=mynature;
+  mynewprobe->_nature_isdefault=1;
+  mynewprobe->_seen=admse_no;
+  mynewprobe->_seen_isdefault=1;
+  mynewprobe->_discipline_isdefault=-1;
+  mynewprobe->_grounded=admse_no;
+  mynewprobe->_grounded_isdefault=1;
+  mynewprobe->_source_isdefault=-1;
+  return mynewprobe;
+}
+char*adms_probe_uid (p_probe mynewprobe)
+{
+  char*myuid=NULL;
+  adms_strconcat(&myuid,adms_nature_uid(mynewprobe->_nature));
+  adms_k2strconcat(&myuid,"(");
+  adms_strconcat(&myuid,adms_branch_uid(mynewprobe->_branch));
+  adms_k2strconcat(&myuid,")");
+  return myuid;
+}
+static p_admst adms_probe_xnew (p_ktransform mytransform,p_admst dot,p_slist myinputs[],const int size)
+{
+  if(size!=3)
+  {
+    adms_message_fatal_continue(("admst:new(probe) bad number of inputs (found %i, expected 3)\n",size))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else
+  {
+    p_module mymodule=(p_module)((p_admst)myinputs[0]->data)->_item.p;
+    p_branch mybranch=(p_branch)((p_admst)myinputs[1]->data)->_item.p;
+    p_nature mynature=(p_nature)((p_admst)myinputs[2]->data)->_item.p;
+    /*xnew*/p_admst myadmst=adms_admst_newpa(dot,dot,(p_adms)adms_probe_new(mymodule,mybranch,mynature));
+    return myadmst;
+  }
+}
+
+/* ------- expression -------------- */
+void adms_expression_free (p_expression myexpression)
+{
+  if(!myexpression)
+    return;
+  free(myexpression->_hasspecialnumber);
+  adms_slist_free(myexpression->_function);
+  adms_slist_free(myexpression->_probe);
+  adms_slist_free(myexpression->_variable);
+  free(myexpression);
+}
+
+void adms_expression_valueto_module (p_expression myexpression,p_module _module)
+{
+  myexpression->_module=_module;
+  myexpression->_module_isdefault=0;
+}
+
+void adms_expression_valueto_tree (p_expression myexpression,p_adms _tree)
+{
+  myexpression->_tree=_tree;
+  myexpression->_tree_isdefault=0;
+}
+
+void adms_expression_valueto_hasspecialnumber (p_expression myexpression,const char* _hasspecialnumber)
+{
+  myexpression->_hasspecialnumber=adms_kclone(_hasspecialnumber);
+  myexpression->_hasspecialnumber_isdefault=0;
+}
+
+void adms_expression_valueto_hasVoltageDependentFunction (p_expression myexpression,admse _hasVoltageDependentFunction)
+{
+  myexpression->_hasVoltageDependentFunction=_hasVoltageDependentFunction;
+  myexpression->_hasVoltageDependentFunction_isdefault=0;
+}
+
+void adms_expression_valueto_infinity (p_expression myexpression,admse _infinity)
+{
+  myexpression->_infinity=_infinity;
+  myexpression->_infinity_isdefault=0;
+}
+
+void adms_expression_valueto_TemperatureDependent (p_expression myexpression,admse _TemperatureDependent)
+{
+  myexpression->_TemperatureDependent=_TemperatureDependent;
+  myexpression->_TemperatureDependent_isdefault=0;
+}
+
+void adms_expression_valueto_value (p_expression myexpression,admse _value)
+{
+  myexpression->_value=_value;
+  myexpression->_value_isdefault=0;
+}
+
+void adms_expression_valueto_function (p_expression myexpression,p_slist _function)
+{
+  myexpression->_function=_function;
+  myexpression->_function_isdefault=0;
+}
+
+void adms_expression_valueto_probe (p_expression myexpression,p_slist _probe)
+{
+  myexpression->_probe=_probe;
+  myexpression->_probe_isdefault=0;
+}
+
+void adms_expression_valueto_variable (p_expression myexpression,p_slist _variable)
+{
+  myexpression->_variable=_variable;
+  myexpression->_variable_isdefault=0;
+}
+p_expression adms_expression_new (p_module mymodule,p_adms mytree)
+{
+  p_expression mynewexpression=(p_expression) calloc(1,(size_t)sizeof(t_expression));
+  mynewexpression->_math._adms._datatypename=admse_expression;
+  mynewexpression->_math._value=adms_NAN;
+  mynewexpression->_math._static=admse_no;
+  mynewexpression->_math._dynamic=admse_no;
+  mynewexpression->_math._dependency=admse_constant;
+  mynewexpression->_module=mymodule;
+  mynewexpression->_module_isdefault=1;
+  mynewexpression->_tree=mytree;
+  mynewexpression->_tree_isdefault=1;
+  mynewexpression->_hasspecialnumber=adms_kclone("NO");
+  mynewexpression->_hasspecialnumber_isdefault=1;
+  mynewexpression->_hasVoltageDependentFunction=admse_no;
+  mynewexpression->_hasVoltageDependentFunction_isdefault=1;
+  mynewexpression->_infinity=admse_no;
+  mynewexpression->_infinity_isdefault=1;
+  mynewexpression->_TemperatureDependent=admse_no;
+  mynewexpression->_TemperatureDependent_isdefault=1;
+  mynewexpression->_value=admse_is_any;
+  mynewexpression->_value_isdefault=1;
+  mynewexpression->_function_isdefault=-1;
+  mynewexpression->_probe_isdefault=-1;
+  mynewexpression->_variable_isdefault=-1;
+  return mynewexpression;
+}
+char*adms_expression_uid (p_expression mynewexpression)
+{
+  char*myuid=NULL;
+  adms_k2strconcat(&myuid,"(");
+  adms_strconcat(&myuid,adms_admsxml_uid(mynewexpression->_tree));
+  adms_k2strconcat(&myuid,")");
+  return myuid;
+}
+static p_admst adms_expression_xnew (p_ktransform mytransform,p_admst dot,p_slist myinputs[],const int size)
+{
+  if(size!=2)
+  {
+    adms_message_fatal_continue(("admst:new(expression) bad number of inputs (found %i, expected 2)\n",size))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else
+  {
+    p_module mymodule=(p_module)((p_admst)myinputs[0]->data)->_item.p;
+    p_adms mytree=(p_adms)((p_admst)myinputs[1]->data)->_item.p;
+    /*xnew*/p_admst myadmst=adms_admst_newpa(dot,dot,(p_adms)adms_expression_new(mymodule,mytree));
+    return myadmst;
+  }
+}
+
+/* ------- instance -------------- */
+void adms_instance_free (p_instance myinstance)
+{
+  if(!myinstance)
+    return;
+  free(myinstance->_name);
+  adms_slist_free(myinstance->_terminal);
+  adms_slist_free(myinstance->_parameterset);
+  free(myinstance);
+}
+
+void adms_instance_valueto_instantiator (p_instance myinstance,p_module _instantiator)
+{
+  myinstance->_instantiator=_instantiator;
+  myinstance->_instantiator_isdefault=0;
+}
+
+void adms_instance_valueto_module (p_instance myinstance,p_module _module)
+{
+  myinstance->_module=_module;
+  myinstance->_module_isdefault=0;
+}
+
+void adms_instance_valueto_name (p_instance myinstance,const char* _name)
+{
+  myinstance->_name=adms_kclone(_name);
+  myinstance->_name_isdefault=0;
+}
+
+void adms_instance_valueto_terminal (p_instance myinstance,p_slist _terminal)
+{
+  myinstance->_terminal=_terminal;
+  myinstance->_terminal_isdefault=0;
+}
+void adms_instance_list_terminal_prepend_once_or_abort (p_instance mymyinstance,p_instancenode myterminal)
+{
+  p_slist list=mymyinstance->_terminal;
+  while(list)
+  {
+    if(!adms_instancenode_cmp((p_instancenode)list->data,myterminal))
+    {
+      adms_message_fatal(("instance=[%s] terminal=[%s] already defined\n",
+        adms_instance_uid(mymyinstance),adms_instancenode_uid(myterminal)))
+      return;
+    }
+    list=list->next;
+  }
+  adms_slist_push(&mymyinstance->_terminal,(p_adms)myterminal);
+}
+
+void adms_instance_valueto_parameterset (p_instance myinstance,p_slist _parameterset)
+{
+  myinstance->_parameterset=_parameterset;
+  myinstance->_parameterset_isdefault=0;
+}
+void adms_instance_list_parameterset_prepend_once_or_abort (p_instance mymyinstance,p_instanceparameter myparameterset)
+{
+  p_slist list=mymyinstance->_parameterset;
+  while(list)
+  {
+    if(!adms_instanceparameter_cmp((p_instanceparameter)list->data,myparameterset))
+    {
+      adms_message_fatal(("instance=[%s] parameterset=[%s] already defined\n",
+        adms_instance_uid(mymyinstance),adms_instanceparameter_uid(myparameterset)))
+      return;
+    }
+    list=list->next;
+  }
+  adms_slist_push(&mymyinstance->_parameterset,(p_adms)myparameterset);
+}
+p_instance adms_instance_new (p_module myinstantiator,p_module mymodule,const char* myname)
+{
+  p_instance mynewinstance=(p_instance) calloc(1,(size_t)sizeof(t_instance));
+  mynewinstance->_math._adms._datatypename=admse_instance;
+  mynewinstance->_math._value=adms_NAN;
+  mynewinstance->_math._static=admse_no;
+  mynewinstance->_math._dynamic=admse_no;
+  mynewinstance->_math._dependency=admse_constant;
+  mynewinstance->_instantiator=myinstantiator;
+  mynewinstance->_instantiator_isdefault=1;
+  mynewinstance->_module=mymodule;
+  mynewinstance->_module_isdefault=1;
+  mynewinstance->_name=adms_kclone(myname);
+  mynewinstance->_name_isdefault=1;
+  mynewinstance->_terminal_isdefault=-1;
+  mynewinstance->_parameterset_isdefault=-1;
+  return mynewinstance;
+}
+char*adms_instance_uid (p_instance mynewinstance)
+{
+  char*myuid=NULL;
+  adms_strconcat(&myuid,adms_module_uid(mynewinstance->_instantiator));
+  adms_k2strconcat(&myuid,".");
+  adms_strconcat(&myuid,adms_module_uid(mynewinstance->_module));
+  adms_k2strconcat(&myuid,"#");
+  adms_k2strconcat(&myuid,mynewinstance->_name);
+  return myuid;
+}
+static p_admst adms_instance_xnew (p_ktransform mytransform,p_admst dot,p_slist myinputs[],const int size)
+{
+  if(size!=3)
+  {
+    adms_message_fatal_continue(("admst:new(instance) bad number of inputs (found %i, expected 3)\n",size))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else
+  {
+    p_module myinstantiator=(p_module)((p_admst)myinputs[0]->data)->_item.p;
+    p_module mymodule=(p_module)((p_admst)myinputs[1]->data)->_item.p;
+    char* myname=aprintf(mytransform,(p_admst)myinputs[2]->data);
+    /*xnew*/p_admst myadmst=adms_admst_newpa(dot,dot,(p_adms)adms_instance_new(myinstantiator,mymodule,myname));
+    free(myname);
+    return myadmst;
+  }
+}
+
+/* ------- instanceparameter -------------- */
+void adms_instanceparameter_free (p_instanceparameter myinstanceparameter)
+{
+  if(!myinstanceparameter)
+    return;
+  free(myinstanceparameter);
+}
+
+void adms_instanceparameter_valueto_parameter (p_instanceparameter myinstanceparameter,p_variableprototype _parameter)
+{
+  myinstanceparameter->_parameter=_parameter;
+  myinstanceparameter->_parameter_isdefault=0;
+}
+
+void adms_instanceparameter_valueto_value (p_instanceparameter myinstanceparameter,p_expression _value)
+{
+  myinstanceparameter->_value=_value;
+  myinstanceparameter->_value_isdefault=0;
+}
+p_instanceparameter adms_instanceparameter_new (p_variableprototype myparameter)
+{
+  p_instanceparameter mynewinstanceparameter=(p_instanceparameter) calloc(1,(size_t)sizeof(t_instanceparameter));
+  mynewinstanceparameter->_math._adms._datatypename=admse_instanceparameter;
+  mynewinstanceparameter->_math._value=adms_NAN;
+  mynewinstanceparameter->_math._static=admse_no;
+  mynewinstanceparameter->_math._dynamic=admse_no;
+  mynewinstanceparameter->_math._dependency=admse_constant;
+  mynewinstanceparameter->_parameter=myparameter;
+  mynewinstanceparameter->_parameter_isdefault=1;
+  mynewinstanceparameter->_value_isdefault=-1;
+  return mynewinstanceparameter;
+}
+char*adms_instanceparameter_uid (p_instanceparameter mynewinstanceparameter)
+{
+  char*myuid=NULL;
+  adms_strconcat(&myuid,adms_variableprototype_uid(mynewinstanceparameter->_parameter));
+  adms_k2strconcat(&myuid,"#");
+  adms_strconcat(&myuid,adms_expression_uid(mynewinstanceparameter->_value));
+  return myuid;
+}
+static p_admst adms_instanceparameter_xnew (p_ktransform mytransform,p_admst dot,p_slist myinputs[],const int size)
+{
+  if(size!=1)
+  {
+    adms_message_fatal_continue(("admst:new(instanceparameter) bad number of inputs (found %i, expected 1)\n",size))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else
+  {
+    p_variableprototype myparameter=(p_variableprototype)((p_admst)myinputs[0]->data)->_item.p;
+    /*xnew*/p_admst myadmst=adms_admst_newpa(dot,dot,(p_adms)adms_instanceparameter_new(myparameter));
+    return myadmst;
+  }
+}
+
+/* ------- instancenode -------------- */
+void adms_instancenode_free (p_instancenode myinstancenode)
+{
+  if(!myinstancenode)
+    return;
+  free(myinstancenode);
+}
+
+void adms_instancenode_valueto_nodefrominstantiator (p_instancenode myinstancenode,p_node _nodefrominstantiator)
+{
+  myinstancenode->_nodefrominstantiator=_nodefrominstantiator;
+  myinstancenode->_nodefrominstantiator_isdefault=0;
+}
+
+void adms_instancenode_valueto_nodefrommodule (p_instancenode myinstancenode,p_node _nodefrommodule)
+{
+  myinstancenode->_nodefrommodule=_nodefrommodule;
+  myinstancenode->_nodefrommodule_isdefault=0;
+}
+p_instancenode adms_instancenode_new (p_node mynodefrominstantiator,p_node mynodefrommodule)
+{
+  p_instancenode mynewinstancenode=(p_instancenode) calloc(1,(size_t)sizeof(t_instancenode));
+  mynewinstancenode->_math._adms._datatypename=admse_instancenode;
+  mynewinstancenode->_math._value=adms_NAN;
+  mynewinstancenode->_math._static=admse_no;
+  mynewinstancenode->_math._dynamic=admse_no;
+  mynewinstancenode->_math._dependency=admse_constant;
+  mynewinstancenode->_nodefrominstantiator=mynodefrominstantiator;
+  mynewinstancenode->_nodefrominstantiator_isdefault=1;
+  mynewinstancenode->_nodefrommodule=mynodefrommodule;
+  mynewinstancenode->_nodefrommodule_isdefault=1;
+  return mynewinstancenode;
+}
+char*adms_instancenode_uid (p_instancenode mynewinstancenode)
+{
+  char*myuid=NULL;
+  adms_strconcat(&myuid,adms_node_uid(mynewinstancenode->_nodefrominstantiator));
+  adms_k2strconcat(&myuid,"#");
+  adms_strconcat(&myuid,adms_node_uid(mynewinstancenode->_nodefrommodule));
+  return myuid;
+}
+static p_admst adms_instancenode_xnew (p_ktransform mytransform,p_admst dot,p_slist myinputs[],const int size)
+{
+  if(size!=2)
+  {
+    adms_message_fatal_continue(("admst:new(instancenode) bad number of inputs (found %i, expected 2)\n",size))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else
+  {
+    p_node mynodefrominstantiator=(p_node)((p_admst)myinputs[0]->data)->_item.p;
+    p_node mynodefrommodule=(p_node)((p_admst)myinputs[1]->data)->_item.p;
+    /*xnew*/p_admst myadmst=adms_admst_newpa(dot,dot,(p_adms)adms_instancenode_new(mynodefrominstantiator,mynodefrommodule));
+    return myadmst;
+  }
+}
+
+/* ------- nilled -------------- */
+void adms_nilled_free (p_nilled mynilled)
+{
+  if(!mynilled)
+    return;
+  free(mynilled->_name);
+  free(mynilled);
+}
+
+void adms_nilled_valueto_module (p_nilled mynilled,p_module _module)
+{
+  mynilled->_module=_module;
+  mynilled->_module_isdefault=0;
+}
+
+void adms_nilled_valueto_name (p_nilled mynilled,const char* _name)
+{
+  mynilled->_name=adms_kclone(_name);
+  mynilled->_name_isdefault=0;
+}
+p_nilled adms_nilled_new (p_module mymodule)
+{
+  p_nilled mynewnilled=(p_nilled) calloc(1,(size_t)sizeof(t_nilled));
+  mynewnilled->_math._adms._datatypename=admse_nilled;
+  mynewnilled->_math._value=adms_NAN;
+  mynewnilled->_math._static=admse_no;
+  mynewnilled->_math._dynamic=admse_no;
+  mynewnilled->_math._dependency=admse_constant;
+  mynewnilled->_module=mymodule;
+  mynewnilled->_module_isdefault=1;
+  mynewnilled->_name=adms_kclone("");
+  mynewnilled->_name_isdefault=1;
+  return mynewnilled;
+}
+char*adms_nilled_uid (p_nilled mynewnilled)
+{
+  char*myuid=NULL;
+  adms_k2strconcat(&myuid,"nilled operator");
+  return myuid;
+}
+static p_admst adms_nilled_xnew (p_ktransform mytransform,p_admst dot,p_slist myinputs[],const int size)
+{
+  if(size!=1)
+  {
+    adms_message_fatal_continue(("admst:new(nilled) bad number of inputs (found %i, expected 1)\n",size))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else
+  {
+    p_module mymodule=(p_module)((p_admst)myinputs[0]->data)->_item.p;
+    /*xnew*/p_admst myadmst=adms_admst_newpa(dot,dot,(p_adms)adms_nilled_new(mymodule));
+    return myadmst;
+  }
+}
+
+/* ------- assignment -------------- */
+void adms_assignment_free (p_assignment myassignment)
+{
+  if(!myassignment)
+    return;
+  free(myassignment->_name);
+  adms_slist_free(myassignment->_attribute);
+  free(myassignment);
+}
+
+void adms_assignment_valueto_module (p_assignment myassignment,p_module _module)
+{
+  myassignment->_module=_module;
+  myassignment->_module_isdefault=0;
+}
+
+void adms_assignment_valueto_lhs (p_assignment myassignment,p_adms _lhs)
+{
+  myassignment->_lhs=_lhs;
+  myassignment->_lhs_isdefault=0;
+}
+
+void adms_assignment_valueto_rhs (p_assignment myassignment,p_expression _rhs)
+{
+  myassignment->_rhs=_rhs;
+  myassignment->_rhs_isdefault=0;
+}
+
+void adms_assignment_valueto_lexval (p_assignment myassignment,p_lexval _lexval)
+{
+  myassignment->_lexval=_lexval;
+  myassignment->_lexval_isdefault=0;
+}
+
+void adms_assignment_valueto_name (p_assignment myassignment,const char* _name)
+{
+  myassignment->_name=adms_kclone(_name);
+  myassignment->_name_isdefault=0;
+}
+
+void adms_assignment_valueto_attribute (p_assignment myassignment,p_slist _attribute)
+{
+  myassignment->_attribute=_attribute;
+  myassignment->_attribute_isdefault=0;
+}
+p_assignment adms_assignment_new (p_module mymodule,p_adms mylhs,p_expression myrhs,p_lexval mylexval)
+{
+  p_assignment mynewassignment=(p_assignment) calloc(1,(size_t)sizeof(t_assignment));
+  mynewassignment->_math._adms._datatypename=admse_assignment;
+  mynewassignment->_math._value=adms_NAN;
+  mynewassignment->_math._static=admse_no;
+  mynewassignment->_math._dynamic=admse_no;
+  mynewassignment->_math._dependency=admse_constant;
+  mynewassignment->_module=mymodule;
+  mynewassignment->_module_isdefault=1;
+  mynewassignment->_lhs=mylhs;
+  mynewassignment->_lhs_isdefault=1;
+  mynewassignment->_rhs=myrhs;
+  mynewassignment->_rhs_isdefault=1;
+  mynewassignment->_lexval=mylexval;
+  mynewassignment->_lexval_isdefault=1;
+  mynewassignment->_name=adms_kclone("");
+  mynewassignment->_name_isdefault=1;
+  mynewassignment->_attribute_isdefault=-1;
+  return mynewassignment;
+}
+char*adms_assignment_uid (p_assignment mynewassignment)
+{
+  char*myuid=NULL;
+  adms_strconcat(&myuid,adms_admsxml_uid(mynewassignment->_lhs));
+  adms_k2strconcat(&myuid,"=");
+  adms_strconcat(&myuid,adms_expression_uid(mynewassignment->_rhs));
+  adms_k2strconcat(&myuid,";");
+  return myuid;
+}
+static p_admst adms_assignment_xnew (p_ktransform mytransform,p_admst dot,p_slist myinputs[],const int size)
+{
+  if(size!=4)
+  {
+    adms_message_fatal_continue(("admst:new(assignment) bad number of inputs (found %i, expected 4)\n",size))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else
+  {
+    p_module mymodule=(p_module)((p_admst)myinputs[0]->data)->_item.p;
+    p_adms mylhs=(p_adms)((p_admst)myinputs[1]->data)->_item.p;
+    p_expression myrhs=(p_expression)((p_admst)myinputs[2]->data)->_item.p;
+    char* mylexvals=aprintf(mytransform,(p_admst)myinputs[3]->data);
+    p_lexval mylexval=adms_lexval_new(mylexvals,adms_transform_uid(mytransform),0,0);
+    /*xnew*/p_admst myadmst=adms_admst_newpa(dot,dot,(p_adms)adms_assignment_new(mymodule,mylhs,myrhs,mylexval));
+    free(mylexvals);
+    return myadmst;
+  }
+}
+
+/* ------- contribution -------------- */
+void adms_contribution_free (p_contribution mycontribution)
+{
+  if(!mycontribution)
+    return;
+  free(mycontribution->_name);
+  adms_slist_free(mycontribution->_attribute);
+  free(mycontribution);
+}
+
+void adms_contribution_valueto_module (p_contribution mycontribution,p_module _module)
+{
+  mycontribution->_module=_module;
+  mycontribution->_module_isdefault=0;
+}
+
+void adms_contribution_valueto_lhs (p_contribution mycontribution,p_source _lhs)
+{
+  mycontribution->_lhs=_lhs;
+  mycontribution->_lhs_isdefault=0;
+}
+
+void adms_contribution_valueto_rhs (p_contribution mycontribution,p_expression _rhs)
+{
+  mycontribution->_rhs=_rhs;
+  mycontribution->_rhs_isdefault=0;
+}
+
+void adms_contribution_valueto_lexval (p_contribution mycontribution,p_lexval _lexval)
+{
+  mycontribution->_lexval=_lexval;
+  mycontribution->_lexval_isdefault=0;
+}
+
+void adms_contribution_valueto_branchalias (p_contribution mycontribution,p_branchalias _branchalias)
+{
+  mycontribution->_branchalias=_branchalias;
+  mycontribution->_branchalias_isdefault=0;
+}
+
+void adms_contribution_valueto_name (p_contribution mycontribution,const char* _name)
+{
+  mycontribution->_name=adms_kclone(_name);
+  mycontribution->_name_isdefault=0;
+}
+
+void adms_contribution_valueto_whitenoise (p_contribution mycontribution,admse _whitenoise)
+{
+  mycontribution->_whitenoise=_whitenoise;
+  mycontribution->_whitenoise_isdefault=0;
+}
+
+void adms_contribution_valueto_flickernoise (p_contribution mycontribution,admse _flickernoise)
+{
+  mycontribution->_flickernoise=_flickernoise;
+  mycontribution->_flickernoise_isdefault=0;
+}
+
+void adms_contribution_valueto_attribute (p_contribution mycontribution,p_slist _attribute)
+{
+  mycontribution->_attribute=_attribute;
+  mycontribution->_attribute_isdefault=0;
+}
+p_contribution adms_contribution_new (p_module mymodule,p_source mylhs,p_expression myrhs,p_lexval mylexval)
+{
+  p_contribution mynewcontribution=(p_contribution) calloc(1,(size_t)sizeof(t_contribution));
+  mynewcontribution->_math._adms._datatypename=admse_contribution;
+  mynewcontribution->_math._value=adms_NAN;
+  mynewcontribution->_math._static=admse_no;
+  mynewcontribution->_math._dynamic=admse_no;
+  mynewcontribution->_math._dependency=admse_constant;
+  mynewcontribution->_module=mymodule;
+  mynewcontribution->_module_isdefault=1;
+  mynewcontribution->_lhs=mylhs;
+  mynewcontribution->_lhs_isdefault=1;
+  mynewcontribution->_rhs=myrhs;
+  mynewcontribution->_rhs_isdefault=1;
+  mynewcontribution->_lexval=mylexval;
+  mynewcontribution->_lexval_isdefault=1;
+  mynewcontribution->_branchalias_isdefault=-1;
+  mynewcontribution->_name=adms_kclone("");
+  mynewcontribution->_name_isdefault=1;
+  mynewcontribution->_whitenoise=admse_no;
+  mynewcontribution->_whitenoise_isdefault=1;
+  mynewcontribution->_flickernoise=admse_no;
+  mynewcontribution->_flickernoise_isdefault=1;
+  mynewcontribution->_attribute_isdefault=-1;
+  return mynewcontribution;
+}
+char*adms_contribution_uid (p_contribution mynewcontribution)
+{
+  char*myuid=NULL;
+  adms_strconcat(&myuid,adms_source_uid(mynewcontribution->_lhs));
+  adms_k2strconcat(&myuid,"<+");
+  adms_strconcat(&myuid,adms_expression_uid(mynewcontribution->_rhs));
+  adms_k2strconcat(&myuid,";");
+  return myuid;
+}
+static p_admst adms_contribution_xnew (p_ktransform mytransform,p_admst dot,p_slist myinputs[],const int size)
+{
+  if(size!=4)
+  {
+    adms_message_fatal_continue(("admst:new(contribution) bad number of inputs (found %i, expected 4)\n",size))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else
+  {
+    p_module mymodule=(p_module)((p_admst)myinputs[0]->data)->_item.p;
+    p_source mylhs=(p_source)((p_admst)myinputs[1]->data)->_item.p;
+    p_expression myrhs=(p_expression)((p_admst)myinputs[2]->data)->_item.p;
+    char* mylexvals=aprintf(mytransform,(p_admst)myinputs[3]->data);
+    p_lexval mylexval=adms_lexval_new(mylexvals,adms_transform_uid(mytransform),0,0);
+    /*xnew*/p_admst myadmst=adms_admst_newpa(dot,dot,(p_adms)adms_contribution_new(mymodule,mylhs,myrhs,mylexval));
+    free(mylexvals);
+    return myadmst;
+  }
+}
+
+/* ------- conditional -------------- */
+void adms_conditional_free (p_conditional myconditional)
+{
+  if(!myconditional)
+    return;
+  free(myconditional->_name);
+  free(myconditional);
+}
+
+void adms_conditional_valueto_module (p_conditional myconditional,p_module _module)
+{
+  myconditional->_module=_module;
+  myconditional->_module_isdefault=0;
+}
+
+void adms_conditional_valueto_if (p_conditional myconditional,p_expression _if)
+{
+  myconditional->_if=_if;
+  myconditional->_if_isdefault=0;
+}
+
+void adms_conditional_valueto_then (p_conditional myconditional,p_adms _then)
+{
+  myconditional->_then=_then;
+  myconditional->_then_isdefault=0;
+}
+
+void adms_conditional_valueto_else (p_conditional myconditional,p_adms _else)
+{
+  myconditional->_else=_else;
+  myconditional->_else_isdefault=0;
+}
+
+void adms_conditional_valueto_name (p_conditional myconditional,const char* _name)
+{
+  myconditional->_name=adms_kclone(_name);
+  myconditional->_name_isdefault=0;
+}
+p_conditional adms_conditional_new (p_module mymodule,p_expression myif,p_adms mythen,p_adms myelse)
+{
+  p_conditional mynewconditional=(p_conditional) calloc(1,(size_t)sizeof(t_conditional));
+  mynewconditional->_math._adms._datatypename=admse_conditional;
+  mynewconditional->_math._value=adms_NAN;
+  mynewconditional->_math._static=admse_no;
+  mynewconditional->_math._dynamic=admse_no;
+  mynewconditional->_math._dependency=admse_constant;
+  mynewconditional->_module=mymodule;
+  mynewconditional->_module_isdefault=1;
+  mynewconditional->_if=myif;
+  mynewconditional->_if_isdefault=1;
+  mynewconditional->_then=mythen;
+  mynewconditional->_then_isdefault=1;
+  mynewconditional->_else=myelse;
+  mynewconditional->_else_isdefault=1;
+  mynewconditional->_name=adms_kclone("");
+  mynewconditional->_name_isdefault=1;
+  return mynewconditional;
+}
+char*adms_conditional_uid (p_conditional mynewconditional)
+{
+  char*myuid=NULL;
+  adms_k2strconcat(&myuid,"if(");
+  adms_strconcat(&myuid,adms_expression_uid(mynewconditional->_if));
+  adms_k2strconcat(&myuid,") ");
+  adms_strconcat(&myuid,adms_admsxml_uid(mynewconditional->_then));
+  if(mynewconditional->_else)
+  {
+    adms_k2strconcat(&myuid," else ");
+    adms_strconcat(&myuid,adms_admsxml_uid(mynewconditional->_else));
+  }
+  return myuid;
+}
+static p_admst adms_conditional_xnew (p_ktransform mytransform,p_admst dot,p_slist myinputs[],const int size)
+{
+  if(size!=4)
+  {
+    adms_message_fatal_continue(("admst:new(conditional) bad number of inputs (found %i, expected 4)\n",size))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else
+  {
+    p_module mymodule=(p_module)((p_admst)myinputs[0]->data)->_item.p;
+    p_expression myif=(p_expression)((p_admst)myinputs[1]->data)->_item.p;
+    p_adms mythen=(p_adms)((p_admst)myinputs[2]->data)->_item.p;
+    p_adms myelse=(p_adms)((p_admst)myinputs[3]->data)->_item.p;
+    /*xnew*/p_admst myadmst=adms_admst_newpa(dot,dot,(p_adms)adms_conditional_new(mymodule,myif,mythen,myelse));
+    return myadmst;
+  }
+}
+
+/* ------- forloop -------------- */
+void adms_forloop_free (p_forloop myforloop)
+{
+  if(!myforloop)
+    return;
+  free(myforloop->_name);
+  free(myforloop);
+}
+
+void adms_forloop_valueto_module (p_forloop myforloop,p_module _module)
+{
+  myforloop->_module=_module;
+  myforloop->_module_isdefault=0;
+}
+
+void adms_forloop_valueto_initial (p_forloop myforloop,p_assignment _initial)
+{
+  myforloop->_initial=_initial;
+  myforloop->_initial_isdefault=0;
+}
+
+void adms_forloop_valueto_condition (p_forloop myforloop,p_expression _condition)
+{
+  myforloop->_condition=_condition;
+  myforloop->_condition_isdefault=0;
+}
+
+void adms_forloop_valueto_update (p_forloop myforloop,p_assignment _update)
+{
+  myforloop->_update=_update;
+  myforloop->_update_isdefault=0;
+}
+
+void adms_forloop_valueto_forblock (p_forloop myforloop,p_adms _forblock)
+{
+  myforloop->_forblock=_forblock;
+  myforloop->_forblock_isdefault=0;
+}
+
+void adms_forloop_valueto_name (p_forloop myforloop,const char* _name)
+{
+  myforloop->_name=adms_kclone(_name);
+  myforloop->_name_isdefault=0;
+}
+p_forloop adms_forloop_new (p_module mymodule,p_assignment myinitial,p_expression mycondition,p_assignment myupdate,p_adms myforblock)
+{
+  p_forloop mynewforloop=(p_forloop) calloc(1,(size_t)sizeof(t_forloop));
+  mynewforloop->_math._adms._datatypename=admse_forloop;
+  mynewforloop->_math._value=adms_NAN;
+  mynewforloop->_math._static=admse_no;
+  mynewforloop->_math._dynamic=admse_no;
+  mynewforloop->_math._dependency=admse_constant;
+  mynewforloop->_module=mymodule;
+  mynewforloop->_module_isdefault=1;
+  mynewforloop->_initial=myinitial;
+  mynewforloop->_initial_isdefault=1;
+  mynewforloop->_condition=mycondition;
+  mynewforloop->_condition_isdefault=1;
+  mynewforloop->_update=myupdate;
+  mynewforloop->_update_isdefault=1;
+  mynewforloop->_forblock=myforblock;
+  mynewforloop->_forblock_isdefault=1;
+  mynewforloop->_name=adms_kclone("");
+  mynewforloop->_name_isdefault=1;
+  return mynewforloop;
+}
+char*adms_forloop_uid (p_forloop mynewforloop)
+{
+  char*myuid=NULL;
+  adms_k2strconcat(&myuid,"for(");
+  adms_strconcat(&myuid,adms_assignment_uid(mynewforloop->_initial));
+  adms_k2strconcat(&myuid,";");
+  adms_strconcat(&myuid,adms_expression_uid(mynewforloop->_condition));
+  adms_k2strconcat(&myuid,";");
+  adms_strconcat(&myuid,adms_assignment_uid(mynewforloop->_update));
+  adms_k2strconcat(&myuid,")\n");
+  adms_strconcat(&myuid,adms_admsxml_uid(mynewforloop->_forblock));
+  return myuid;
+}
+static p_admst adms_forloop_xnew (p_ktransform mytransform,p_admst dot,p_slist myinputs[],const int size)
+{
+  if(size!=5)
+  {
+    adms_message_fatal_continue(("admst:new(forloop) bad number of inputs (found %i, expected 5)\n",size))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else
+  {
+    p_module mymodule=(p_module)((p_admst)myinputs[0]->data)->_item.p;
+    p_assignment myinitial=(p_assignment)((p_admst)myinputs[1]->data)->_item.p;
+    p_expression mycondition=(p_expression)((p_admst)myinputs[2]->data)->_item.p;
+    p_assignment myupdate=(p_assignment)((p_admst)myinputs[3]->data)->_item.p;
+    p_adms myforblock=(p_adms)((p_admst)myinputs[4]->data)->_item.p;
+    /*xnew*/p_admst myadmst=adms_admst_newpa(dot,dot,(p_adms)adms_forloop_new(mymodule,myinitial,mycondition,myupdate,myforblock));
+    return myadmst;
+  }
+}
+
+/* ------- whileloop -------------- */
+void adms_whileloop_free (p_whileloop mywhileloop)
+{
+  if(!mywhileloop)
+    return;
+  free(mywhileloop->_name);
+  free(mywhileloop);
+}
+
+void adms_whileloop_valueto_module (p_whileloop mywhileloop,p_module _module)
+{
+  mywhileloop->_module=_module;
+  mywhileloop->_module_isdefault=0;
+}
+
+void adms_whileloop_valueto_while (p_whileloop mywhileloop,p_expression _while)
+{
+  mywhileloop->_while=_while;
+  mywhileloop->_while_isdefault=0;
+}
+
+void adms_whileloop_valueto_whileblock (p_whileloop mywhileloop,p_adms _whileblock)
+{
+  mywhileloop->_whileblock=_whileblock;
+  mywhileloop->_whileblock_isdefault=0;
+}
+
+void adms_whileloop_valueto_name (p_whileloop mywhileloop,const char* _name)
+{
+  mywhileloop->_name=adms_kclone(_name);
+  mywhileloop->_name_isdefault=0;
+}
+p_whileloop adms_whileloop_new (p_module mymodule,p_expression mywhile,p_adms mywhileblock)
+{
+  p_whileloop mynewwhileloop=(p_whileloop) calloc(1,(size_t)sizeof(t_whileloop));
+  mynewwhileloop->_math._adms._datatypename=admse_whileloop;
+  mynewwhileloop->_math._value=adms_NAN;
+  mynewwhileloop->_math._static=admse_no;
+  mynewwhileloop->_math._dynamic=admse_no;
+  mynewwhileloop->_math._dependency=admse_constant;
+  mynewwhileloop->_module=mymodule;
+  mynewwhileloop->_module_isdefault=1;
+  mynewwhileloop->_while=mywhile;
+  mynewwhileloop->_while_isdefault=1;
+  mynewwhileloop->_whileblock=mywhileblock;
+  mynewwhileloop->_whileblock_isdefault=1;
+  mynewwhileloop->_name=adms_kclone("");
+  mynewwhileloop->_name_isdefault=1;
+  return mynewwhileloop;
+}
+char*adms_whileloop_uid (p_whileloop mynewwhileloop)
+{
+  char*myuid=NULL;
+  adms_k2strconcat(&myuid,"while(");
+  adms_strconcat(&myuid,adms_expression_uid(mynewwhileloop->_while));
+  adms_k2strconcat(&myuid,")\n");
+  adms_strconcat(&myuid,adms_admsxml_uid(mynewwhileloop->_whileblock));
+  return myuid;
+}
+static p_admst adms_whileloop_xnew (p_ktransform mytransform,p_admst dot,p_slist myinputs[],const int size)
+{
+  if(size!=3)
+  {
+    adms_message_fatal_continue(("admst:new(whileloop) bad number of inputs (found %i, expected 3)\n",size))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else
+  {
+    p_module mymodule=(p_module)((p_admst)myinputs[0]->data)->_item.p;
+    p_expression mywhile=(p_expression)((p_admst)myinputs[1]->data)->_item.p;
+    p_adms mywhileblock=(p_adms)((p_admst)myinputs[2]->data)->_item.p;
+    /*xnew*/p_admst myadmst=adms_admst_newpa(dot,dot,(p_adms)adms_whileloop_new(mymodule,mywhile,mywhileblock));
+    return myadmst;
+  }
+}
+
+/* ------- case -------------- */
+void adms_case_free (p_case mycase)
+{
+  if(!mycase)
+    return;
+  free(mycase->_name);
+  adms_slist_free(mycase->_caseitem);
+  free(mycase);
+}
+
+void adms_case_valueto_module (p_case mycase,p_module _module)
+{
+  mycase->_module=_module;
+  mycase->_module_isdefault=0;
+}
+
+void adms_case_valueto_case (p_case mycase,p_expression _case)
+{
+  mycase->_case=_case;
+  mycase->_case_isdefault=0;
+}
+
+void adms_case_valueto_name (p_case mycase,const char* _name)
+{
+  mycase->_name=adms_kclone(_name);
+  mycase->_name_isdefault=0;
+}
+
+void adms_case_valueto_caseitem (p_case mycase,p_slist _caseitem)
+{
+  mycase->_caseitem=_caseitem;
+  mycase->_caseitem_isdefault=0;
+}
+p_case adms_case_new (p_module mymodule,p_expression mycase)
+{
+  p_case mynewcase=(p_case) calloc(1,(size_t)sizeof(t_case));
+  mynewcase->_math._adms._datatypename=admse_case;
+  mynewcase->_math._value=adms_NAN;
+  mynewcase->_math._static=admse_no;
+  mynewcase->_math._dynamic=admse_no;
+  mynewcase->_math._dependency=admse_constant;
+  mynewcase->_module=mymodule;
+  mynewcase->_module_isdefault=1;
+  mynewcase->_case=mycase;
+  mynewcase->_case_isdefault=1;
+  mynewcase->_name=adms_kclone("");
+  mynewcase->_name_isdefault=1;
+  mynewcase->_caseitem_isdefault=-1;
+  return mynewcase;
+}
+char*adms_case_uid (p_case mynewcase)
+{
+  char*myuid=NULL;
+  adms_k2strconcat(&myuid,"case");
+  return myuid;
+}
+static p_admst adms_case_xnew (p_ktransform mytransform,p_admst dot,p_slist myinputs[],const int size)
+{
+  if(size!=2)
+  {
+    adms_message_fatal_continue(("admst:new(case) bad number of inputs (found %i, expected 2)\n",size))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else
+  {
+    p_module mymodule=(p_module)((p_admst)myinputs[0]->data)->_item.p;
+    p_expression mycase=(p_expression)((p_admst)myinputs[1]->data)->_item.p;
+    /*xnew*/p_admst myadmst=adms_admst_newpa(dot,dot,(p_adms)adms_case_new(mymodule,mycase));
+    return myadmst;
+  }
+}
+
+/* ------- caseitem -------------- */
+void adms_caseitem_free (p_caseitem mycaseitem)
+{
+  if(!mycaseitem)
+    return;
+  adms_slist_free(mycaseitem->_condition);
+  free(mycaseitem);
+}
+
+void adms_caseitem_valueto_code (p_caseitem mycaseitem,p_adms _code)
+{
+  mycaseitem->_code=_code;
+  mycaseitem->_code_isdefault=0;
+}
+
+void adms_caseitem_valueto_defaultcase (p_caseitem mycaseitem,admse _defaultcase)
+{
+  mycaseitem->_defaultcase=_defaultcase;
+  mycaseitem->_defaultcase_isdefault=0;
+}
+
+void adms_caseitem_valueto_condition (p_caseitem mycaseitem,p_slist _condition)
+{
+  mycaseitem->_condition=_condition;
+  mycaseitem->_condition_isdefault=0;
+}
+p_caseitem adms_caseitem_new (p_adms mycode)
+{
+  p_caseitem mynewcaseitem=(p_caseitem) calloc(1,(size_t)sizeof(t_caseitem));
+  mynewcaseitem->_math._adms._datatypename=admse_caseitem;
+  mynewcaseitem->_math._value=adms_NAN;
+  mynewcaseitem->_math._static=admse_no;
+  mynewcaseitem->_math._dynamic=admse_no;
+  mynewcaseitem->_math._dependency=admse_constant;
+  mynewcaseitem->_code=mycode;
+  mynewcaseitem->_code_isdefault=1;
+  mynewcaseitem->_defaultcase=admse_no;
+  mynewcaseitem->_defaultcase_isdefault=1;
+  mynewcaseitem->_condition_isdefault=-1;
+  return mynewcaseitem;
+}
+char*adms_caseitem_uid (p_caseitem mynewcaseitem)
+{
+  char*myuid=NULL;
+  adms_k2strconcat(&myuid,"caseitem");
+  return myuid;
+}
+static p_admst adms_caseitem_xnew (p_ktransform mytransform,p_admst dot,p_slist myinputs[],const int size)
+{
+  if(size!=1)
+  {
+    adms_message_fatal_continue(("admst:new(caseitem) bad number of inputs (found %i, expected 1)\n",size))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else
+  {
+    p_adms mycode=(p_adms)((p_admst)myinputs[0]->data)->_item.p;
+    /*xnew*/p_admst myadmst=adms_admst_newpa(dot,dot,(p_adms)adms_caseitem_new(mycode));
+    return myadmst;
+  }
+}
+
+/* ------- blockvariable -------------- */
+void adms_blockvariable_free (p_blockvariable myblockvariable)
+{
+  if(!myblockvariable)
+    return;
+  adms_slist_free(myblockvariable->_variable);
+  free(myblockvariable);
+}
+
+void adms_blockvariable_valueto_block (p_blockvariable myblockvariable,p_block _block)
+{
+  myblockvariable->_block=_block;
+  myblockvariable->_block_isdefault=0;
+}
+
+void adms_blockvariable_valueto_variable (p_blockvariable myblockvariable,p_slist _variable)
+{
+  myblockvariable->_variable=_variable;
+  myblockvariable->_variable_isdefault=0;
+}
+void adms_blockvariable_list_variable_prepend_once_or_abort (p_blockvariable mymyblockvariable,p_variable myvariable)
+{
+  p_slist list=mymyblockvariable->_variable;
+  while(list)
+  {
+    if(!adms_variable_cmp((p_variable)list->data,myvariable))
+    {
+      adms_message_fatal(("blockvariable=[%s] variable=[%s] already defined\n",
+        adms_blockvariable_uid(mymyblockvariable),adms_variable_uid(myvariable)))
+      return;
+    }
+    list=list->next;
+  }
+  adms_slist_push(&mymyblockvariable->_variable,(p_adms)myvariable);
+}
+p_blockvariable adms_blockvariable_new (p_block myblock)
+{
+  p_blockvariable mynewblockvariable=(p_blockvariable) calloc(1,(size_t)sizeof(t_blockvariable));
+  mynewblockvariable->_math._adms._datatypename=admse_blockvariable;
+  mynewblockvariable->_math._value=adms_NAN;
+  mynewblockvariable->_math._static=admse_no;
+  mynewblockvariable->_math._dynamic=admse_no;
+  mynewblockvariable->_math._dependency=admse_constant;
+  mynewblockvariable->_block=myblock;
+  mynewblockvariable->_block_isdefault=1;
+  mynewblockvariable->_variable_isdefault=-1;
+  return mynewblockvariable;
+}
+char*adms_blockvariable_uid (p_blockvariable mynewblockvariable)
+{
+  char*myuid=NULL;
+  adms_k2strconcat(&myuid,"real ");
+  {
+    p_slist list=mynewblockvariable->_variable;
+    while(list)
+    {
+      adms_strconcat(&myuid,adms_admsxml_uid(list->data));
+      if(list->next) adms_k2strconcat(&myuid,",");
+      list=list->next;
+    }
+  }
+  adms_k2strconcat(&myuid,";");
+  return myuid;
+}
+static p_admst adms_blockvariable_xnew (p_ktransform mytransform,p_admst dot,p_slist myinputs[],const int size)
+{
+  if(size!=1)
+  {
+    adms_message_fatal_continue(("admst:new(blockvariable) bad number of inputs (found %i, expected 1)\n",size))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else
+  {
+    p_block myblock=(p_block)((p_admst)myinputs[0]->data)->_item.p;
+    /*xnew*/p_admst myadmst=adms_admst_newpa(dot,dot,(p_adms)adms_blockvariable_new(myblock));
+    return myadmst;
+  }
+}
+
+/* ------- block -------------- */
+void adms_block_free (p_block myblock)
+{
+  if(!myblock)
+    return;
+  adms_slist_free(myblock->_item);
+  adms_slist_free(myblock->_attribute);
+  adms_slist_free(myblock->_variable);
+  adms_slist_free(myblock->_probe);
+  free(myblock);
+}
+
+void adms_block_valueto_module (p_block myblock,p_module _module)
+{
+  myblock->_module=_module;
+  myblock->_module_isdefault=0;
+}
+
+void adms_block_valueto_lexval (p_block myblock,p_lexval _lexval)
+{
+  myblock->_lexval=_lexval;
+  myblock->_lexval_isdefault=0;
+}
+
+void adms_block_valueto_block (p_block myblock,p_block _block)
+{
+  myblock->_block=_block;
+  myblock->_block_isdefault=0;
+}
+
+void adms_block_valueto_item (p_block myblock,p_slist _item)
+{
+  myblock->_item=_item;
+  myblock->_item_isdefault=0;
+}
+
+void adms_block_valueto_attribute (p_block myblock,p_slist _attribute)
+{
+  myblock->_attribute=_attribute;
+  myblock->_attribute_isdefault=0;
+}
+
+void adms_block_valueto_variable (p_block myblock,p_slist _variable)
+{
+  myblock->_variable=_variable;
+  myblock->_variable_isdefault=0;
+}
+void adms_block_list_variable_prepend_once_or_abort (p_block mymyblock,p_variableprototype myvariable)
+{
+  p_slist list=mymyblock->_variable;
+  while(list)
+  {
+    if(!adms_variableprototype_cmp((p_variableprototype)list->data,myvariable))
+    {
+      adms_message_fatal(("block=[%s] variable=[%s] already defined\n",
+        adms_block_uid(mymyblock),adms_variableprototype_uid(myvariable)))
+      return;
+    }
+    list=list->next;
+  }
+  adms_slist_push(&mymyblock->_variable,(p_adms)myvariable);
+}
+
+void adms_block_valueto_probe (p_block myblock,p_slist _probe)
+{
+  myblock->_probe=_probe;
+  myblock->_probe_isdefault=0;
+}
+p_block adms_block_new (p_module mymodule,p_lexval mylexval,p_block myblock,p_slist myitem)
+{
+  p_block mynewblock=(p_block) calloc(1,(size_t)sizeof(t_block));
+  mynewblock->_math._adms._datatypename=admse_block;
+  mynewblock->_math._value=adms_NAN;
+  mynewblock->_math._static=admse_no;
+  mynewblock->_math._dynamic=admse_no;
+  mynewblock->_math._dependency=admse_constant;
+  mynewblock->_module=mymodule;
+  mynewblock->_module_isdefault=1;
+  mynewblock->_lexval=mylexval;
+  mynewblock->_lexval_isdefault=1;
+  mynewblock->_block=myblock;
+  mynewblock->_block_isdefault=1;
+  mynewblock->_item=myitem;
+  mynewblock->_item_isdefault=1;
+  mynewblock->_attribute_isdefault=-1;
+  mynewblock->_variable_isdefault=-1;
+  mynewblock->_probe_isdefault=-1;
+  return mynewblock;
+}
+char*adms_block_uid (p_block mynewblock)
+{
+  char*myuid=NULL;
+  adms_k2strconcat(&myuid,"begin :");
+  adms_strconcat(&myuid,adms_lexval_uid(mynewblock->_lexval));
+  adms_k2strconcat(&myuid,"\n");
+  {
+    p_slist list=mynewblock->_item;
+    while(list)
+    {
+      adms_strconcat(&myuid,adms_admsxml_uid(list->data));
+      if(list->next) adms_k2strconcat(&myuid,"\n");
+      list=list->next;
+    }
+  }
+  adms_k2strconcat(&myuid,"end\n");
+  return myuid;
+}
+static p_admst adms_block_xnew (p_ktransform mytransform,p_admst dot,p_slist myinputs[],const int size)
+{
+  if(size!=4)
+  {
+    adms_message_fatal_continue(("admst:new(block) bad number of inputs (found %i, expected 4)\n",size))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else
+  {
+    p_module mymodule=(p_module)((p_admst)myinputs[0]->data)->_item.p;
+    char* mylexvals=aprintf(mytransform,(p_admst)myinputs[1]->data);
+    p_lexval mylexval=adms_lexval_new(mylexvals,adms_transform_uid(mytransform),0,0);
+    p_block myblock=(p_block)((p_admst)myinputs[2]->data)->_item.p;
+    p_slist myitem=myinputs[3];
+    /*xnew*/p_admst myadmst=adms_admst_newpa(dot,dot,(p_adms)adms_block_new(mymodule,mylexval,myblock,myitem));
+    free(mylexvals);
+    return myadmst;
+  }
+}
+
+/* ------- callfunction -------------- */
+void adms_callfunction_free (p_callfunction mycallfunction)
+{
+  if(!mycallfunction)
+    return;
+  free(mycallfunction);
+}
+
+void adms_callfunction_valueto_module (p_callfunction mycallfunction,p_module _module)
+{
+  mycallfunction->_module=_module;
+  mycallfunction->_module_isdefault=0;
+}
+
+void adms_callfunction_valueto_function (p_callfunction mycallfunction,p_function _function)
+{
+  mycallfunction->_function=_function;
+  mycallfunction->_function_isdefault=0;
+}
+p_callfunction adms_callfunction_new (p_module mymodule,p_function myfunction)
+{
+  p_callfunction mynewcallfunction=(p_callfunction) calloc(1,(size_t)sizeof(t_callfunction));
+  mynewcallfunction->_math._adms._datatypename=admse_callfunction;
+  mynewcallfunction->_math._value=adms_NAN;
+  mynewcallfunction->_math._static=admse_no;
+  mynewcallfunction->_math._dynamic=admse_no;
+  mynewcallfunction->_math._dependency=admse_constant;
+  mynewcallfunction->_module=mymodule;
+  mynewcallfunction->_module_isdefault=1;
+  mynewcallfunction->_function=myfunction;
+  mynewcallfunction->_function_isdefault=1;
+  return mynewcallfunction;
+}
+char*adms_callfunction_uid (p_callfunction mynewcallfunction)
+{
+  char*myuid=NULL;
+  adms_strconcat(&myuid,adms_function_uid(mynewcallfunction->_function));
+  return myuid;
+}
+static p_admst adms_callfunction_xnew (p_ktransform mytransform,p_admst dot,p_slist myinputs[],const int size)
+{
+  if(size!=2)
+  {
+    adms_message_fatal_continue(("admst:new(callfunction) bad number of inputs (found %i, expected 2)\n",size))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else
+  {
+    p_module mymodule=(p_module)((p_admst)myinputs[0]->data)->_item.p;
+    p_function myfunction=(p_function)((p_admst)myinputs[1]->data)->_item.p;
+    /*xnew*/p_admst myadmst=adms_admst_newpa(dot,dot,(p_adms)adms_callfunction_new(mymodule,myfunction));
+    return myadmst;
+  }
+}
+
+/* ------- evaluation -------------- */
+void adms_evaluation_free (p_evaluation myevaluation)
+{
+  if(!myevaluation)
+    return;
+  adms_slist_free(myevaluation->_variable);
+  free(myevaluation);
+}
+
+void adms_evaluation_valueto_variable (p_evaluation myevaluation,p_slist _variable)
+{
+  myevaluation->_variable=_variable;
+  myevaluation->_variable_isdefault=0;
+}
+p_evaluation adms_evaluation_new (void)
+{
+  p_evaluation mynewevaluation=(p_evaluation) calloc(1,(size_t)sizeof(t_evaluation));
+  mynewevaluation->_adms._datatypename=admse_evaluation;
+  mynewevaluation->_variable_isdefault=-1;
+  return mynewevaluation;
+}
+char*adms_evaluation_uid (p_evaluation mynewevaluation)
+{
+  char*myuid=NULL;
+  adms_k2strconcat(&myuid,"");
+  return myuid;
+}
+static p_admst adms_evaluation_xnew (p_ktransform mytransform,p_admst dot,p_slist myinputs[],const int size)
+{
+  if(size!=0)
+  {
+    adms_message_fatal_continue(("admst:new(evaluation) bad number of inputs (found %i, expected 0)\n",size))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else
+  {
+    /*xnew*/p_admst myadmst=adms_admst_newpa(dot,dot,(p_adms)adms_evaluation_new());
+    return myadmst;
+  }
+}
+
+/* ------- text -------------- */
+void adms_text_free (p_text mytext)
+{
+  if(!mytext)
+    return;
+  free(mytext->_value);
+  free(mytext->_aname);
+  adms_slist_free(mytext->_token);
+  free(mytext);
+}
+
+void adms_text_valueto_transform (p_text mytext,p_transform _transform)
+{
+  mytext->_transform=_transform;
+}
+
+void adms_text_valueto_value (p_text mytext,const char* _value)
+{
+  mytext->_value=adms_kclone(_value);
+}
+
+void adms_text_valueto_aname (p_text mytext,const char* _aname)
+{
+  mytext->_aname=adms_kclone(_aname);
+}
+
+void adms_text_valueto_admse (p_text mytext,int _admse)
+{
+  mytext->_admse=_admse;
+}
+
+void adms_text_valueto_token (p_text mytext,p_slist _token)
+{
+  mytext->_token=_token;
+}
+p_text adms_text_new (p_transform mytransform,const char* myvalue)
+{
+  p_text mynewtext=(p_text) calloc(1,(size_t)sizeof(t_text));
+  mynewtext->_adms._datatypename=admse_text;
+  mynewtext->_transform=mytransform;
+  mynewtext->_value=adms_kclone(myvalue);
+  mynewtext->_admse=0;
+  return mynewtext;
+}
+char*adms_text_uid (p_text mynewtext)
+{
+  char*myuid=NULL;
+  adms_strconcat(&myuid,adms_transform_uid(mynewtext->_transform));
+  adms_k2strconcat(&myuid,":");
+  adms_k2strconcat(&myuid,mynewtext->_value);
+  return myuid;
+}
+
+/* ------- path -------------- */
+void adms_path_free (p_path mypath)
+{
+  if(!mypath)
+    return;
+  free(mypath->_aname);
+  free(mypath->_avalue);
+  free(mypath->_callbackname);
+  adms_slist_free(mypath->_bar);
+  adms_slist_free(mypath->_arg);
+  adms_slist_free(mypath->_u);
+  adms_slist_free(mypath->_b);
+  adms_slist_free(mypath->_c);
+  free(mypath);
+}
+
+void adms_path_valueto_transform (p_path mypath,p_transform _transform)
+{
+  mypath->_transform=_transform;
+}
+
+void adms_path_valueto_text (p_path mypath,p_text _text)
+{
+  mypath->_text=_text;
+}
+
+void adms_path_valueto_aname (p_path mypath,const char* _aname)
+{
+  mypath->_aname=adms_kclone(_aname);
+}
+
+void adms_path_valueto_avalue (p_path mypath,const char* _avalue)
+{
+  mypath->_avalue=adms_kclone(_avalue);
+}
+
+void adms_path_valueto_template (p_path mypath,p_transform _template)
+{
+  mypath->_template=_template;
+}
+
+void adms_path_valueto_keeplist (p_path mypath,int _keeplist)
+{
+  mypath->_keeplist=_keeplist;
+}
+
+void adms_path_valueto_callbackname (p_path mypath,const char* _callbackname)
+{
+  mypath->_callbackname=adms_kclone(_callbackname);
+}
+
+void adms_path_valueto_callback (p_path mypath,void* _callback)
+{
+  mypath->_callback=_callback;
+}
+
+void adms_path_valueto_bar (p_path mypath,p_slist _bar)
+{
+  mypath->_bar=_bar;
+}
+
+void adms_path_valueto_arg (p_path mypath,p_slist _arg)
+{
+  mypath->_arg=_arg;
+}
+
+void adms_path_valueto_u (p_path mypath,p_slist _u)
+{
+  mypath->_u=_u;
+}
+
+void adms_path_valueto_b (p_path mypath,p_slist _b)
+{
+  mypath->_b=_b;
+}
+
+void adms_path_valueto_c (p_path mypath,p_slist _c)
+{
+  mypath->_c=_c;
+}
+p_path adms_path_new (p_transform mytransform,p_text mytext)
+{
+  p_path mynewpath=(p_path) calloc(1,(size_t)sizeof(t_path));
+  mynewpath->_adms._datatypename=admse_path;
+  mynewpath->_transform=mytransform;
+  mynewpath->_text=mytext;
+  mynewpath->_keeplist=0;
+  mynewpath->_bar=NULL;
+  mynewpath->_arg=NULL;
+  mynewpath->_u=NULL;
+  mynewpath->_b=NULL;
+  mynewpath->_c=NULL;
+  return mynewpath;
+}
+char*adms_path_uid (p_path mynewpath)
+{
+  char*myuid=NULL;
+  adms_strconcat(&myuid,adms_transform_uid(mynewpath->_transform));
+  adms_k2strconcat(&myuid,":");
+  adms_k2strconcat(&myuid,mynewpath->_aname);
+  adms_k2strconcat(&myuid,".");
+  adms_k2strconcat(&myuid,mynewpath->_avalue);
+  return myuid;
+}
+
+/* ------- ptraverse -------------- */
+void adms_ptraverse_free (p_ptraverse myptraverse)
+{
+  if(!myptraverse)
+    return;
+  adms_slist_free(myptraverse->_admst);
+  adms_slist_free(myptraverse->_alladmst);
+  adms_slist_free(myptraverse->_aliasadmst);
+  free(myptraverse);
+}
+
+void adms_ptraverse_valueto_transform (p_ptraverse myptraverse,p_transform _transform)
+{
+  myptraverse->_transform=_transform;
+}
+
+void adms_ptraverse_valueto_admst (p_ptraverse myptraverse,p_slist _admst)
+{
+  myptraverse->_admst=_admst;
+}
+
+void adms_ptraverse_valueto_alladmst (p_ptraverse myptraverse,p_slist _alladmst)
+{
+  myptraverse->_alladmst=_alladmst;
+}
+
+void adms_ptraverse_valueto_aliasadmst (p_ptraverse myptraverse,p_slist _aliasadmst)
+{
+  myptraverse->_aliasadmst=_aliasadmst;
+}
+
+void adms_ptraverse_valueto_position (p_ptraverse myptraverse,int _position)
+{
+  myptraverse->_position=_position;
+}
+
+void adms_ptraverse_valueto_a1 (p_ptraverse myptraverse,p_admst _a1)
+{
+  myptraverse->_a1=_a1;
+}
+
+void adms_ptraverse_valueto_an (p_ptraverse myptraverse,p_admst _an)
+{
+  myptraverse->_an=_an;
+}
+p_ptraverse adms_ptraverse_new (p_transform mytransform)
+{
+  p_ptraverse mynewptraverse=(p_ptraverse) calloc(1,(size_t)sizeof(t_ptraverse));
+  mynewptraverse->_adms._datatypename=admse_ptraverse;
+  mynewptraverse->_transform=mytransform;
+  return mynewptraverse;
+}
+char*adms_ptraverse_uid (p_ptraverse mynewptraverse)
+{
+  char*myuid=NULL;
+  adms_strconcat(&myuid,adms_transform_uid(mynewptraverse->_transform));
+  return myuid;
+}
+
+/* ------- pparse -------------- */
+void adms_pparse_free (p_pparse mypparse)
+{
+  if(!mypparse)
+    return;
+  free(mypparse->_aname);
+  free(mypparse->_value);
+  free(mypparse);
+}
+
+void adms_pparse_valueto_transform (p_pparse mypparse,p_transform _transform)
+{
+  mypparse->_transform=_transform;
+}
+
+void adms_pparse_valueto_aname (p_pparse mypparse,const char* _aname)
+{
+  mypparse->_aname=adms_kclone(_aname);
+}
+
+void adms_pparse_valueto_value (p_pparse mypparse,const char* _value)
+{
+  mypparse->_value=adms_kclone(_value);
+}
+
+void adms_pparse_valueto_path (p_pparse mypparse,p_path _path)
+{
+  mypparse->_path=_path;
+}
+
+void adms_pparse_valueto_text (p_pparse mypparse,p_text _text)
+{
+  mypparse->_text=_text;
+}
+
+void adms_pparse_valueto_position (p_pparse mypparse,const char* _position)
+{
+  mypparse->_position=_position;
+}
+
+void adms_pparse_valueto_tkstart (p_pparse mypparse,const char* _tkstart)
+{
+  mypparse->_tkstart=_tkstart;
+}
+
+void adms_pparse_valueto_tklen (p_pparse mypparse,int _tklen)
+{
+  mypparse->_tklen=_tklen;
+}
+
+void adms_pparse_valueto_tkid (p_pparse mypparse,admse _tkid)
+{
+  mypparse->_tkid=_tkid;
+}
+p_pparse adms_pparse_new (p_transform mytransform,const char* myaname,const char* myvalue)
+{
+  p_pparse mynewpparse=(p_pparse) calloc(1,(size_t)sizeof(t_pparse));
+  mynewpparse->_adms._datatypename=admse_pparse;
+  mynewpparse->_transform=mytransform;
+  mynewpparse->_aname=adms_kclone(myaname);
+  mynewpparse->_value=adms_kclone(myvalue);
+  return mynewpparse;
+}
+char*adms_pparse_uid (p_pparse mynewpparse)
+{
+  char*myuid=NULL;
+  adms_strconcat(&myuid,adms_transform_uid(mynewpparse->_transform));
+  adms_k2strconcat(&myuid,":");
+  adms_k2strconcat(&myuid,mynewpparse->_aname);
+  return myuid;
+}
+
+/* ------- admst -------------- */
+void adms_admst_free (p_admst myadmst)
+{
+  if(!myadmst)
+    return;
+  adms_global_increment_nbadmstdestroy();
+  free(myadmst);
+}
+
+void adms_admst_valueto_previous (p_admst myadmst,p_admst _previous)
+{
+  myadmst->_previous=_previous;
+}
+
+void adms_admst_valueto_previouspath (p_admst myadmst,p_admst _previouspath)
+{
+  myadmst->_previouspath=_previouspath;
+}
+
+void adms_admst_valueto_pseudo (p_admst myadmst,admse _pseudo)
+{
+  myadmst->_pseudo=_pseudo;
+}
+
+void adms_admst_valueto_item (p_admst myadmst,p_adms _item)
+{
+  /*fixme: myadmst->_item=_item;*/
+}
+
+void adms_admst_valueto_valueto (p_admst myadmst,void* _valueto)
+{
+  myadmst->_valueto=_valueto;
+}
+
+void adms_admst_valueto_position (p_admst myadmst,int _position)
+{
+  myadmst->_position=_position;
+}
+
+void adms_admst_valueto_count (p_admst myadmst,int _count)
+{
+  myadmst->_count=_count;
+}
+
+void adms_admst_valueto_hasnewbasicstring (p_admst myadmst,int _hasnewbasicstring)
+{
+  myadmst->_hasnewbasicstring=_hasnewbasicstring;
+}
+
+void adms_admst_valueto_original (p_admst myadmst,p_admst _original)
+{
+  myadmst->_original=_original;
+}
+
+void adms_admst_valueto_refd (p_admst myadmst,int _refd)
+{
+  myadmst->_refd=_refd;
+}
+
+void adms_admst_valueto_true (p_admst myadmst,int _true)
+{
+  myadmst->_true=_true;
+}
+
+void adms_admst_valueto_preva (p_admst myadmst,p_admst _preva)
+{
+  myadmst->_preva=_preva;
+}
+
+void adms_admst_valueto_nexta (p_admst myadmst,p_admst _nexta)
+{
+  myadmst->_nexta=_nexta;
+}
+
+void adms_admst_valueto_selected (p_admst myadmst,admse _selected)
+{
+  myadmst->_selected=_selected;
+}
+p_admst adms_admst_new (p_admst myprevious,p_admst mypreviouspath,admse mypseudo)
+{
+  p_admst mynewadmst=(p_admst) calloc(1,(size_t)sizeof(t_admst));
+  adms_global_increment_nbadmstnew();
+  mynewadmst->_adms._datatypename=admse_admst;
+  mynewadmst->_previous=myprevious;
+  mynewadmst->_previouspath=mypreviouspath;
+  mynewadmst->_pseudo=mypseudo;
+  mynewadmst->_hasnewbasicstring=0;
+  mynewadmst->_refd=1;
+  mynewadmst->_true=1;
+  mynewadmst->_selected=admse_yes;
+  return mynewadmst;
+}
+char*adms_admst_uid (p_admst mynewadmst)
+{
+  char*myuid=NULL;
+  adms_k2strconcat(&myuid,"admst");
+  return myuid;
+}
+
+/* ------- transform -------------- */
+void adms_transform_free (p_transform mytransform)
+{
+  if(!mytransform)
+    return;
+  free(mytransform->_fullfilename);
+  free(mytransform->_basefilename);
+  free(mytransform->_name);
+  free(mytransform->_id);
+  adms_slist_free(mytransform->_templates);
+  adms_slist_free(mytransform->_textarguments);
+  adms_slist_free(mytransform->_pathinputs);
+  adms_slist_free(mytransform->_attribute);
+  adms_slist_free(mytransform->_children);
+  free(mytransform);
+}
+
+void adms_transform_valueto_fullfilename (p_transform mytransform,const char* _fullfilename)
+{
+  mytransform->_fullfilename=adms_kclone(_fullfilename);
+}
+
+void adms_transform_valueto_basefilename (p_transform mytransform,const char* _basefilename)
+{
+  mytransform->_basefilename=adms_kclone(_basefilename);
+}
+
+void adms_transform_valueto_l (p_transform mytransform,int _l)
+{
+  mytransform->_l=_l;
+}
+
+void adms_transform_valueto_name (p_transform mytransform,const char* _name)
+{
+  mytransform->_name=adms_kclone(_name);
+}
+
+void adms_transform_valueto_parent (p_transform mytransform,p_transform _parent)
+{
+  mytransform->_parent=_parent;
+}
+
+void adms_transform_valueto_callback (p_transform mytransform,void* _callback)
+{
+  mytransform->_callback=_callback;
+}
+
+void adms_transform_valueto_id (p_transform mytransform,const char* _id)
+{
+  mytransform->_id=adms_kclone(_id);
+}
+
+void adms_transform_valueto_pathselect (p_transform mytransform,p_path _pathselect)
+{
+  mytransform->_pathselect=_pathselect;
+}
+
+void adms_transform_valueto_pathpath (p_transform mytransform,p_path _pathpath)
+{
+  mytransform->_pathpath=_pathpath;
+}
+
+void adms_transform_valueto_pathinto (p_transform mytransform,p_path _pathinto)
+{
+  mytransform->_pathinto=_pathinto;
+}
+
+void adms_transform_valueto_pathtest (p_transform mytransform,p_path _pathtest)
+{
+  mytransform->_pathtest=_pathtest;
+}
+
+void adms_transform_valueto_pathlist (p_transform mytransform,p_path _pathlist)
+{
+  mytransform->_pathlist=_pathlist;
+}
+
+void adms_transform_valueto_textselect (p_transform mytransform,p_text _textselect)
+{
+  mytransform->_textselect=_textselect;
+}
+
+void adms_transform_valueto_textdatatype (p_transform mytransform,p_text _textdatatype)
+{
+  mytransform->_textdatatype=_textdatatype;
+}
+
+void adms_transform_valueto_textmatch (p_transform mytransform,p_text _textmatch)
+{
+  mytransform->_textmatch=_textmatch;
+}
+
+void adms_transform_valueto_textrequired (p_transform mytransform,p_text _textrequired)
+{
+  mytransform->_textrequired=_textrequired;
+}
+
+void adms_transform_valueto_textseparator (p_transform mytransform,p_text _textseparator)
+{
+  mytransform->_textseparator=_textseparator;
+}
+
+void adms_transform_valueto_textfrom (p_transform mytransform,p_text _textfrom)
+{
+  mytransform->_textfrom=_textfrom;
+}
+
+void adms_transform_valueto_textto (p_transform mytransform,p_text _textto)
+{
+  mytransform->_textto=_textto;
+}
+
+void adms_transform_valueto_textlist (p_transform mytransform,p_text _textlist)
+{
+  mytransform->_textlist=_textlist;
+}
+
+void adms_transform_valueto_textname (p_transform mytransform,p_text _textname)
+{
+  mytransform->_textname=_textname;
+}
+
+void adms_transform_valueto_textformat (p_transform mytransform,p_text _textformat)
+{
+  mytransform->_textformat=_textformat;
+}
+
+void adms_transform_valueto_textfile (p_transform mytransform,p_text _textfile)
+{
+  mytransform->_textfile=_textfile;
+}
+
+void adms_transform_valueto_textversion (p_transform mytransform,p_text _textversion)
+{
+  mytransform->_textversion=_textversion;
+}
+
+void adms_transform_valueto_textonduplicate (p_transform mytransform,p_text _textonduplicate)
+{
+  mytransform->_textonduplicate=_textonduplicate;
+}
+
+void adms_transform_valueto_textprobe (p_transform mytransform,p_text _textprobe)
+{
+  mytransform->_textprobe=_textprobe;
+}
+
+void adms_transform_valueto_textstring (p_transform mytransform,p_text _textstring)
+{
+  mytransform->_textstring=_textstring;
+}
+
+void adms_transform_valueto_templates (p_transform mytransform,p_slist _templates)
+{
+  mytransform->_templates=_templates;
+}
+
+void adms_transform_valueto_textarguments (p_transform mytransform,p_slist _textarguments)
+{
+  mytransform->_textarguments=_textarguments;
+}
+
+void adms_transform_valueto_pathoncompare (p_transform mytransform,p_path _pathoncompare)
+{
+  mytransform->_pathoncompare=_pathoncompare;
+}
+
+void adms_transform_valueto_pathinputs (p_transform mytransform,p_slist _pathinputs)
+{
+  mytransform->_pathinputs=_pathinputs;
+}
+
+void adms_transform_valueto_attribute (p_transform mytransform,p_slist _attribute)
+{
+  mytransform->_attribute=_attribute;
+}
+
+void adms_transform_valueto_children (p_transform mytransform,p_slist _children)
+{
+  mytransform->_children=_children;
+}
+p_transform adms_transform_new (const char* myfullfilename,const char* mybasefilename,int myl,const char* myname,p_transform myparent)
+{
+  p_transform mynewtransform=(p_transform) calloc(1,(size_t)sizeof(t_transform));
+  mynewtransform->_adms._datatypename=admse_transform;
+  mynewtransform->_fullfilename=adms_kclone(myfullfilename);
+  mynewtransform->_basefilename=adms_kclone(mybasefilename);
+  mynewtransform->_l=myl;
+  mynewtransform->_name=adms_kclone(myname);
+  mynewtransform->_parent=myparent;
+  return mynewtransform;
+}
+char*adms_transform_uid (p_transform mynewtransform)
+{
+  char*myuid=NULL;
+  adms_k2strconcat(&myuid,"[");
+  adms_k2strconcat(&myuid,mynewtransform->_fullfilename);
+  adms_k2strconcat(&myuid,":");
+  adms_strconcat(&myuid,adms_integertostring(mynewtransform->_l));
+  adms_k2strconcat(&myuid,"]");
+  return myuid;
+}
+
+/* ------- itransform -------------- */
+void adms_itransform_free (p_itransform myitransform)
+{
+  if(!myitransform)
+    return;
+  adms_slist_free(myitransform->_variable);
+  adms_slist_free(myitransform->_return);
+  free(myitransform);
+}
+
+void adms_itransform_valueto_template (p_itransform myitransform,p_transform _template)
+{
+  myitransform->_template=_template;
+}
+
+void adms_itransform_valueto_originalcall (p_itransform myitransform,p_transform _originalcall)
+{
+  myitransform->_originalcall=_originalcall;
+}
+
+void adms_itransform_valueto_variable (p_itransform myitransform,p_slist _variable)
+{
+  myitransform->_variable=_variable;
+}
+
+void adms_itransform_valueto_return (p_itransform myitransform,p_slist _return)
+{
+  myitransform->_return=_return;
+}
+p_itransform adms_itransform_new (p_transform mytemplate)
+{
+  p_itransform mynewitransform=(p_itransform) calloc(1,(size_t)sizeof(t_itransform));
+  mynewitransform->_adms._datatypename=admse_itransform;
+  mynewitransform->_template=mytemplate;
+  return mynewitransform;
+}
+char*adms_itransform_uid (p_itransform mynewitransform)
+{
+  char*myuid=NULL;
+  adms_strconcat(&myuid,adms_transform_uid(mynewitransform->_template));
+  return myuid;
+}
+
+/* ------- return -------------- */
+void adms_return_free (p_return myreturn)
+{
+  if(!myreturn)
+    return;
+  free(myreturn->_name);
+  free(myreturn->_value);
+  free(myreturn);
+}
+
+void adms_return_valueto_name (p_return myreturn,const char* _name)
+{
+  myreturn->_name=adms_kclone(_name);
+}
+
+void adms_return_valueto_value (p_return myreturn,const char* _value)
+{
+  myreturn->_value=adms_kclone(_value);
+}
+p_return adms_return_new (const char* myname,const char* myvalue)
+{
+  p_return mynewreturn=(p_return) calloc(1,(size_t)sizeof(t_return));
+  mynewreturn->_adms._datatypename=admse_return;
+  mynewreturn->_name=adms_kclone(myname);
+  mynewreturn->_value=adms_kclone(myvalue);
+  return mynewreturn;
+}
+char*adms_return_uid (p_return mynewreturn)
+{
+  char*myuid=NULL;
+  adms_k2strconcat(&myuid,mynewreturn->_name);
+  return myuid;
+}
+
+/* ------- attribute -------------- */
+void adms_attribute_free (p_attribute myattribute)
+{
+  if(!myattribute)
+    return;
+  free(myattribute->_name);
+  free(myattribute);
+}
+
+void adms_attribute_valueto_name (p_attribute myattribute,const char* _name)
+{
+  myattribute->_name=adms_kclone(_name);
+  myattribute->_name_isdefault=0;
+}
+
+void adms_attribute_valueto_value (p_attribute myattribute,p_adms _value)
+{
+  myattribute->_value=_value;
+  myattribute->_value_isdefault=0;
+}
+p_attribute adms_attribute_new (const char* myname)
+{
+  p_attribute mynewattribute=(p_attribute) calloc(1,(size_t)sizeof(t_attribute));
+  mynewattribute->_adms._datatypename=admse_attribute;
+  mynewattribute->_name=adms_kclone(myname);
+  mynewattribute->_name_isdefault=1;
+  mynewattribute->_value_isdefault=-1;
+  return mynewattribute;
+}
+char*adms_attribute_uid (p_attribute mynewattribute)
+{
+  char*myuid=NULL;
+  adms_k2strconcat(&myuid,mynewattribute->_name);
+  return myuid;
+}
+static p_admst adms_attribute_xnew (p_ktransform mytransform,p_admst dot,p_slist myinputs[],const int size)
+{
+  if(size!=1)
+  {
+    adms_message_fatal_continue(("admst:new(attribute) bad number of inputs (found %i, expected 1)\n",size))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else
+  {
+    char* myname=aprintf(mytransform,(p_admst)myinputs[0]->data);
+    /*xnew*/p_admst myadmst=adms_admst_newpa(dot,dot,(p_adms)adms_attribute_new(myname));
+    free(myname);
+    return myadmst;
+  }
+}
+
+/* ------- admstvariable -------------- */
+void adms_admstvariable_free (p_admstvariable myadmstvariable)
+{
+  if(!myadmstvariable)
+    return;
+  free(myadmstvariable->_name);
+  adms_slist_free(myadmstvariable->_value);
+  free(myadmstvariable);
+}
+
+void adms_admstvariable_valueto_name (p_admstvariable myadmstvariable,const char* _name)
+{
+  myadmstvariable->_name=adms_kclone(_name);
+}
+
+void adms_admstvariable_valueto_value (p_admstvariable myadmstvariable,p_slist _value)
+{
+  myadmstvariable->_value=_value;
+}
+p_admstvariable adms_admstvariable_new (const char* myname)
+{
+  p_admstvariable mynewadmstvariable=(p_admstvariable) calloc(1,(size_t)sizeof(t_admstvariable));
+  mynewadmstvariable->_adms._datatypename=admse_admstvariable;
+  mynewadmstvariable->_name=adms_kclone(myname);
+  return mynewadmstvariable;
+}
+char*adms_admstvariable_uid (p_admstvariable mynewadmstvariable)
+{
+  char*myuid=NULL;
+  adms_k2strconcat(&myuid,mynewadmstvariable->_name);
+  return myuid;
+}
+
+/* ------- lexval -------------- */
+void adms_lexval_free (p_lexval mylexval)
+{
+  if(!mylexval)
+    return;
+  free(mylexval->_string);
+  free(mylexval->_f);
+  free(mylexval);
+}
+
+void adms_lexval_valueto_string (p_lexval mylexval,const char* _string)
+{
+  mylexval->_string=adms_kclone(_string);
+  mylexval->_string_isdefault=0;
+}
+
+void adms_lexval_valueto_f (p_lexval mylexval,const char* _f)
+{
+  mylexval->_f=adms_kclone(_f);
+  mylexval->_f_isdefault=0;
+}
+
+void adms_lexval_valueto_l (p_lexval mylexval,int _l)
+{
+  mylexval->_l=_l;
+  mylexval->_l_isdefault=0;
+}
+
+void adms_lexval_valueto_c (p_lexval mylexval,int _c)
+{
+  mylexval->_c=_c;
+  mylexval->_c_isdefault=0;
+}
+p_lexval adms_lexval_new (const char* mystring,const char* myf,int myl,int myc)
+{
+  p_lexval mynewlexval=(p_lexval) calloc(1,(size_t)sizeof(t_lexval));
+  mynewlexval->_adms._datatypename=admse_lexval;
+  mynewlexval->_string=adms_kclone(mystring);
+  mynewlexval->_string_isdefault=1;
+  mynewlexval->_f=adms_kclone(myf);
+  mynewlexval->_f_isdefault=1;
+  mynewlexval->_l=myl;
+  mynewlexval->_l_isdefault=1;
+  mynewlexval->_c=myc;
+  mynewlexval->_c_isdefault=1;
+  return mynewlexval;
+}
+char*adms_lexval_uid (p_lexval mynewlexval)
+{
+  char*myuid=NULL;
+  adms_k2strconcat(&myuid,mynewlexval->_string);
+  return myuid;
+}
+static p_admst adms_lexval_xnew (p_ktransform mytransform,p_admst dot,p_slist myinputs[],const int size)
+{
+  if(size!=4)
+  {
+    adms_message_fatal_continue(("admst:new(lexval) bad number of inputs (found %i, expected 4)\n",size))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else
+  {
+    char* mystring=aprintf(mytransform,(p_admst)myinputs[0]->data);
+    char* myf=aprintf(mytransform,(p_admst)myinputs[1]->data);
+    char* myls=aprintf(mytransform,(p_admst)myinputs[2]->data);
+    int myl=adms_strtol(mytransform,myls);
+    char* mycs=aprintf(mytransform,(p_admst)myinputs[3]->data);
+    int myc=adms_strtol(mytransform,mycs);
+    /*xnew*/p_admst myadmst=adms_admst_newpa(dot,dot,(p_adms)adms_lexval_new(mystring,myf,myl,myc));
+    free(mystring);
+    free(myf);
+    free(myls);
+    free(mycs);
+    return myadmst;
+  }
+}
+
+/* ------- yaccval -------------- */
+void adms_yaccval_free (p_yaccval myyaccval)
+{
+  if(!myyaccval)
+    return;
+  free(myyaccval->_filename);
+  free(myyaccval);
+}
+
+void adms_yaccval_valueto_filename (p_yaccval myyaccval,const char* _filename)
+{
+  myyaccval->_filename=adms_kclone(_filename);
+}
+
+void adms_yaccval_valueto_usrdata (p_yaccval myyaccval,p_adms _usrdata)
+{
+  myyaccval->_usrdata=_usrdata;
+}
+p_yaccval adms_yaccval_new (const char* myfilename)
+{
+  p_yaccval mynewyaccval=(p_yaccval) calloc(1,(size_t)sizeof(t_yaccval));
+  mynewyaccval->_adms._datatypename=admse_yaccval;
+  mynewyaccval->_filename=adms_kclone(myfilename);
+  return mynewyaccval;
+}
+char*adms_yaccval_uid (p_yaccval mynewyaccval)
+{
+  char*myuid=NULL;
+  adms_k2strconcat(&myuid,mynewyaccval->_filename);
+  return myuid;
+}
+
+p_new adms_admsxml_new (p_ktransform mytransform,const char* ename)
+{
+  if(0) {}
+  else if(!strcmp(ename,"simulator")) return (p_new) adms_simulator_xnew;
+  else if(!strcmp(ename,"admsmain")) return (p_new) adms_admsmain_xnew;
+  else if(!strcmp(ename,"list")) return (p_new) adms_list_xnew;
+  else if(!strcmp(ename,"nature")) return (p_new) adms_nature_xnew;
+  else if(!strcmp(ename,"discipline")) return (p_new) adms_discipline_xnew;
+  else if(!strcmp(ename,"module")) return (p_new) adms_module_xnew;
+  else if(!strcmp(ename,"analogfunction")) return (p_new) adms_analogfunction_xnew;
+  else if(!strcmp(ename,"nodealias")) return (p_new) adms_nodealias_xnew;
+  else if(!strcmp(ename,"node")) return (p_new) adms_node_xnew;
+  else if(!strcmp(ename,"branchalias")) return (p_new) adms_branchalias_xnew;
+  else if(!strcmp(ename,"branch")) return (p_new) adms_branch_xnew;
+  else if(!strcmp(ename,"variableprototype")) return (p_new) adms_variableprototype_xnew;
+  else if(!strcmp(ename,"source")) return (p_new) adms_source_xnew;
+  else if(!strcmp(ename,"range")) return (p_new) adms_range_xnew;
+  else if(!strcmp(ename,"jacobian")) return (p_new) adms_jacobian_xnew;
+  else if(!strcmp(ename,"analog")) return (p_new) adms_analog_xnew;
+  else if(!strcmp(ename,"math")) return (p_new) adms_math_xnew;
+  else if(!strcmp(ename,"string")) return (p_new) adms_string_xnew;
+  else if(!strcmp(ename,"subexpression")) return (p_new) adms_subexpression_xnew;
+  else if(!strcmp(ename,"mapply_unary")) return (p_new) adms_mapply_unary_xnew;
+  else if(!strcmp(ename,"mapply_binary")) return (p_new) adms_mapply_binary_xnew;
+  else if(!strcmp(ename,"mapply_ternary")) return (p_new) adms_mapply_ternary_xnew;
+  else if(!strcmp(ename,"number")) return (p_new) adms_number_xnew;
+  else if(!strcmp(ename,"function")) return (p_new) adms_function_xnew;
+  else if(!strcmp(ename,"variable")) return (p_new) adms_variable_xnew;
+  else if(!strcmp(ename,"array")) return (p_new) adms_array_xnew;
+  else if(!strcmp(ename,"probe")) return (p_new) adms_probe_xnew;
+  else if(!strcmp(ename,"expression")) return (p_new) adms_expression_xnew;
+  else if(!strcmp(ename,"instance")) return (p_new) adms_instance_xnew;
+  else if(!strcmp(ename,"instanceparameter")) return (p_new) adms_instanceparameter_xnew;
+  else if(!strcmp(ename,"instancenode")) return (p_new) adms_instancenode_xnew;
+  else if(!strcmp(ename,"nilled")) return (p_new) adms_nilled_xnew;
+  else if(!strcmp(ename,"assignment")) return (p_new) adms_assignment_xnew;
+  else if(!strcmp(ename,"contribution")) return (p_new) adms_contribution_xnew;
+  else if(!strcmp(ename,"conditional")) return (p_new) adms_conditional_xnew;
+  else if(!strcmp(ename,"forloop")) return (p_new) adms_forloop_xnew;
+  else if(!strcmp(ename,"whileloop")) return (p_new) adms_whileloop_xnew;
+  else if(!strcmp(ename,"case")) return (p_new) adms_case_xnew;
+  else if(!strcmp(ename,"caseitem")) return (p_new) adms_caseitem_xnew;
+  else if(!strcmp(ename,"blockvariable")) return (p_new) adms_blockvariable_xnew;
+  else if(!strcmp(ename,"block")) return (p_new) adms_block_xnew;
+  else if(!strcmp(ename,"callfunction")) return (p_new) adms_callfunction_xnew;
+  else if(!strcmp(ename,"evaluation")) return (p_new) adms_evaluation_xnew;
+  else if(!strcmp(ename,"attribute")) return (p_new) adms_attribute_xnew;
+  else if(!strcmp(ename,"lexval")) return (p_new) adms_lexval_xnew;
+  else if(!strcmp(ename,"basicenumeration")) return (p_new) adms_basicenumeration_xnew;
+  else if(!strcmp(ename,"basicinteger")) return (p_new) adms_basicinteger_xnew;
+  else if(!strcmp(ename,"basicreal")) return (p_new) adms_basicreal_xnew;
+  else if(!strcmp(ename,"basicstring")) return (p_new) adms_basicstring_xnew;
+  adms_message_fatal_continue(("adms element '%s' cannot be created using admst:new\n",ename))
+  adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+  return NULL;
+}
+
+p_cmp adms_admsxml_cmp (p_kadmst myadmst)
+{
+  p_admst myadmstread=aread(myadmst);
+  if(myadmstread->_pseudo==admse_basicenumeration) return (p_cmp)adms_basicenumeration_cmp;
+  if(myadmstread->_pseudo==admse_basicinteger) return (p_cmp)adms_basicinteger_cmp;
+  if(myadmstread->_pseudo==admse_basicreal) return (p_cmp)adms_basicreal_cmp;
+  if(myadmstread->_pseudo==admse_basicstring) return (p_cmp)adms_basicstring_cmp;
+  if(myadmstread->_pseudo==admse_simulator) return (p_cmp)adms_simulator_cmp;
+  if(myadmstread->_pseudo==admse_admsmain) return (p_cmp)adms_admsmain_cmp;
+  if(myadmstread->_pseudo==admse_list) return (p_cmp)adms_list_cmp;
+  if(myadmstread->_pseudo==admse_nature) return (p_cmp)adms_nature_cmp;
+  if(myadmstread->_pseudo==admse_discipline) return (p_cmp)adms_discipline_cmp;
+  if(myadmstread->_pseudo==admse_module) return (p_cmp)adms_module_cmp;
+  if(myadmstread->_pseudo==admse_analogfunction) return (p_cmp)adms_analogfunction_cmp;
+  if(myadmstread->_pseudo==admse_nodealias) return (p_cmp)adms_nodealias_cmp;
+  if(myadmstread->_pseudo==admse_node) return (p_cmp)adms_node_cmp;
+  if(myadmstread->_pseudo==admse_branchalias) return (p_cmp)adms_branchalias_cmp;
+  if(myadmstread->_pseudo==admse_branch) return (p_cmp)adms_branch_cmp;
+  if(myadmstread->_pseudo==admse_variableprototype) return (p_cmp)adms_variableprototype_cmp;
+  if(myadmstread->_pseudo==admse_source) return (p_cmp)adms_source_cmp;
+  if(myadmstread->_pseudo==admse_range) return (p_cmp)adms_range_cmp;
+  if(myadmstread->_pseudo==admse_jacobian) return (p_cmp)adms_jacobian_cmp;
+  if(myadmstread->_pseudo==admse_analog) return (p_cmp)adms_analog_cmp;
+  if(myadmstread->_pseudo==admse_math) return (p_cmp)adms_math_cmp;
+  if(myadmstread->_pseudo==admse_string) return (p_cmp)adms_string_cmp;
+  if(myadmstread->_pseudo==admse_subexpression) return (p_cmp)adms_subexpression_cmp;
+  if(myadmstread->_pseudo==admse_mapply_unary) return (p_cmp)adms_mapply_unary_cmp;
+  if(myadmstread->_pseudo==admse_mapply_binary) return (p_cmp)adms_mapply_binary_cmp;
+  if(myadmstread->_pseudo==admse_mapply_ternary) return (p_cmp)adms_mapply_ternary_cmp;
+  if(myadmstread->_pseudo==admse_number) return (p_cmp)adms_number_cmp;
+  if(myadmstread->_pseudo==admse_function) return (p_cmp)adms_function_cmp;
+  if(myadmstread->_pseudo==admse_variable) return (p_cmp)adms_variable_cmp;
+  if(myadmstread->_pseudo==admse_array) return (p_cmp)adms_array_cmp;
+  if(myadmstread->_pseudo==admse_probe) return (p_cmp)adms_probe_cmp;
+  if(myadmstread->_pseudo==admse_expression) return (p_cmp)adms_expression_cmp;
+  if(myadmstread->_pseudo==admse_instance) return (p_cmp)adms_instance_cmp;
+  if(myadmstread->_pseudo==admse_instanceparameter) return (p_cmp)adms_instanceparameter_cmp;
+  if(myadmstread->_pseudo==admse_instancenode) return (p_cmp)adms_instancenode_cmp;
+  if(myadmstread->_pseudo==admse_nilled) return (p_cmp)adms_nilled_cmp;
+  if(myadmstread->_pseudo==admse_assignment) return (p_cmp)adms_assignment_cmp;
+  if(myadmstread->_pseudo==admse_contribution) return (p_cmp)adms_contribution_cmp;
+  if(myadmstread->_pseudo==admse_conditional) return (p_cmp)adms_conditional_cmp;
+  if(myadmstread->_pseudo==admse_forloop) return (p_cmp)adms_forloop_cmp;
+  if(myadmstread->_pseudo==admse_whileloop) return (p_cmp)adms_whileloop_cmp;
+  if(myadmstread->_pseudo==admse_case) return (p_cmp)adms_case_cmp;
+  if(myadmstread->_pseudo==admse_caseitem) return (p_cmp)adms_caseitem_cmp;
+  if(myadmstread->_pseudo==admse_blockvariable) return (p_cmp)adms_blockvariable_cmp;
+  if(myadmstread->_pseudo==admse_block) return (p_cmp)adms_block_cmp;
+  if(myadmstread->_pseudo==admse_callfunction) return (p_cmp)adms_callfunction_cmp;
+  if(myadmstread->_pseudo==admse_evaluation) return (p_cmp)adms_evaluation_cmp;
+  if(myadmstread->_pseudo==admse_attribute) return (p_cmp)adms_attribute_cmp;
+  if(myadmstread->_pseudo==admse_lexval) return (p_cmp)adms_lexval_cmp;
+  adms_message_fatal_continue(("%s:%i: internal error - should not be reached\n",__FILE__,__LINE__))
+  adms_message_fatal(("%s:%i: please report to r29173 at users.sourceforge.net\n",__FILE__,__LINE__))
+  return NULL;
+}
+/*-- admst/new prototypes --*/
+p_admst adms_admst_newbd (p_admst myprevious,p_admst mypreviouspath,const admse item)
+{
+  p_admst myadmst=adms_admst_new(myprevious,mypreviouspath,admse_datatypename);
+  myadmst->_item.i=item;
+  return myadmst;
+}
+p_admst adms_admst_newbe (p_admst myprevious,p_admst mypreviouspath,const admse item)
+{
+  p_admst myadmst=adms_admst_new(myprevious,mypreviouspath,admse_basicenumeration);
+  myadmst->_item.i=item;
+  return myadmst;
+}
+p_admst adms_admst_newbi (p_admst myprevious,p_admst mypreviouspath,const int item)
+{
+  p_admst myadmst=adms_admst_new(myprevious,mypreviouspath,admse_basicinteger);
+  myadmst->_item.i=item;
+  return myadmst;
+}
+p_admst adms_admst_newbr (p_admst myprevious,p_admst mypreviouspath,const double item)
+{
+  p_admst myadmst=adms_admst_new(myprevious,mypreviouspath,admse_basicreal);
+  myadmst->_item.r=item;
+  return myadmst;
+}
+p_admst adms_admst_newbs (p_admst myprevious,p_admst mypreviouspath,char* item)
+{
+  p_admst myadmst=adms_admst_new(myprevious,mypreviouspath,admse_basicstring);
+  myadmst->_item.s=item;
+  if(myprevious->_hasnewbasicstring==2)
+  {
+    myadmst->_original=myprevious;
+    myprevious->_refd++;
+  }
+  return myadmst;
+}
+p_admst adms_admst_newks (char* item)
+{
+  p_admst myadmst=adms_admst_new(NULL,NULL,admse_basicstring);
+  myadmst->_item.s=item;
+  myadmst->_refd=0;
+  return myadmst;
+}
+p_admst adms_admst_newns (p_admst myprevious,p_admst mypreviouspath,char* item)
+{
+  p_admst myadmst=adms_admst_new(myprevious,mypreviouspath,admse_basicstring);
+  myadmst->_item.s=item;
+  myadmst->_hasnewbasicstring=1;
+  return myadmst;
+}
+p_admst adms_admst_newpa (p_admst myprevious,p_admst mypreviouspath,const p_adms item)
+{
+  p_admst myadmst=adms_admst_new(myprevious,mypreviouspath,item?item->_datatypename:admse_empty);
+  myadmst->_item.p=item;
+  return myadmst;
+}
+p_admst adms_admst_newpn (p_admst myprevious,p_admst mypreviouspath,p_admst item)
+{
+  p_admst myadmst=adms_admst_new(myprevious,mypreviouspath,item->_pseudo);
+  if(item->_hasnewbasicstring==1)
+  {
+    myadmst->_item.s=item->_item.s;
+    myadmst->_original=item;
+    item->_refd++;
+  }
+  else
+    myadmst->_item.p=item->_item.p;
+  return myadmst;
+}
+p_admst adms_admst_newpc (p_admst myprevious,p_admst mypreviouspath,p_attribute item)
+{
+  p_admst myadmst=adms_admst_new(myprevious,mypreviouspath,admse__croix);
+  myadmst->_item.p=(p_adms)item;
+  return myadmst;
+}
+p_admst adms_admst_newpd (p_admst myprevious,p_admst mypreviouspath,p_admstvariable item)
+{
+  p_admst myadmst=adms_admst_new(myprevious,mypreviouspath,admse_basiclist);
+  myadmst->_item.p=(p_adms)item;
+  return myadmst;
+}
+p_admst adms_admst_newla (p_admst myprevious,p_admst mypreviouspath,p_slist item)
+{
+  p_admst myadmst=adms_admst_new(myprevious,mypreviouspath,admse__ladms);
+  myadmst->_item.p=(p_adms)item;
+  return myadmst;
+}
+p_admst adms_admst_newln (p_admst myprevious,p_admst mypreviouspath,p_slist item)
+{
+  p_admst myadmst=adms_admst_new(myprevious,mypreviouspath,admse__ladmst);
+  myadmst->_item.p=(p_adms)item;
+  return myadmst;
+}
+p_admst adms_admst_newpp (p_admst myprevious,p_admst mypreviouspath,admse item)
+{
+  p_admst myadmst=adms_admst_new(myprevious,mypreviouspath,item);
+  myadmst->_item.p=myprevious->_item.p;
+  return myadmst;
+}
+
+/*-- Miscellaneous routines --*/
+p_admst aread (p_admst myadmst)
+{
+  p_admst myadmstread=(myadmst&&myadmst->_pseudo==admse__croix)?(p_admst)((p_attribute)myadmst->_item.p)->_value:myadmst;
+  return myadmstread;
+}
+int adms_strtol (p_ktransform mytransform,const char* mynumber)
+{
+  int nb;
+  char* tail;
+  errno=0;
+  nb=strtol(mynumber,&tail,10);
+  if(*tail||errno)
+  {
+    adms_message_fatal_continue(("%s: cannot convert value to valid integer value\n",mynumber))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+  }
+  return nb;
+}
+double adms_strtod (p_ktransform mytransform,const char* myreal)
+{
+  double nb;
+  char* tail;
+  errno=0;
+  nb=strtod(myreal,&tail);
+  if(*tail||errno)
+    return adms_NAN;
+  if(*tail||errno)
+  {
+    adms_message_fatal_continue(("%s: cannot convert value to valid real value\n",myreal))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+  }
+  return nb;
+}
+void bp (void) {}
+char*adms_integertostring (int value)
+{
+   char*string=malloc(sizeof(char)*50);
+   sprintf(string,"%i",value);
+   return string;
+}
+char*adms_doubletostring (double value)
+{
+   if(isnan(value))
+   {
+     char*string=malloc(sizeof(char)*4);
+     sprintf(string,"nan");
+     return string;
+   }
+   else
+   {
+     char*string=malloc(sizeof(char)*50);
+     sprintf(string,"%e",value);
+     return string;
+   }
+}
+int adms_file_isregular (const char* myfilename)
+{
+  return((access(myfilename,ADMS_F_OK)==0));
+}
+int adms_file_isdirectory (const char* myfilename)
+{
+  struct stat s;
+  return((stat(myfilename,&s)==0)&&(s.st_mode&ADMS_S_IFDIR));
+}
+int adms_setenv (const char* myname,const char* myvalue)
+{
+  int myres;
+#if defined(HAVE_PUTENV)
+  char*myassign=NULL;
+  adms_k2strconcat(&myassign,myname);
+  adms_k2strconcat(&myassign,"=");
+  adms_k2strconcat(&myassign,myvalue);
+  myres=putenv(myassign);
+  free(myassign);
+#else
+  myres=setenv(myname,myvalue,1);
+#endif
+  return (myres==0);
+}
+p_slist adms_slist_last (p_slist l)
+{
+  if(l)
+  {
+    while(l->next)
+      l=l->next;
+  }
+  return l;
+}
+p_slist adms_slist_new (p_adms d)
+{
+  p_slist newl=NULL;
+  adms_slist_push(&newl,d);
+  return newl;
+}
+p_slist adms_slist_copy (p_slist l)
+{
+  p_slist copiedl=NULL;
+  while(l)
+  {
+    adms_slist_push(&copiedl,l->data);
+    l=l->next;
+  }
+  return adms_slist_reverse(copiedl);
+}
+void adms_slist_push (p_slist* l,p_adms data)
+{
+  p_slist n=malloc(sizeof(t_slist));
+  n->next=*l;
+  n->data=data;
+  *l=n;
+}
+p_adms adms_slist_pull (p_slist* l)
+{
+  if(*l)
+  {
+    p_slist n=*l;
+    p_adms data=n->data;
+    *l=(*l)->next;
+    free(n);
+    return data;
+  }
+  return NULL;
+}
+void adms_slist_concat (p_slist* l1,p_slist l2)
+{
+  if(l2)
+  {
+    if(*l1)
+      adms_slist_last(*l1)->next=l2;
+    else
+      *l1=l2;
+  }
+}
+unsigned int adms_slist_length (p_slist l)
+{
+  unsigned int length=0;
+  while(l)
+  {
+    length++;
+    l=l->next;
+  }
+  return length;
+}
+p_slist adms_slist_nth (p_slist l,unsigned int  n)
+{
+  while (n-->0 && l)
+    l=l->next;
+  return l;
+}
+p_adms adms_slist_nth_data (p_slist l,unsigned int n)
+{
+  while (n-->0 && l)
+    l=l->next;
+  return l ? l->data : ((p_adms)0);
+}
+p_slist adms_slist_find (p_slist l,p_kadms data)
+{
+  while(l)
+  {
+    if(l->data==data)
+      break;
+    l=l->next;
+  }
+  return l;
+}
+int adms_slist_index (p_slist l, p_kadms data)
+{
+  int i=0;
+  while(l)
+  {
+    if(l->data==data)
+      return i;
+    i++;
+    l=l->next;
+  }
+  return -1;
+}
+p_slist adms_slist_reverse (p_slist l)
+{
+  p_slist p=NULL;
+  while(l)
+  {
+    p_slist n=l->next;
+    l->next=p;
+    p=l;
+    l=n;
+  }
+  return p;
+}
+void adms_slist_inreverse (p_slist* l)
+{
+  *l=adms_slist_reverse(*l);
+}
+void adms_slist_free (p_slist l)
+{
+  while(l)
+  {
+    p_slist freed=l;
+    l=l->next;
+    free(freed);
+  }
+}
+int globalnbadmstnew=0, globalnbadmstdestroy=0;
+int adms_global_nbadmstnew (void) {return globalnbadmstnew;}
+int adms_global_nbadmstdestroy (void) {return globalnbadmstdestroy;}
+void adms_global_increment_nbadmstnew (void) {globalnbadmstnew++;}
+void adms_global_increment_nbadmstdestroy (void) {globalnbadmstdestroy++;}
+p_admsmain globaladmsmain;
+p_admsmain root (void) {return globaladmsmain;}
+void rootnew (p_admsmain myglobaladmsmain) {globaladmsmain=myglobaladmsmain;}
+
+/*-- Messaging --*/
+void adms_toupper (char*m)
+{
+  for(;*m;m++)
+    *m=toupper(*m);
+}
+void adms_tolower (char*m)
+{
+  for(;*m;m++)
+    *m=tolower(*m);
+}
+char*adms_kclone (const char* m)
+{
+  if(m)
+  {
+    int l=strlen(m);
+    char*mycpy=(char*)malloc((l+1)*sizeof(char));
+    memcpy(mycpy,m,l);
+    mycpy[l]='\0';
+    return mycpy;
+  }
+  else
+    return NULL;
+}
+char*adms_knclone (const char* m,const int l)
+{
+  char*mycpy=(char*)malloc((l+1)*sizeof(char));
+  memcpy(mycpy,m,l);
+  mycpy[l]='\0';
+  return mycpy;
+}
+/*clone array (m,n(: "abcdefghijkl\0" returns as "cdef\0"*/
+/*                      m   n                            */
+/* same as adms_knclone(m,n-m)                           */
+char*adms_m2nclone (const char* m,const char* n)
+{
+  int l=(char*)n-(char*)m;
+  char*mycpy=(char*)malloc((l+1)*sizeof(char));
+  memcpy(mycpy,m,l);
+  mycpy[l]='\0';
+  return mycpy;
+}
+/*s1: realloced s2: constant ret: s1=s1s2*/
+void adms_k2strconcat (char **s1,const char* s2)
+{
+  if(!s2)
+    return;
+  if(*s1)
+  {
+    int l1=strlen(*s1);
+    int l2=strlen(s2);
+    *s1=(char*)realloc(*s1,(l1+l2+1)*sizeof(char));
+    memcpy(*s1+l1,s2,l2+1);
+  }
+  else
+    *s1=strdup(s2);
+}
+/*s1: realloced s2: freed ret: s1=s1s2*/
+void adms_strconcat (char **s1,char *s2)
+{
+  adms_k2strconcat(s1,s2);
+  free(s2);
+}
+FILE* adms_file_open_read (const char* myfilename)
+{
+  FILE* fh=fopen(myfilename,"r");
+  if(!fh)
+    adms_message_fatal(("%s: failed to open file [read mode]\n",myfilename))
+  return fh;
+}
+
+_t_message (adms_message_info_impl)
+{
+  va_list ap;
+  int insideformat=0;
+  int i;
+  char* s;
+  char c;
+  double d;
+  void* p;
+  fputs("[info...] ",stdout);
+  va_start(ap, format);
+  for(;*format;format++)
+  {
+    if(insideformat)
+    {
+      insideformat=0;
+      switch(*format) 
+      {
+        case 's':
+          s=va_arg (ap,char*);
+          if(s) fputs(s,stdout); else fputs("NULL",stdout);
+          break;
+        case 'e':
+          d=va_arg (ap,double);
+          fprintf(stdout,"%e",d);
+          break;
+        case 'c':
+          c=va_arg (ap,int);
+          fprintf(stdout,"%c",c);
+          break;
+        case 'g':
+          d=va_arg (ap,double);
+          fprintf(stdout,"%g",d);
+          break;
+        case 'f':
+          d=va_arg (ap,double);
+          fprintf(stdout,"%f",d);
+          break;
+        case 'i':
+          i=va_arg (ap,int);
+          fprintf(stdout,"%i",i);
+          break;
+        case 'p':
+          p=va_arg (ap,void*);
+          fprintf(stdout,"%p",p);
+          break;
+        default:
+          fputc(*format,stdout);
+      }
+    }
+    else
+    {
+      switch(*format) 
+      {
+        case '%':
+          insideformat=1;
+          break;
+        default:
+          fputc(*format,stdout);
+      }
+    }
+  }
+  va_end (ap);
+  fflush(stdout);
+}
+_t_message (adms_message_info_continue_impl)
+{
+  va_list ap;
+  int insideformat=0;
+  int i;
+  char* s;
+  char c;
+  double d;
+  void* p;
+  va_start(ap, format);
+  for(;*format;format++)
+  {
+    if(insideformat)
+    {
+      insideformat=0;
+      switch(*format) 
+      {
+        case 's':
+          s=va_arg (ap,char*);
+          if(s) fputs(s,stdout); else fputs("NULL",stdout);
+          break;
+        case 'c':
+          c=va_arg (ap,int);
+          fputc(c,stdout);
+          break;
+        case 'e':
+          d=va_arg (ap,double);
+          fprintf(stdout,"%e",d);
+          break;
+        case 'g':
+          d=va_arg (ap,double);
+          fprintf(stdout,"%g",d);
+          break;
+        case 'f':
+          d=va_arg (ap,double);
+          fprintf(stdout,"%f",d);
+          break;
+        case 'i':
+          i=va_arg (ap,int);
+          fprintf(stdout,"%i",i);
+          break;
+        case 'p':
+          p=va_arg (ap,void*);
+          fprintf(stdout,"%p",p);
+          break;
+        default:
+          fputc(*format,stdout);
+      }
+    }
+    else
+    {
+      switch(*format) 
+      {
+        case '%':
+          insideformat=1;
+          break;
+        default:
+          fputc(*format,stdout);
+      }
+    }
+  }
+  va_end (ap);
+  fflush(stdout);
+}
+_t_message (adms_message_usage_impl)
+{
+  va_list ap;
+  int insideformat=0;
+  int i;
+  char* s;
+  char c;
+  double d;
+  void* p;
+  fputs("[usage..] ",stdout);
+  va_start(ap, format);
+  for(;*format;format++)
+  {
+    if(insideformat)
+    {
+      insideformat=0;
+      switch(*format) 
+      {
+        case 's':
+          s=va_arg (ap,char*);
+          if(s) fputs(s,stdout); else fputs("NULL",stdout);
+          break;
+        case 'e':
+          d=va_arg (ap,double);
+          fprintf(stdout,"%e",d);
+          break;
+        case 'c':
+          c=va_arg (ap,int);
+          fprintf(stdout,"%c",c);
+          break;
+        case 'g':
+          d=va_arg (ap,double);
+          fprintf(stdout,"%g",d);
+          break;
+        case 'f':
+          d=va_arg (ap,double);
+          fprintf(stdout,"%f",d);
+          break;
+        case 'i':
+          i=va_arg (ap,int);
+          fprintf(stdout,"%i",i);
+          break;
+        case 'p':
+          p=va_arg (ap,void*);
+          fprintf(stdout,"%p",p);
+          break;
+        default:
+          fputc(*format,stdout);
+      }
+    }
+    else
+    {
+      switch(*format) 
+      {
+        case '%':
+          insideformat=1;
+          break;
+        default:
+          fputc(*format,stdout);
+      }
+    }
+  }
+  va_end (ap);
+  fflush(stdout);
+}
+_t_message (adms_message_usage_continue_impl)
+{
+  va_list ap;
+  int insideformat=0;
+  int i;
+  char* s;
+  char c;
+  double d;
+  void* p;
+  va_start(ap, format);
+  for(;*format;format++)
+  {
+    if(insideformat)
+    {
+      insideformat=0;
+      switch(*format) 
+      {
+        case 's':
+          s=va_arg (ap,char*);
+          if(s) fputs(s,stdout); else fputs("NULL",stdout);
+          break;
+        case 'c':
+          c=va_arg (ap,int);
+          fputc(c,stdout);
+          break;
+        case 'e':
+          d=va_arg (ap,double);
+          fprintf(stdout,"%e",d);
+          break;
+        case 'g':
+          d=va_arg (ap,double);
+          fprintf(stdout,"%g",d);
+          break;
+        case 'f':
+          d=va_arg (ap,double);
+          fprintf(stdout,"%f",d);
+          break;
+        case 'i':
+          i=va_arg (ap,int);
+          fprintf(stdout,"%i",i);
+          break;
+        case 'p':
+          p=va_arg (ap,void*);
+          fprintf(stdout,"%p",p);
+          break;
+        default:
+          fputc(*format,stdout);
+      }
+    }
+    else
+    {
+      switch(*format) 
+      {
+        case '%':
+          insideformat=1;
+          break;
+        default:
+          fputc(*format,stdout);
+      }
+    }
+  }
+  va_end (ap);
+  fflush(stdout);
+}
+_t_message (adms_message_verbose_impl)
+{
+  va_list ap;
+  int insideformat=0;
+  int i;
+  char* s;
+  char c;
+  double d;
+  void* p;
+  fputs("[verbose] ",stdout);
+  va_start(ap, format);
+  for(;*format;format++)
+  {
+    if(insideformat)
+    {
+      insideformat=0;
+      switch(*format) 
+      {
+        case 's':
+          s=va_arg (ap,char*);
+          if(s) fputs(s,stdout); else fputs("NULL",stdout);
+          break;
+        case 'e':
+          d=va_arg (ap,double);
+          fprintf(stdout,"%e",d);
+          break;
+        case 'c':
+          c=va_arg (ap,int);
+          fprintf(stdout,"%c",c);
+          break;
+        case 'g':
+          d=va_arg (ap,double);
+          fprintf(stdout,"%g",d);
+          break;
+        case 'f':
+          d=va_arg (ap,double);
+          fprintf(stdout,"%f",d);
+          break;
+        case 'i':
+          i=va_arg (ap,int);
+          fprintf(stdout,"%i",i);
+          break;
+        case 'p':
+          p=va_arg (ap,void*);
+          fprintf(stdout,"%p",p);
+          break;
+        default:
+          fputc(*format,stdout);
+      }
+    }
+    else
+    {
+      switch(*format) 
+      {
+        case '%':
+          insideformat=1;
+          break;
+        default:
+          fputc(*format,stdout);
+      }
+    }
+  }
+  va_end (ap);
+  fflush(stdout);
+}
+_t_message (adms_message_verbose_continue_impl)
+{
+  va_list ap;
+  int insideformat=0;
+  int i;
+  char* s;
+  char c;
+  double d;
+  void* p;
+  va_start(ap, format);
+  for(;*format;format++)
+  {
+    if(insideformat)
+    {
+      insideformat=0;
+      switch(*format) 
+      {
+        case 's':
+          s=va_arg (ap,char*);
+          if(s) fputs(s,stdout); else fputs("NULL",stdout);
+          break;
+        case 'c':
+          c=va_arg (ap,int);
+          fputc(c,stdout);
+          break;
+        case 'e':
+          d=va_arg (ap,double);
+          fprintf(stdout,"%e",d);
+          break;
+        case 'g':
+          d=va_arg (ap,double);
+          fprintf(stdout,"%g",d);
+          break;
+        case 'f':
+          d=va_arg (ap,double);
+          fprintf(stdout,"%f",d);
+          break;
+        case 'i':
+          i=va_arg (ap,int);
+          fprintf(stdout,"%i",i);
+          break;
+        case 'p':
+          p=va_arg (ap,void*);
+          fprintf(stdout,"%p",p);
+          break;
+        default:
+          fputc(*format,stdout);
+      }
+    }
+    else
+    {
+      switch(*format) 
+      {
+        case '%':
+          insideformat=1;
+          break;
+        default:
+          fputc(*format,stdout);
+      }
+    }
+  }
+  va_end (ap);
+  fflush(stdout);
+}
+_t_message (adms_message_debug_impl)
+{
+  va_list ap;
+  int insideformat=0;
+  int i;
+  char* s;
+  char c;
+  double d;
+  void* p;
+  fputs("[debug..] ",stdout);
+  va_start(ap, format);
+  for(;*format;format++)
+  {
+    if(insideformat)
+    {
+      insideformat=0;
+      switch(*format) 
+      {
+        case 's':
+          s=va_arg (ap,char*);
+          if(s) fputs(s,stdout); else fputs("NULL",stdout);
+          break;
+        case 'e':
+          d=va_arg (ap,double);
+          fprintf(stdout,"%e",d);
+          break;
+        case 'c':
+          c=va_arg (ap,int);
+          fprintf(stdout,"%c",c);
+          break;
+        case 'g':
+          d=va_arg (ap,double);
+          fprintf(stdout,"%g",d);
+          break;
+        case 'f':
+          d=va_arg (ap,double);
+          fprintf(stdout,"%f",d);
+          break;
+        case 'i':
+          i=va_arg (ap,int);
+          fprintf(stdout,"%i",i);
+          break;
+        case 'p':
+          p=va_arg (ap,void*);
+          fprintf(stdout,"%p",p);
+          break;
+        default:
+          fputc(*format,stdout);
+      }
+    }
+    else
+    {
+      switch(*format) 
+      {
+        case '%':
+          insideformat=1;
+          break;
+        default:
+          fputc(*format,stdout);
+      }
+    }
+  }
+  va_end (ap);
+  fflush(stdout);
+}
+_t_message (adms_message_debug_continue_impl)
+{
+  va_list ap;
+  int insideformat=0;
+  int i;
+  char* s;
+  char c;
+  double d;
+  void* p;
+  va_start(ap, format);
+  for(;*format;format++)
+  {
+    if(insideformat)
+    {
+      insideformat=0;
+      switch(*format) 
+      {
+        case 's':
+          s=va_arg (ap,char*);
+          if(s) fputs(s,stdout); else fputs("NULL",stdout);
+          break;
+        case 'c':
+          c=va_arg (ap,int);
+          fputc(c,stdout);
+          break;
+        case 'e':
+          d=va_arg (ap,double);
+          fprintf(stdout,"%e",d);
+          break;
+        case 'g':
+          d=va_arg (ap,double);
+          fprintf(stdout,"%g",d);
+          break;
+        case 'f':
+          d=va_arg (ap,double);
+          fprintf(stdout,"%f",d);
+          break;
+        case 'i':
+          i=va_arg (ap,int);
+          fprintf(stdout,"%i",i);
+          break;
+        case 'p':
+          p=va_arg (ap,void*);
+          fprintf(stdout,"%p",p);
+          break;
+        default:
+          fputc(*format,stdout);
+      }
+    }
+    else
+    {
+      switch(*format) 
+      {
+        case '%':
+          insideformat=1;
+          break;
+        default:
+          fputc(*format,stdout);
+      }
+    }
+  }
+  va_end (ap);
+  fflush(stdout);
+}
+_t_message (adms_message_dbg_vla_impl)
+{
+  va_list ap;
+  int insideformat=0;
+  int i;
+  char* s;
+  char c;
+  double d;
+  void* p;
+  fputs("[dbg_vla] ",stdout);
+  va_start(ap, format);
+  for(;*format;format++)
+  {
+    if(insideformat)
+    {
+      insideformat=0;
+      switch(*format) 
+      {
+        case 's':
+          s=va_arg (ap,char*);
+          if(s) fputs(s,stdout); else fputs("NULL",stdout);
+          break;
+        case 'e':
+          d=va_arg (ap,double);
+          fprintf(stdout,"%e",d);
+          break;
+        case 'c':
+          c=va_arg (ap,int);
+          fprintf(stdout,"%c",c);
+          break;
+        case 'g':
+          d=va_arg (ap,double);
+          fprintf(stdout,"%g",d);
+          break;
+        case 'f':
+          d=va_arg (ap,double);
+          fprintf(stdout,"%f",d);
+          break;
+        case 'i':
+          i=va_arg (ap,int);
+          fprintf(stdout,"%i",i);
+          break;
+        case 'p':
+          p=va_arg (ap,void*);
+          fprintf(stdout,"%p",p);
+          break;
+        default:
+          fputc(*format,stdout);
+      }
+    }
+    else
+    {
+      switch(*format) 
+      {
+        case '%':
+          insideformat=1;
+          break;
+        default:
+          fputc(*format,stdout);
+      }
+    }
+  }
+  va_end (ap);
+  fflush(stdout);
+}
+_t_message (adms_message_dbg_vla_continue_impl)
+{
+  va_list ap;
+  int insideformat=0;
+  int i;
+  char* s;
+  char c;
+  double d;
+  void* p;
+  va_start(ap, format);
+  for(;*format;format++)
+  {
+    if(insideformat)
+    {
+      insideformat=0;
+      switch(*format) 
+      {
+        case 's':
+          s=va_arg (ap,char*);
+          if(s) fputs(s,stdout); else fputs("NULL",stdout);
+          break;
+        case 'c':
+          c=va_arg (ap,int);
+          fputc(c,stdout);
+          break;
+        case 'e':
+          d=va_arg (ap,double);
+          fprintf(stdout,"%e",d);
+          break;
+        case 'g':
+          d=va_arg (ap,double);
+          fprintf(stdout,"%g",d);
+          break;
+        case 'f':
+          d=va_arg (ap,double);
+          fprintf(stdout,"%f",d);
+          break;
+        case 'i':
+          i=va_arg (ap,int);
+          fprintf(stdout,"%i",i);
+          break;
+        case 'p':
+          p=va_arg (ap,void*);
+          fprintf(stdout,"%p",p);
+          break;
+        default:
+          fputc(*format,stdout);
+      }
+    }
+    else
+    {
+      switch(*format) 
+      {
+        case '%':
+          insideformat=1;
+          break;
+        default:
+          fputc(*format,stdout);
+      }
+    }
+  }
+  va_end (ap);
+  fflush(stdout);
+}
+_t_message (adms_message_dbg_xml_impl)
+{
+  va_list ap;
+  int insideformat=0;
+  int i;
+  char* s;
+  char c;
+  double d;
+  void* p;
+  fputs("[dbg_xml] ",stdout);
+  va_start(ap, format);
+  for(;*format;format++)
+  {
+    if(insideformat)
+    {
+      insideformat=0;
+      switch(*format) 
+      {
+        case 's':
+          s=va_arg (ap,char*);
+          if(s) fputs(s,stdout); else fputs("NULL",stdout);
+          break;
+        case 'e':
+          d=va_arg (ap,double);
+          fprintf(stdout,"%e",d);
+          break;
+        case 'c':
+          c=va_arg (ap,int);
+          fprintf(stdout,"%c",c);
+          break;
+        case 'g':
+          d=va_arg (ap,double);
+          fprintf(stdout,"%g",d);
+          break;
+        case 'f':
+          d=va_arg (ap,double);
+          fprintf(stdout,"%f",d);
+          break;
+        case 'i':
+          i=va_arg (ap,int);
+          fprintf(stdout,"%i",i);
+          break;
+        case 'p':
+          p=va_arg (ap,void*);
+          fprintf(stdout,"%p",p);
+          break;
+        default:
+          fputc(*format,stdout);
+      }
+    }
+    else
+    {
+      switch(*format) 
+      {
+        case '%':
+          insideformat=1;
+          break;
+        default:
+          fputc(*format,stdout);
+      }
+    }
+  }
+  va_end (ap);
+  fflush(stdout);
+}
+_t_message (adms_message_dbg_xml_continue_impl)
+{
+  va_list ap;
+  int insideformat=0;
+  int i;
+  char* s;
+  char c;
+  double d;
+  void* p;
+  va_start(ap, format);
+  for(;*format;format++)
+  {
+    if(insideformat)
+    {
+      insideformat=0;
+      switch(*format) 
+      {
+        case 's':
+          s=va_arg (ap,char*);
+          if(s) fputs(s,stdout); else fputs("NULL",stdout);
+          break;
+        case 'c':
+          c=va_arg (ap,int);
+          fputc(c,stdout);
+          break;
+        case 'e':
+          d=va_arg (ap,double);
+          fprintf(stdout,"%e",d);
+          break;
+        case 'g':
+          d=va_arg (ap,double);
+          fprintf(stdout,"%g",d);
+          break;
+        case 'f':
+          d=va_arg (ap,double);
+          fprintf(stdout,"%f",d);
+          break;
+        case 'i':
+          i=va_arg (ap,int);
+          fprintf(stdout,"%i",i);
+          break;
+        case 'p':
+          p=va_arg (ap,void*);
+          fprintf(stdout,"%p",p);
+          break;
+        default:
+          fputc(*format,stdout);
+      }
+    }
+    else
+    {
+      switch(*format) 
+      {
+        case '%':
+          insideformat=1;
+          break;
+        default:
+          fputc(*format,stdout);
+      }
+    }
+  }
+  va_end (ap);
+  fflush(stdout);
+}
+_t_message (adms_message_dbg_mem_impl)
+{
+  va_list ap;
+  int insideformat=0;
+  int i;
+  char* s;
+  char c;
+  double d;
+  void* p;
+  fputs("[dbg_mem] ",stdout);
+  va_start(ap, format);
+  for(;*format;format++)
+  {
+    if(insideformat)
+    {
+      insideformat=0;
+      switch(*format) 
+      {
+        case 's':
+          s=va_arg (ap,char*);
+          if(s) fputs(s,stdout); else fputs("NULL",stdout);
+          break;
+        case 'e':
+          d=va_arg (ap,double);
+          fprintf(stdout,"%e",d);
+          break;
+        case 'c':
+          c=va_arg (ap,int);
+          fprintf(stdout,"%c",c);
+          break;
+        case 'g':
+          d=va_arg (ap,double);
+          fprintf(stdout,"%g",d);
+          break;
+        case 'f':
+          d=va_arg (ap,double);
+          fprintf(stdout,"%f",d);
+          break;
+        case 'i':
+          i=va_arg (ap,int);
+          fprintf(stdout,"%i",i);
+          break;
+        case 'p':
+          p=va_arg (ap,void*);
+          fprintf(stdout,"%p",p);
+          break;
+        default:
+          fputc(*format,stdout);
+      }
+    }
+    else
+    {
+      switch(*format) 
+      {
+        case '%':
+          insideformat=1;
+          break;
+        default:
+          fputc(*format,stdout);
+      }
+    }
+  }
+  va_end (ap);
+  fflush(stdout);
+}
+_t_message (adms_message_dbg_mem_continue_impl)
+{
+  va_list ap;
+  int insideformat=0;
+  int i;
+  char* s;
+  char c;
+  double d;
+  void* p;
+  va_start(ap, format);
+  for(;*format;format++)
+  {
+    if(insideformat)
+    {
+      insideformat=0;
+      switch(*format) 
+      {
+        case 's':
+          s=va_arg (ap,char*);
+          if(s) fputs(s,stdout); else fputs("NULL",stdout);
+          break;
+        case 'c':
+          c=va_arg (ap,int);
+          fputc(c,stdout);
+          break;
+        case 'e':
+          d=va_arg (ap,double);
+          fprintf(stdout,"%e",d);
+          break;
+        case 'g':
+          d=va_arg (ap,double);
+          fprintf(stdout,"%g",d);
+          break;
+        case 'f':
+          d=va_arg (ap,double);
+          fprintf(stdout,"%f",d);
+          break;
+        case 'i':
+          i=va_arg (ap,int);
+          fprintf(stdout,"%i",i);
+          break;
+        case 'p':
+          p=va_arg (ap,void*);
+          fprintf(stdout,"%p",p);
+          break;
+        default:
+          fputc(*format,stdout);
+      }
+    }
+    else
+    {
+      switch(*format) 
+      {
+        case '%':
+          insideformat=1;
+          break;
+        default:
+          fputc(*format,stdout);
+      }
+    }
+  }
+  va_end (ap);
+  fflush(stdout);
+}
+_t_message (adms_message_hint_impl)
+{
+  va_list ap;
+  int insideformat=0;
+  int i;
+  char* s;
+  char c;
+  double d;
+  void* p;
+  fputs("[hint...] ",stderr);
+  va_start(ap, format);
+  for(;*format;format++)
+  {
+    if(insideformat)
+    {
+      insideformat=0;
+      switch(*format) 
+      {
+        case 's':
+          s=va_arg (ap,char*);
+          if(s) fputs(s,stderr); else fputs("NULL",stderr);
+          break;
+        case 'e':
+          d=va_arg (ap,double);
+          fprintf(stderr,"%e",d);
+          break;
+        case 'c':
+          c=va_arg (ap,int);
+          fprintf(stderr,"%c",c);
+          break;
+        case 'g':
+          d=va_arg (ap,double);
+          fprintf(stderr,"%g",d);
+          break;
+        case 'f':
+          d=va_arg (ap,double);
+          fprintf(stderr,"%f",d);
+          break;
+        case 'i':
+          i=va_arg (ap,int);
+          fprintf(stderr,"%i",i);
+          break;
+        case 'p':
+          p=va_arg (ap,void*);
+          fprintf(stderr,"%p",p);
+          break;
+        default:
+          fputc(*format,stderr);
+      }
+    }
+    else
+    {
+      switch(*format) 
+      {
+        case '%':
+          insideformat=1;
+          break;
+        default:
+          fputc(*format,stderr);
+      }
+    }
+  }
+  va_end (ap);
+  fflush(stderr);
+}
+_t_message (adms_message_hint_continue_impl)
+{
+  va_list ap;
+  int insideformat=0;
+  int i;
+  char* s;
+  char c;
+  double d;
+  void* p;
+  va_start(ap, format);
+  for(;*format;format++)
+  {
+    if(insideformat)
+    {
+      insideformat=0;
+      switch(*format) 
+      {
+        case 's':
+          s=va_arg (ap,char*);
+          if(s) fputs(s,stderr); else fputs("NULL",stderr);
+          break;
+        case 'c':
+          c=va_arg (ap,int);
+          fputc(c,stderr);
+          break;
+        case 'e':
+          d=va_arg (ap,double);
+          fprintf(stderr,"%e",d);
+          break;
+        case 'g':
+          d=va_arg (ap,double);
+          fprintf(stderr,"%g",d);
+          break;
+        case 'f':
+          d=va_arg (ap,double);
+          fprintf(stderr,"%f",d);
+          break;
+        case 'i':
+          i=va_arg (ap,int);
+          fprintf(stderr,"%i",i);
+          break;
+        case 'p':
+          p=va_arg (ap,void*);
+          fprintf(stderr,"%p",p);
+          break;
+        default:
+          fputc(*format,stderr);
+      }
+    }
+    else
+    {
+      switch(*format) 
+      {
+        case '%':
+          insideformat=1;
+          break;
+        default:
+          fputc(*format,stderr);
+      }
+    }
+  }
+  va_end (ap);
+  fflush(stderr);
+}
+_t_message (adms_message_warning_impl)
+{
+  va_list ap;
+  int insideformat=0;
+  int i;
+  char* s;
+  char c;
+  double d;
+  void* p;
+  fputs("[warning] ",stderr);
+  va_start(ap, format);
+  for(;*format;format++)
+  {
+    if(insideformat)
+    {
+      insideformat=0;
+      switch(*format) 
+      {
+        case 's':
+          s=va_arg (ap,char*);
+          if(s) fputs(s,stderr); else fputs("NULL",stderr);
+          break;
+        case 'e':
+          d=va_arg (ap,double);
+          fprintf(stderr,"%e",d);
+          break;
+        case 'c':
+          c=va_arg (ap,int);
+          fprintf(stderr,"%c",c);
+          break;
+        case 'g':
+          d=va_arg (ap,double);
+          fprintf(stderr,"%g",d);
+          break;
+        case 'f':
+          d=va_arg (ap,double);
+          fprintf(stderr,"%f",d);
+          break;
+        case 'i':
+          i=va_arg (ap,int);
+          fprintf(stderr,"%i",i);
+          break;
+        case 'p':
+          p=va_arg (ap,void*);
+          fprintf(stderr,"%p",p);
+          break;
+        default:
+          fputc(*format,stderr);
+      }
+    }
+    else
+    {
+      switch(*format) 
+      {
+        case '%':
+          insideformat=1;
+          break;
+        default:
+          fputc(*format,stderr);
+      }
+    }
+  }
+  va_end (ap);
+  fflush(stderr);
+}
+_t_message (adms_message_warning_continue_impl)
+{
+  va_list ap;
+  int insideformat=0;
+  int i;
+  char* s;
+  char c;
+  double d;
+  void* p;
+  va_start(ap, format);
+  for(;*format;format++)
+  {
+    if(insideformat)
+    {
+      insideformat=0;
+      switch(*format) 
+      {
+        case 's':
+          s=va_arg (ap,char*);
+          if(s) fputs(s,stderr); else fputs("NULL",stderr);
+          break;
+        case 'c':
+          c=va_arg (ap,int);
+          fputc(c,stderr);
+          break;
+        case 'e':
+          d=va_arg (ap,double);
+          fprintf(stderr,"%e",d);
+          break;
+        case 'g':
+          d=va_arg (ap,double);
+          fprintf(stderr,"%g",d);
+          break;
+        case 'f':
+          d=va_arg (ap,double);
+          fprintf(stderr,"%f",d);
+          break;
+        case 'i':
+          i=va_arg (ap,int);
+          fprintf(stderr,"%i",i);
+          break;
+        case 'p':
+          p=va_arg (ap,void*);
+          fprintf(stderr,"%p",p);
+          break;
+        default:
+          fputc(*format,stderr);
+      }
+    }
+    else
+    {
+      switch(*format) 
+      {
+        case '%':
+          insideformat=1;
+          break;
+        default:
+          fputc(*format,stderr);
+      }
+    }
+  }
+  va_end (ap);
+  fflush(stderr);
+}
+_t_message (adms_message_obsolete_impl)
+{
+  va_list ap;
+  int insideformat=0;
+  int i;
+  char* s;
+  char c;
+  double d;
+  void* p;
+  fputs("[obsolete] ",stderr);
+  va_start(ap, format);
+  for(;*format;format++)
+  {
+    if(insideformat)
+    {
+      insideformat=0;
+      switch(*format) 
+      {
+        case 's':
+          s=va_arg (ap,char*);
+          if(s) fputs(s,stderr); else fputs("NULL",stderr);
+          break;
+        case 'e':
+          d=va_arg (ap,double);
+          fprintf(stderr,"%e",d);
+          break;
+        case 'c':
+          c=va_arg (ap,int);
+          fprintf(stderr,"%c",c);
+          break;
+        case 'g':
+          d=va_arg (ap,double);
+          fprintf(stderr,"%g",d);
+          break;
+        case 'f':
+          d=va_arg (ap,double);
+          fprintf(stderr,"%f",d);
+          break;
+        case 'i':
+          i=va_arg (ap,int);
+          fprintf(stderr,"%i",i);
+          break;
+        case 'p':
+          p=va_arg (ap,void*);
+          fprintf(stderr,"%p",p);
+          break;
+        default:
+          fputc(*format,stderr);
+      }
+    }
+    else
+    {
+      switch(*format) 
+      {
+        case '%':
+          insideformat=1;
+          break;
+        default:
+          fputc(*format,stderr);
+      }
+    }
+  }
+  va_end (ap);
+  fflush(stderr);
+}
+_t_message (adms_message_obsolete_continue_impl)
+{
+  va_list ap;
+  int insideformat=0;
+  int i;
+  char* s;
+  char c;
+  double d;
+  void* p;
+  va_start(ap, format);
+  for(;*format;format++)
+  {
+    if(insideformat)
+    {
+      insideformat=0;
+      switch(*format) 
+      {
+        case 's':
+          s=va_arg (ap,char*);
+          if(s) fputs(s,stderr); else fputs("NULL",stderr);
+          break;
+        case 'c':
+          c=va_arg (ap,int);
+          fputc(c,stderr);
+          break;
+        case 'e':
+          d=va_arg (ap,double);
+          fprintf(stderr,"%e",d);
+          break;
+        case 'g':
+          d=va_arg (ap,double);
+          fprintf(stderr,"%g",d);
+          break;
+        case 'f':
+          d=va_arg (ap,double);
+          fprintf(stderr,"%f",d);
+          break;
+        case 'i':
+          i=va_arg (ap,int);
+          fprintf(stderr,"%i",i);
+          break;
+        case 'p':
+          p=va_arg (ap,void*);
+          fprintf(stderr,"%p",p);
+          break;
+        default:
+          fputc(*format,stderr);
+      }
+    }
+    else
+    {
+      switch(*format) 
+      {
+        case '%':
+          insideformat=1;
+          break;
+        default:
+          fputc(*format,stderr);
+      }
+    }
+  }
+  va_end (ap);
+  fflush(stderr);
+}
+_t_message (adms_message_error_impl)
+{
+  va_list ap;
+  int insideformat=0;
+  int i;
+  char* s;
+  char c;
+  double d;
+  void* p;
+  fputs("[error..] ",stderr);
+  va_start(ap, format);
+  for(;*format;format++)
+  {
+    if(insideformat)
+    {
+      insideformat=0;
+      switch(*format) 
+      {
+        case 's':
+          s=va_arg (ap,char*);
+          if(s) fputs(s,stderr); else fputs("NULL",stderr);
+          break;
+        case 'e':
+          d=va_arg (ap,double);
+          fprintf(stderr,"%e",d);
+          break;
+        case 'c':
+          c=va_arg (ap,int);
+          fprintf(stderr,"%c",c);
+          break;
+        case 'g':
+          d=va_arg (ap,double);
+          fprintf(stderr,"%g",d);
+          break;
+        case 'f':
+          d=va_arg (ap,double);
+          fprintf(stderr,"%f",d);
+          break;
+        case 'i':
+          i=va_arg (ap,int);
+          fprintf(stderr,"%i",i);
+          break;
+        case 'p':
+          p=va_arg (ap,void*);
+          fprintf(stderr,"%p",p);
+          break;
+        default:
+          fputc(*format,stderr);
+      }
+    }
+    else
+    {
+      switch(*format) 
+      {
+        case '%':
+          insideformat=1;
+          break;
+        default:
+          fputc(*format,stderr);
+      }
+    }
+  }
+  va_end (ap);
+  fflush(stderr);
+  bp();
+}
+_t_message (adms_message_error_continue_impl)
+{
+  va_list ap;
+  int insideformat=0;
+  int i;
+  char* s;
+  char c;
+  double d;
+  void* p;
+  fputs("[error..] ",stderr);
+  va_start(ap, format);
+  for(;*format;format++)
+  {
+    if(insideformat)
+    {
+      insideformat=0;
+      switch(*format) 
+      {
+        case 's':
+          s=va_arg (ap,char*);
+          if(s) fputs(s,stderr); else fputs("NULL",stderr);
+          break;
+        case 'c':
+          c=va_arg (ap,int);
+          fputc(c,stderr);
+          break;
+        case 'e':
+          d=va_arg (ap,double);
+          fprintf(stderr,"%e",d);
+          break;
+        case 'g':
+          d=va_arg (ap,double);
+          fprintf(stderr,"%g",d);
+          break;
+        case 'f':
+          d=va_arg (ap,double);
+          fprintf(stderr,"%f",d);
+          break;
+        case 'i':
+          i=va_arg (ap,int);
+          fprintf(stderr,"%i",i);
+          break;
+        case 'p':
+          p=va_arg (ap,void*);
+          fprintf(stderr,"%p",p);
+          break;
+        default:
+          fputc(*format,stderr);
+      }
+    }
+    else
+    {
+      switch(*format) 
+      {
+        case '%':
+          insideformat=1;
+          break;
+        default:
+          fputc(*format,stderr);
+      }
+    }
+  }
+  va_end (ap);
+  fflush(stderr);
+}
+_t_message (adms_message_fatal_impl)
+{
+  va_list ap;
+  int insideformat=0;
+  int i;
+  char* s;
+  char c;
+  double d;
+  void* p;
+  fputs("[fatal..] ",stderr);
+  va_start(ap, format);
+  for(;*format;format++)
+  {
+    if(insideformat)
+    {
+      insideformat=0;
+      switch(*format) 
+      {
+        case 's':
+          s=va_arg (ap,char*);
+          if(s) fputs(s,stderr); else fputs("NULL",stderr);
+          break;
+        case 'e':
+          d=va_arg (ap,double);
+          fprintf(stderr,"%e",d);
+          break;
+        case 'c':
+          c=va_arg (ap,int);
+          fprintf(stderr,"%c",c);
+          break;
+        case 'g':
+          d=va_arg (ap,double);
+          fprintf(stderr,"%g",d);
+          break;
+        case 'f':
+          d=va_arg (ap,double);
+          fprintf(stderr,"%f",d);
+          break;
+        case 'i':
+          i=va_arg (ap,int);
+          fprintf(stderr,"%i",i);
+          break;
+        case 'p':
+          p=va_arg (ap,void*);
+          fprintf(stderr,"%p",p);
+          break;
+        default:
+          fputc(*format,stderr);
+      }
+    }
+    else
+    {
+      switch(*format) 
+      {
+        case '%':
+          insideformat=1;
+          break;
+        default:
+          fputc(*format,stderr);
+      }
+    }
+  }
+  va_end (ap);
+  fflush(stderr);
+  bp(),exit(1);
+}
+_t_message (adms_message_fatal_continue_impl)
+{
+  va_list ap;
+  int insideformat=0;
+  int i;
+  char* s;
+  char c;
+  double d;
+  void* p;
+  fputs("[fatal..] ",stderr);
+  va_start(ap, format);
+  for(;*format;format++)
+  {
+    if(insideformat)
+    {
+      insideformat=0;
+      switch(*format) 
+      {
+        case 's':
+          s=va_arg (ap,char*);
+          if(s) fputs(s,stderr); else fputs("NULL",stderr);
+          break;
+        case 'c':
+          c=va_arg (ap,int);
+          fputc(c,stderr);
+          break;
+        case 'e':
+          d=va_arg (ap,double);
+          fprintf(stderr,"%e",d);
+          break;
+        case 'g':
+          d=va_arg (ap,double);
+          fprintf(stderr,"%g",d);
+          break;
+        case 'f':
+          d=va_arg (ap,double);
+          fprintf(stderr,"%f",d);
+          break;
+        case 'i':
+          i=va_arg (ap,int);
+          fprintf(stderr,"%i",i);
+          break;
+        case 'p':
+          p=va_arg (ap,void*);
+          fprintf(stderr,"%p",p);
+          break;
+        default:
+          fputc(*format,stderr);
+      }
+    }
+    else
+    {
+      switch(*format) 
+      {
+        case '%':
+          insideformat=1;
+          break;
+        default:
+          fputc(*format,stderr);
+      }
+    }
+  }
+  va_end (ap);
+  fflush(stderr);
+}
+_t_message (adms_message_admstdbg_impl)
+{
+  va_list ap;
+  int insideformat=0;
+  int i;
+  char* s;
+  char c;
+  double d;
+  void* p;
+  va_start(ap, format);
+  for(;*format;format++)
+  {
+    if(insideformat)
+    {
+      insideformat=0;
+      switch(*format) 
+      {
+        case 's':
+          s=va_arg (ap,char*);
+          if(s) fputs(s,stdadmstdbg); else fputs("NULL",stdadmstdbg);
+          break;
+        case 'e':
+          d=va_arg (ap,double);
+          fprintf(stdadmstdbg,"%e",d);
+          break;
+        case 'c':
+          c=va_arg (ap,int);
+          fprintf(stdadmstdbg,"%c",c);
+          break;
+        case 'g':
+          d=va_arg (ap,double);
+          fprintf(stdadmstdbg,"%g",d);
+          break;
+        case 'f':
+          d=va_arg (ap,double);
+          fprintf(stdadmstdbg,"%f",d);
+          break;
+        case 'i':
+          i=va_arg (ap,int);
+          fprintf(stdadmstdbg,"%i",i);
+          break;
+        case 'p':
+          p=va_arg (ap,void*);
+          fprintf(stdadmstdbg,"%p",p);
+          break;
+        default:
+          fputc(*format,stdadmstdbg);
+      }
+    }
+    else
+    {
+      switch(*format) 
+      {
+        case '%':
+          insideformat=1;
+          break;
+        default:
+          fputc(*format,stdadmstdbg);
+      }
+    }
+  }
+  va_end (ap);
+  fflush(stdadmstdbg);
+}
+_t_message (adms_message_admstdbg_continue_impl)
+{
+  va_list ap;
+  int insideformat=0;
+  int i;
+  char* s;
+  char c;
+  double d;
+  void* p;
+  va_start(ap, format);
+  for(;*format;format++)
+  {
+    if(insideformat)
+    {
+      insideformat=0;
+      switch(*format) 
+      {
+        case 's':
+          s=va_arg (ap,char*);
+          if(s) fputs(s,stdadmstdbg); else fputs("NULL",stdadmstdbg);
+          break;
+        case 'c':
+          c=va_arg (ap,int);
+          fputc(c,stdadmstdbg);
+          break;
+        case 'e':
+          d=va_arg (ap,double);
+          fprintf(stdadmstdbg,"%e",d);
+          break;
+        case 'g':
+          d=va_arg (ap,double);
+          fprintf(stdadmstdbg,"%g",d);
+          break;
+        case 'f':
+          d=va_arg (ap,double);
+          fprintf(stdadmstdbg,"%f",d);
+          break;
+        case 'i':
+          i=va_arg (ap,int);
+          fprintf(stdadmstdbg,"%i",i);
+          break;
+        case 'p':
+          p=va_arg (ap,void*);
+          fprintf(stdadmstdbg,"%p",p);
+          break;
+        default:
+          fputc(*format,stdadmstdbg);
+      }
+    }
+    else
+    {
+      switch(*format) 
+      {
+        case '%':
+          insideformat=1;
+          break;
+        default:
+          fputc(*format,stdadmstdbg);
+      }
+    }
+  }
+  va_end (ap);
+  fflush(stdadmstdbg);
+}
diff --git a/admsXml/adms.h b/admsXml/adms.h
new file mode 100644
index 0000000..b188fe8
--- /dev/null
+++ b/admsXml/adms.h
@@ -0,0 +1,3384 @@
+
+/* ------- code automatically created by ./mkelements.pl -------------- */
+
+#ifndef adms_h
+#define adms_h
+
+#define SVN "exported" /* svn release version */
+
+/*headers -- depend on compiler, OS, ...*/
+#  if defined(_MSC_VER)
+#    define PACKAGE_NAME "adms"
+#    if ! defined(PACKAGE_VERSION)
+#      pragma message(__FILE__ ": warning: #warning undefined macro 'PACKAGE_VERSION' - defaulted to 'unknown'")
+#      define PACKAGE_VERSION "unknown"
+#    endif
+#    define PACKAGE_STRING PACKAGE_NAME " " PACKAGE_VERSION
+#    define PACKAGE_TARNAME PACKAGE_NAME
+#    define PACKAGE_BUGREPORT "r29173 at users.sourceforge.net"
+#    include <direct.h>
+#    define isnan _isnan
+#    define ADMS_PATH_SEPARATOR "\\"
+#    define ADMS_F_OK 0
+#    ifndef inline
+#      define inline
+#    endif
+#    define HAVE_FLOAT_H 1
+#    define HAVE_STDLIB_H 1 
+#    define HAVE_PUTENV 1 
+#    define HAVE_LOCALE 1 
+#    define HAVE_STRING_H 1 
+#    define HAVE_SYS_STAT_H 1
+#    include <io.h>
+#  else
+#    include <config.h>
+#    define ADMS_PATH_SEPARATOR "/"
+#    include <unistd.h>
+#    define ADMS_F_OK F_OK
+#  endif
+#  include <math.h>
+#  if defined(HAVE_SYS_STAT_H)
+#    include <sys/stat.h>
+#  endif
+#  if defined(HAVE_LOCALE_H)
+#    include <locale.h>
+#  endif
+#  if defined(HAVE_FLOAT_H)
+#    include <float.h>
+#  endif
+#  if defined(HAVE_UNISTD_H)
+#    include <unistd.h>
+#  endif
+#  if defined(HAVE_STDLIB_H)
+#    include <stdlib.h>
+#  endif
+#  if defined(HAVE_STRING_H)
+#    include <string.h>
+#  endif
+#  include <assert.h>
+#  include <signal.h>
+#  include <stdarg.h>
+#  include <stdio.h>
+#  include <ctype.h>
+#  include <errno.h>
+#  include <time.h>
+/* in case not Posix */
+#  if defined(_S_IFDIR)
+#    define ADMS_S_IFDIR _S_IFDIR
+#  else 
+#    define ADMS_S_IFDIR S_IFDIR
+#  endif
+/* check OS */
+#  if defined(__CYGWIN__)
+#    define ADMS_OS_MS
+#    define ADMS_OS_MSCYGWIN
+#    define ADMS_OS "MSCYGWIN"
+#  elif defined(__MSDOS__)
+#    define ADMS_OS_MS
+#    define ADMS_OS_MSDOS
+#    define ADMS_OS "MSDOS"
+#  elif defined(_WIN64)
+#    define ADMS_OS_MS
+#    define ADMS_OS_MSWIN64
+#    define ADMS_OS "MSWIN64"
+#  elif defined(_WIN32)
+#    define ADMS_OS_MS
+#    define ADMS_OS_MSWIN32
+#    define ADMS_OS "MSWIN32"
+#  else
+#    define ADMS_OS_UNKNOWN
+#    define ADMS_OS "UNKNOWN"
+#  endif
+/* check compiler */
+#  if defined(__MINGW32__)
+#    ifndef WIN32
+#      define WIN32
+#    endif
+#    define ADMS_COMPILER_MINGCC
+#    define ADMS_COMPILER "MINGCC"
+#  elif defined(__CYGWIN__)
+#    ifndef WIN32
+#      define WIN32
+#    endif
+#    define ADMS_COMPILER_GCC 
+#    define ADMS_COMPILER "GCC" 
+#  elif defined(_MSC_VER)
+#    ifndef WIN32
+#      define WIN32
+#    endif
+#    define ADMS_COMPILER_MSVC
+#    define ADMS_COMPILER "MSVC"
+#  else
+#    define ADMS_COMPILER_CC
+#    define ADMS_COMPILER "CC"
+#  endif
+#define E\
+  EE(adms)\
+  EE(simulator)\
+  EE(admsmain)\
+  EE(list)\
+  EE(nature)\
+  EE(discipline)\
+  EE(module)\
+  EE(analogfunction)\
+  EE(nodealias)\
+  EE(node)\
+  EE(branchalias)\
+  EE(branch)\
+  EE(variableprototype)\
+  EE(source)\
+  EE(range)\
+  EE(jacobian)\
+  EE(analog)\
+  EE(math)\
+  EE(string)\
+  EE(subexpression)\
+  EE(mapply_unary)\
+  EE(mapply_binary)\
+  EE(mapply_ternary)\
+  EE(number)\
+  EE(function)\
+  EE(variable)\
+  EE(array)\
+  EE(probe)\
+  EE(expression)\
+  EE(instance)\
+  EE(instanceparameter)\
+  EE(instancenode)\
+  EE(nilled)\
+  EE(assignment)\
+  EE(contribution)\
+  EE(conditional)\
+  EE(forloop)\
+  EE(whileloop)\
+  EE(case)\
+  EE(caseitem)\
+  EE(blockvariable)\
+  EE(block)\
+  EE(callfunction)\
+  EE(evaluation)\
+  EE(text)\
+  EE(path)\
+  EE(ptraverse)\
+  EE(pparse)\
+  EE(admst)\
+  EE(transform)\
+  EE(itransform)\
+  EE(return)\
+  EE(attribute)\
+  EE(admstvariable)\
+  EE(lexval)\
+  EE(yaccval)\
+/*fin*/
+
+#define A\
+  AA(datatypename)\
+  AA(attribute)\
+  AA(variable)\
+  AA(name)\
+  AA(currentdate)\
+  AA(developer)\
+  AA(fullname)\
+  AA(package_name)\
+  AA(package_tarname)\
+  AA(package_version)\
+  AA(package_string)\
+  AA(package_bugreport)\
+  AA(probe)\
+  AA(tmp)\
+  AA(tmp1)\
+  AA(br1)\
+  AA(br2)\
+  AA(sr1)\
+  AA(ddx)\
+  AA(name)\
+  AA(argc)\
+  AA(fullfilename)\
+  AA(filename)\
+  AA(curfilename)\
+  AA(curline)\
+  AA(fpos)\
+  AA(simulator)\
+  AA(info)\
+  AA(usage)\
+  AA(verbose)\
+  AA(hint)\
+  AA(warning)\
+  AA(obsolete)\
+  AA(debug)\
+  AA(dbg_vla)\
+  AA(dbg_xml)\
+  AA(error)\
+  AA(fatal)\
+  AA(dbg_mem)\
+  AA(module)\
+  AA(discipline)\
+  AA(nature)\
+  AA(variable)\
+  AA(argv)\
+  AA(transform)\
+  AA(invtransform)\
+  AA(itransform)\
+  AA(itransforminsideapplytemplate)\
+  AA(valueof)\
+  AA(datatype)\
+  AA(item)\
+  AA(access)\
+  AA(name)\
+  AA(abstol)\
+  AA(base)\
+  AA(ddt_name)\
+  AA(ddt_nature)\
+  AA(idt_name)\
+  AA(idt_nature)\
+  AA(units)\
+  AA(name)\
+  AA(flow)\
+  AA(potential)\
+  AA(domain)\
+  AA(name)\
+  AA(node)\
+  AA(nodealias)\
+  AA(branch)\
+  AA(branchalias)\
+  AA(analogfunction)\
+  AA(instance)\
+  AA(variable)\
+  AA(analog)\
+  AA(block)\
+  AA(blockvariable)\
+  AA(assignment)\
+  AA(callfunction)\
+  AA(contribution)\
+  AA(conditional)\
+  AA(case)\
+  AA(forloop)\
+  AA(whileloop)\
+  AA(instantiator)\
+  AA(expression)\
+  AA(jacobian)\
+  AA(probe)\
+  AA(source)\
+  AA(range)\
+  AA(attribute)\
+  AA(evaluation)\
+  AA(module)\
+  AA(lexval)\
+  AA(type)\
+  AA(tree)\
+  AA(variable)\
+  AA(attribute)\
+  AA(module)\
+  AA(name)\
+  AA(node)\
+  AA(module)\
+  AA(name)\
+  AA(direction)\
+  AA(discipline)\
+  AA(grounded)\
+  AA(location)\
+  AA(attribute)\
+  AA(module)\
+  AA(name)\
+  AA(branch)\
+  AA(module)\
+  AA(pnode)\
+  AA(nnode)\
+  AA(discipline)\
+  AA(grounded)\
+  AA(attribute)\
+  AA(module)\
+  AA(lexval)\
+  AA(block)\
+  AA(dependency)\
+  AA(static)\
+  AA(dynamic)\
+  AA(sizetype)\
+  AA(parametertype)\
+  AA(type)\
+  AA(maxsize)\
+  AA(minsize)\
+  AA(input)\
+  AA(output)\
+  AA(scope)\
+  AA(default)\
+  AA(derivate)\
+  AA(isstate)\
+  AA(usedinmodel)\
+  AA(usedininstance)\
+  AA(usedininitial_step)\
+  AA(usedinevaluate)\
+  AA(usedinnoise)\
+  AA(usedinfinal)\
+  AA(setinmodel)\
+  AA(setininstance)\
+  AA(setininitial_step)\
+  AA(setinevaluate)\
+  AA(setinnoise)\
+  AA(setinfinal)\
+  AA(setingetprev)\
+  AA(TemperatureDependent)\
+  AA(OPdependent)\
+  AA(insource)\
+  AA(vcount)\
+  AA(vlast)\
+  AA(arraydefault)\
+  AA(attribute)\
+  AA(alias)\
+  AA(range)\
+  AA(probe)\
+  AA(ddxprobe)\
+  AA(variable)\
+  AA(assignment)\
+  AA(instance)\
+  AA(module)\
+  AA(branch)\
+  AA(nature)\
+  AA(discipline)\
+  AA(static)\
+  AA(dynamic)\
+  AA(whitenoise)\
+  AA(flickernoise)\
+  AA(grounded)\
+  AA(switch)\
+  AA(type)\
+  AA(probe)\
+  AA(attribute)\
+  AA(module)\
+  AA(infexpr)\
+  AA(supexpr)\
+  AA(name)\
+  AA(infboundtype)\
+  AA(supboundtype)\
+  AA(type)\
+  AA(module)\
+  AA(row)\
+  AA(column)\
+  AA(diagonal)\
+  AA(static)\
+  AA(dynamic)\
+  AA(code)\
+  AA(name)\
+  AA(value)\
+  AA(static)\
+  AA(dynamic)\
+  AA(dependency)\
+  AA(attribute)\
+  AA(value)\
+  AA(expression)\
+  AA(name)\
+  AA(arg1)\
+  AA(name)\
+  AA(arg1)\
+  AA(arg2)\
+  AA(name)\
+  AA(arg1)\
+  AA(arg2)\
+  AA(arg3)\
+  AA(lexval)\
+  AA(scalingunit)\
+  AA(lexval)\
+  AA(unique_id)\
+  AA(definition)\
+  AA(class)\
+  AA(arguments)\
+  AA(prototype)\
+  AA(variable)\
+  AA(index)\
+  AA(module)\
+  AA(branch)\
+  AA(nature)\
+  AA(seen)\
+  AA(discipline)\
+  AA(grounded)\
+  AA(source)\
+  AA(module)\
+  AA(tree)\
+  AA(hasspecialnumber)\
+  AA(hasVoltageDependentFunction)\
+  AA(infinity)\
+  AA(TemperatureDependent)\
+  AA(value)\
+  AA(function)\
+  AA(probe)\
+  AA(variable)\
+  AA(instantiator)\
+  AA(module)\
+  AA(name)\
+  AA(terminal)\
+  AA(parameterset)\
+  AA(parameter)\
+  AA(value)\
+  AA(nodefrominstantiator)\
+  AA(nodefrommodule)\
+  AA(module)\
+  AA(name)\
+  AA(module)\
+  AA(lhs)\
+  AA(rhs)\
+  AA(lexval)\
+  AA(name)\
+  AA(attribute)\
+  AA(module)\
+  AA(lhs)\
+  AA(rhs)\
+  AA(lexval)\
+  AA(branchalias)\
+  AA(name)\
+  AA(whitenoise)\
+  AA(flickernoise)\
+  AA(attribute)\
+  AA(module)\
+  AA(if)\
+  AA(then)\
+  AA(else)\
+  AA(name)\
+  AA(module)\
+  AA(initial)\
+  AA(condition)\
+  AA(update)\
+  AA(forblock)\
+  AA(name)\
+  AA(module)\
+  AA(while)\
+  AA(whileblock)\
+  AA(name)\
+  AA(module)\
+  AA(case)\
+  AA(name)\
+  AA(caseitem)\
+  AA(code)\
+  AA(defaultcase)\
+  AA(condition)\
+  AA(block)\
+  AA(variable)\
+  AA(module)\
+  AA(lexval)\
+  AA(block)\
+  AA(item)\
+  AA(attribute)\
+  AA(variable)\
+  AA(probe)\
+  AA(module)\
+  AA(function)\
+  AA(variable)\
+  AA(transform)\
+  AA(value)\
+  AA(aname)\
+  AA(admse)\
+  AA(token)\
+  AA(transform)\
+  AA(text)\
+  AA(aname)\
+  AA(avalue)\
+  AA(template)\
+  AA(keeplist)\
+  AA(callbackname)\
+  AA(callback)\
+  AA(bar)\
+  AA(arg)\
+  AA(u)\
+  AA(b)\
+  AA(c)\
+  AA(transform)\
+  AA(admst)\
+  AA(alladmst)\
+  AA(aliasadmst)\
+  AA(position)\
+  AA(a1)\
+  AA(an)\
+  AA(transform)\
+  AA(aname)\
+  AA(value)\
+  AA(path)\
+  AA(text)\
+  AA(position)\
+  AA(tkstart)\
+  AA(tklen)\
+  AA(tkid)\
+  AA(previous)\
+  AA(previouspath)\
+  AA(pseudo)\
+  AA(item)\
+  AA(valueto)\
+  AA(position)\
+  AA(count)\
+  AA(hasnewbasicstring)\
+  AA(original)\
+  AA(refd)\
+  AA(true)\
+  AA(preva)\
+  AA(nexta)\
+  AA(selected)\
+  AA(fullfilename)\
+  AA(basefilename)\
+  AA(l)\
+  AA(name)\
+  AA(parent)\
+  AA(callback)\
+  AA(id)\
+  AA(pathselect)\
+  AA(pathpath)\
+  AA(pathinto)\
+  AA(pathtest)\
+  AA(pathlist)\
+  AA(textselect)\
+  AA(textdatatype)\
+  AA(textmatch)\
+  AA(textrequired)\
+  AA(textseparator)\
+  AA(textfrom)\
+  AA(textto)\
+  AA(textlist)\
+  AA(textname)\
+  AA(textformat)\
+  AA(textfile)\
+  AA(textversion)\
+  AA(textonduplicate)\
+  AA(textprobe)\
+  AA(textstring)\
+  AA(templates)\
+  AA(textarguments)\
+  AA(pathoncompare)\
+  AA(pathinputs)\
+  AA(attribute)\
+  AA(children)\
+  AA(template)\
+  AA(originalcall)\
+  AA(variable)\
+  AA(return)\
+  AA(name)\
+  AA(value)\
+  AA(name)\
+  AA(value)\
+  AA(name)\
+  AA(value)\
+  AA(string)\
+  AA(f)\
+  AA(l)\
+  AA(c)\
+  AA(filename)\
+  AA(usrdata)\
+/*fin*/
+
+#define EA\
+  EEA(adms,datatypename)\
+  EEA(adms,attribute)\
+  EEA(adms,variable)\
+  EEA(simulator,name)\
+  EEA(simulator,currentdate)\
+  EEA(simulator,developer)\
+  EEA(simulator,fullname)\
+  EEA(simulator,package_name)\
+  EEA(simulator,package_tarname)\
+  EEA(simulator,package_version)\
+  EEA(simulator,package_string)\
+  EEA(simulator,package_bugreport)\
+  EEA(simulator,probe)\
+  EEA(simulator,tmp)\
+  EEA(simulator,tmp1)\
+  EEA(simulator,br1)\
+  EEA(simulator,br2)\
+  EEA(simulator,sr1)\
+  EEA(simulator,ddx)\
+  EEA(admsmain,name)\
+  EEA(admsmain,argc)\
+  EEA(admsmain,fullfilename)\
+  EEA(admsmain,filename)\
+  EEA(admsmain,curfilename)\
+  EEA(admsmain,curline)\
+  EEA(admsmain,fpos)\
+  EEA(admsmain,simulator)\
+  EEA(admsmain,info)\
+  EEA(admsmain,usage)\
+  EEA(admsmain,verbose)\
+  EEA(admsmain,hint)\
+  EEA(admsmain,warning)\
+  EEA(admsmain,obsolete)\
+  EEA(admsmain,debug)\
+  EEA(admsmain,dbg_vla)\
+  EEA(admsmain,dbg_xml)\
+  EEA(admsmain,error)\
+  EEA(admsmain,fatal)\
+  EEA(admsmain,dbg_mem)\
+  EEA(admsmain,module)\
+  EEA(admsmain,discipline)\
+  EEA(admsmain,nature)\
+  EEA(admsmain,variable)\
+  EEA(admsmain,argv)\
+  EEA(admsmain,transform)\
+  EEA(admsmain,invtransform)\
+  EEA(admsmain,itransform)\
+  EEA(admsmain,itransforminsideapplytemplate)\
+  EEA(admsmain,valueof)\
+  EEA(list,datatype)\
+  EEA(list,item)\
+  EEA(nature,access)\
+  EEA(nature,name)\
+  EEA(nature,abstol)\
+  EEA(nature,base)\
+  EEA(nature,ddt_name)\
+  EEA(nature,ddt_nature)\
+  EEA(nature,idt_name)\
+  EEA(nature,idt_nature)\
+  EEA(nature,units)\
+  EEA(discipline,name)\
+  EEA(discipline,flow)\
+  EEA(discipline,potential)\
+  EEA(discipline,domain)\
+  EEA(module,name)\
+  EEA(module,node)\
+  EEA(module,nodealias)\
+  EEA(module,branch)\
+  EEA(module,branchalias)\
+  EEA(module,analogfunction)\
+  EEA(module,instance)\
+  EEA(module,variable)\
+  EEA(module,analog)\
+  EEA(module,block)\
+  EEA(module,blockvariable)\
+  EEA(module,assignment)\
+  EEA(module,callfunction)\
+  EEA(module,contribution)\
+  EEA(module,conditional)\
+  EEA(module,case)\
+  EEA(module,forloop)\
+  EEA(module,whileloop)\
+  EEA(module,instantiator)\
+  EEA(module,expression)\
+  EEA(module,jacobian)\
+  EEA(module,probe)\
+  EEA(module,source)\
+  EEA(module,range)\
+  EEA(module,attribute)\
+  EEA(module,evaluation)\
+  EEA(analogfunction,module)\
+  EEA(analogfunction,lexval)\
+  EEA(analogfunction,type)\
+  EEA(analogfunction,tree)\
+  EEA(analogfunction,variable)\
+  EEA(analogfunction,attribute)\
+  EEA(nodealias,module)\
+  EEA(nodealias,name)\
+  EEA(nodealias,node)\
+  EEA(node,module)\
+  EEA(node,name)\
+  EEA(node,direction)\
+  EEA(node,discipline)\
+  EEA(node,grounded)\
+  EEA(node,location)\
+  EEA(node,attribute)\
+  EEA(branchalias,module)\
+  EEA(branchalias,name)\
+  EEA(branchalias,branch)\
+  EEA(branch,module)\
+  EEA(branch,pnode)\
+  EEA(branch,nnode)\
+  EEA(branch,discipline)\
+  EEA(branch,grounded)\
+  EEA(branch,attribute)\
+  EEA(variableprototype,module)\
+  EEA(variableprototype,lexval)\
+  EEA(variableprototype,block)\
+  EEA(variableprototype,dependency)\
+  EEA(variableprototype,static)\
+  EEA(variableprototype,dynamic)\
+  EEA(variableprototype,sizetype)\
+  EEA(variableprototype,parametertype)\
+  EEA(variableprototype,type)\
+  EEA(variableprototype,maxsize)\
+  EEA(variableprototype,minsize)\
+  EEA(variableprototype,input)\
+  EEA(variableprototype,output)\
+  EEA(variableprototype,scope)\
+  EEA(variableprototype,default)\
+  EEA(variableprototype,derivate)\
+  EEA(variableprototype,isstate)\
+  EEA(variableprototype,usedinmodel)\
+  EEA(variableprototype,usedininstance)\
+  EEA(variableprototype,usedininitial_step)\
+  EEA(variableprototype,usedinevaluate)\
+  EEA(variableprototype,usedinnoise)\
+  EEA(variableprototype,usedinfinal)\
+  EEA(variableprototype,setinmodel)\
+  EEA(variableprototype,setininstance)\
+  EEA(variableprototype,setininitial_step)\
+  EEA(variableprototype,setinevaluate)\
+  EEA(variableprototype,setinnoise)\
+  EEA(variableprototype,setinfinal)\
+  EEA(variableprototype,setingetprev)\
+  EEA(variableprototype,TemperatureDependent)\
+  EEA(variableprototype,OPdependent)\
+  EEA(variableprototype,insource)\
+  EEA(variableprototype,vcount)\
+  EEA(variableprototype,vlast)\
+  EEA(variableprototype,arraydefault)\
+  EEA(variableprototype,attribute)\
+  EEA(variableprototype,alias)\
+  EEA(variableprototype,range)\
+  EEA(variableprototype,probe)\
+  EEA(variableprototype,ddxprobe)\
+  EEA(variableprototype,variable)\
+  EEA(variableprototype,assignment)\
+  EEA(variableprototype,instance)\
+  EEA(source,module)\
+  EEA(source,branch)\
+  EEA(source,nature)\
+  EEA(source,discipline)\
+  EEA(source,static)\
+  EEA(source,dynamic)\
+  EEA(source,whitenoise)\
+  EEA(source,flickernoise)\
+  EEA(source,grounded)\
+  EEA(source,switch)\
+  EEA(source,type)\
+  EEA(source,probe)\
+  EEA(source,attribute)\
+  EEA(range,module)\
+  EEA(range,infexpr)\
+  EEA(range,supexpr)\
+  EEA(range,name)\
+  EEA(range,infboundtype)\
+  EEA(range,supboundtype)\
+  EEA(range,type)\
+  EEA(jacobian,module)\
+  EEA(jacobian,row)\
+  EEA(jacobian,column)\
+  EEA(jacobian,diagonal)\
+  EEA(jacobian,static)\
+  EEA(jacobian,dynamic)\
+  EEA(analog,code)\
+  EEA(math,name)\
+  EEA(math,value)\
+  EEA(math,static)\
+  EEA(math,dynamic)\
+  EEA(math,dependency)\
+  EEA(math,attribute)\
+  EEA(string,value)\
+  EEA(subexpression,expression)\
+  EEA(mapply_unary,name)\
+  EEA(mapply_unary,arg1)\
+  EEA(mapply_binary,name)\
+  EEA(mapply_binary,arg1)\
+  EEA(mapply_binary,arg2)\
+  EEA(mapply_ternary,name)\
+  EEA(mapply_ternary,arg1)\
+  EEA(mapply_ternary,arg2)\
+  EEA(mapply_ternary,arg3)\
+  EEA(number,lexval)\
+  EEA(number,scalingunit)\
+  EEA(function,lexval)\
+  EEA(function,unique_id)\
+  EEA(function,definition)\
+  EEA(function,class)\
+  EEA(function,arguments)\
+  EEA(variable,prototype)\
+  EEA(array,variable)\
+  EEA(array,index)\
+  EEA(probe,module)\
+  EEA(probe,branch)\
+  EEA(probe,nature)\
+  EEA(probe,seen)\
+  EEA(probe,discipline)\
+  EEA(probe,grounded)\
+  EEA(probe,source)\
+  EEA(expression,module)\
+  EEA(expression,tree)\
+  EEA(expression,hasspecialnumber)\
+  EEA(expression,hasVoltageDependentFunction)\
+  EEA(expression,infinity)\
+  EEA(expression,TemperatureDependent)\
+  EEA(expression,value)\
+  EEA(expression,function)\
+  EEA(expression,probe)\
+  EEA(expression,variable)\
+  EEA(instance,instantiator)\
+  EEA(instance,module)\
+  EEA(instance,name)\
+  EEA(instance,terminal)\
+  EEA(instance,parameterset)\
+  EEA(instanceparameter,parameter)\
+  EEA(instanceparameter,value)\
+  EEA(instancenode,nodefrominstantiator)\
+  EEA(instancenode,nodefrommodule)\
+  EEA(nilled,module)\
+  EEA(nilled,name)\
+  EEA(assignment,module)\
+  EEA(assignment,lhs)\
+  EEA(assignment,rhs)\
+  EEA(assignment,lexval)\
+  EEA(assignment,name)\
+  EEA(assignment,attribute)\
+  EEA(contribution,module)\
+  EEA(contribution,lhs)\
+  EEA(contribution,rhs)\
+  EEA(contribution,lexval)\
+  EEA(contribution,branchalias)\
+  EEA(contribution,name)\
+  EEA(contribution,whitenoise)\
+  EEA(contribution,flickernoise)\
+  EEA(contribution,attribute)\
+  EEA(conditional,module)\
+  EEA(conditional,if)\
+  EEA(conditional,then)\
+  EEA(conditional,else)\
+  EEA(conditional,name)\
+  EEA(forloop,module)\
+  EEA(forloop,initial)\
+  EEA(forloop,condition)\
+  EEA(forloop,update)\
+  EEA(forloop,forblock)\
+  EEA(forloop,name)\
+  EEA(whileloop,module)\
+  EEA(whileloop,while)\
+  EEA(whileloop,whileblock)\
+  EEA(whileloop,name)\
+  EEA(case,module)\
+  EEA(case,case)\
+  EEA(case,name)\
+  EEA(case,caseitem)\
+  EEA(caseitem,code)\
+  EEA(caseitem,defaultcase)\
+  EEA(caseitem,condition)\
+  EEA(blockvariable,block)\
+  EEA(blockvariable,variable)\
+  EEA(block,module)\
+  EEA(block,lexval)\
+  EEA(block,block)\
+  EEA(block,item)\
+  EEA(block,attribute)\
+  EEA(block,variable)\
+  EEA(block,probe)\
+  EEA(callfunction,module)\
+  EEA(callfunction,function)\
+  EEA(evaluation,variable)\
+  EEA(text,transform)\
+  EEA(text,value)\
+  EEA(text,aname)\
+  EEA(text,admse)\
+  EEA(text,token)\
+  EEA(path,transform)\
+  EEA(path,text)\
+  EEA(path,aname)\
+  EEA(path,avalue)\
+  EEA(path,template)\
+  EEA(path,keeplist)\
+  EEA(path,callbackname)\
+  EEA(path,callback)\
+  EEA(path,bar)\
+  EEA(path,arg)\
+  EEA(path,u)\
+  EEA(path,b)\
+  EEA(path,c)\
+  EEA(ptraverse,transform)\
+  EEA(ptraverse,admst)\
+  EEA(ptraverse,alladmst)\
+  EEA(ptraverse,aliasadmst)\
+  EEA(ptraverse,position)\
+  EEA(ptraverse,a1)\
+  EEA(ptraverse,an)\
+  EEA(pparse,transform)\
+  EEA(pparse,aname)\
+  EEA(pparse,value)\
+  EEA(pparse,path)\
+  EEA(pparse,text)\
+  EEA(pparse,position)\
+  EEA(pparse,tkstart)\
+  EEA(pparse,tklen)\
+  EEA(pparse,tkid)\
+  EEA(admst,previous)\
+  EEA(admst,previouspath)\
+  EEA(admst,pseudo)\
+  EEA(admst,item)\
+  EEA(admst,valueto)\
+  EEA(admst,position)\
+  EEA(admst,count)\
+  EEA(admst,hasnewbasicstring)\
+  EEA(admst,original)\
+  EEA(admst,refd)\
+  EEA(admst,true)\
+  EEA(admst,preva)\
+  EEA(admst,nexta)\
+  EEA(admst,selected)\
+  EEA(transform,fullfilename)\
+  EEA(transform,basefilename)\
+  EEA(transform,l)\
+  EEA(transform,name)\
+  EEA(transform,parent)\
+  EEA(transform,callback)\
+  EEA(transform,id)\
+  EEA(transform,pathselect)\
+  EEA(transform,pathpath)\
+  EEA(transform,pathinto)\
+  EEA(transform,pathtest)\
+  EEA(transform,pathlist)\
+  EEA(transform,textselect)\
+  EEA(transform,textdatatype)\
+  EEA(transform,textmatch)\
+  EEA(transform,textrequired)\
+  EEA(transform,textseparator)\
+  EEA(transform,textfrom)\
+  EEA(transform,textto)\
+  EEA(transform,textlist)\
+  EEA(transform,textname)\
+  EEA(transform,textformat)\
+  EEA(transform,textfile)\
+  EEA(transform,textversion)\
+  EEA(transform,textonduplicate)\
+  EEA(transform,textprobe)\
+  EEA(transform,textstring)\
+  EEA(transform,templates)\
+  EEA(transform,textarguments)\
+  EEA(transform,pathoncompare)\
+  EEA(transform,pathinputs)\
+  EEA(transform,attribute)\
+  EEA(transform,children)\
+  EEA(itransform,template)\
+  EEA(itransform,originalcall)\
+  EEA(itransform,variable)\
+  EEA(itransform,return)\
+  EEA(return,name)\
+  EEA(return,value)\
+  EEA(attribute,name)\
+  EEA(attribute,value)\
+  EEA(admstvariable,name)\
+  EEA(admstvariable,value)\
+  EEA(lexval,string)\
+  EEA(lexval,f)\
+  EEA(lexval,l)\
+  EEA(lexval,c)\
+  EEA(yaccval,filename)\
+  EEA(yaccval,usrdata)\
+/*fin*/
+
+#define TK\
+  TTK(adms)\
+  TTK(simulator)\
+  TTK(admsmain)\
+  TTK(list)\
+  TTK(nature)\
+  TTK(discipline)\
+  TTK(module)\
+  TTK(analogfunction)\
+  TTK(nodealias)\
+  TTK(node)\
+  TTK(branchalias)\
+  TTK(branch)\
+  TTK(variableprototype)\
+  TTK(source)\
+  TTK(range)\
+  TTK(jacobian)\
+  TTK(analog)\
+  TTK(math)\
+  TTK(string)\
+  TTK(subexpression)\
+  TTK(mapply_unary)\
+  TTK(mapply_binary)\
+  TTK(mapply_ternary)\
+  TTK(number)\
+  TTK(function)\
+  TTK(variable)\
+  TTK(array)\
+  TTK(probe)\
+  TTK(expression)\
+  TTK(instance)\
+  TTK(instanceparameter)\
+  TTK(instancenode)\
+  TTK(nilled)\
+  TTK(assignment)\
+  TTK(contribution)\
+  TTK(conditional)\
+  TTK(forloop)\
+  TTK(whileloop)\
+  TTK(case)\
+  TTK(caseitem)\
+  TTK(blockvariable)\
+  TTK(block)\
+  TTK(callfunction)\
+  TTK(evaluation)\
+  TTK(text)\
+  TTK(path)\
+  TTK(ptraverse)\
+  TTK(pparse)\
+  TTK(admst)\
+  TTK(transform)\
+  TTK(itransform)\
+  TTK(return)\
+  TTK(attribute)\
+  TTK(admstvariable)\
+  TTK(lexval)\
+  TTK(yaccval)\
+  TTK(datatypename)\
+  TTK(name)\
+  TTK(currentdate)\
+  TTK(developer)\
+  TTK(fullname)\
+  TTK(package_name)\
+  TTK(package_tarname)\
+  TTK(package_version)\
+  TTK(package_string)\
+  TTK(package_bugreport)\
+  TTK(tmp)\
+  TTK(tmp1)\
+  TTK(br1)\
+  TTK(br2)\
+  TTK(sr1)\
+  TTK(ddx)\
+  TTK(argc)\
+  TTK(fullfilename)\
+  TTK(filename)\
+  TTK(curfilename)\
+  TTK(curline)\
+  TTK(fpos)\
+  TTK(info)\
+  TTK(usage)\
+  TTK(verbose)\
+  TTK(hint)\
+  TTK(warning)\
+  TTK(obsolete)\
+  TTK(debug)\
+  TTK(dbg_vla)\
+  TTK(dbg_xml)\
+  TTK(error)\
+  TTK(fatal)\
+  TTK(dbg_mem)\
+  TTK(argv)\
+  TTK(invtransform)\
+  TTK(itransforminsideapplytemplate)\
+  TTK(valueof)\
+  TTK(datatype)\
+  TTK(item)\
+  TTK(access)\
+  TTK(abstol)\
+  TTK(base)\
+  TTK(ddt_name)\
+  TTK(ddt_nature)\
+  TTK(idt_name)\
+  TTK(idt_nature)\
+  TTK(units)\
+  TTK(flow)\
+  TTK(potential)\
+  TTK(domain)\
+  TTK(instantiator)\
+  TTK(type)\
+  TTK(tree)\
+  TTK(direction)\
+  TTK(grounded)\
+  TTK(location)\
+  TTK(pnode)\
+  TTK(nnode)\
+  TTK(dependency)\
+  TTK(static)\
+  TTK(dynamic)\
+  TTK(sizetype)\
+  TTK(parametertype)\
+  TTK(maxsize)\
+  TTK(minsize)\
+  TTK(input)\
+  TTK(output)\
+  TTK(scope)\
+  TTK(default)\
+  TTK(derivate)\
+  TTK(isstate)\
+  TTK(usedinmodel)\
+  TTK(usedininstance)\
+  TTK(usedininitial_step)\
+  TTK(usedinevaluate)\
+  TTK(usedinnoise)\
+  TTK(usedinfinal)\
+  TTK(setinmodel)\
+  TTK(setininstance)\
+  TTK(setininitial_step)\
+  TTK(setinevaluate)\
+  TTK(setinnoise)\
+  TTK(setinfinal)\
+  TTK(setingetprev)\
+  TTK(TemperatureDependent)\
+  TTK(OPdependent)\
+  TTK(insource)\
+  TTK(vcount)\
+  TTK(vlast)\
+  TTK(arraydefault)\
+  TTK(alias)\
+  TTK(ddxprobe)\
+  TTK(whitenoise)\
+  TTK(flickernoise)\
+  TTK(switch)\
+  TTK(infexpr)\
+  TTK(supexpr)\
+  TTK(infboundtype)\
+  TTK(supboundtype)\
+  TTK(row)\
+  TTK(column)\
+  TTK(diagonal)\
+  TTK(code)\
+  TTK(value)\
+  TTK(arg1)\
+  TTK(arg2)\
+  TTK(arg3)\
+  TTK(scalingunit)\
+  TTK(unique_id)\
+  TTK(definition)\
+  TTK(class)\
+  TTK(arguments)\
+  TTK(prototype)\
+  TTK(index)\
+  TTK(seen)\
+  TTK(hasspecialnumber)\
+  TTK(hasVoltageDependentFunction)\
+  TTK(infinity)\
+  TTK(terminal)\
+  TTK(parameterset)\
+  TTK(parameter)\
+  TTK(nodefrominstantiator)\
+  TTK(nodefrommodule)\
+  TTK(lhs)\
+  TTK(rhs)\
+  TTK(if)\
+  TTK(then)\
+  TTK(else)\
+  TTK(initial)\
+  TTK(condition)\
+  TTK(update)\
+  TTK(forblock)\
+  TTK(while)\
+  TTK(whileblock)\
+  TTK(defaultcase)\
+  TTK(aname)\
+  TTK(admse)\
+  TTK(token)\
+  TTK(avalue)\
+  TTK(template)\
+  TTK(keeplist)\
+  TTK(callbackname)\
+  TTK(callback)\
+  TTK(bar)\
+  TTK(arg)\
+  TTK(u)\
+  TTK(b)\
+  TTK(c)\
+  TTK(alladmst)\
+  TTK(aliasadmst)\
+  TTK(position)\
+  TTK(a1)\
+  TTK(an)\
+  TTK(tkstart)\
+  TTK(tklen)\
+  TTK(tkid)\
+  TTK(previous)\
+  TTK(previouspath)\
+  TTK(pseudo)\
+  TTK(valueto)\
+  TTK(count)\
+  TTK(hasnewbasicstring)\
+  TTK(original)\
+  TTK(refd)\
+  TTK(true)\
+  TTK(preva)\
+  TTK(nexta)\
+  TTK(selected)\
+  TTK(basefilename)\
+  TTK(l)\
+  TTK(parent)\
+  TTK(id)\
+  TTK(pathselect)\
+  TTK(pathpath)\
+  TTK(pathinto)\
+  TTK(pathtest)\
+  TTK(pathlist)\
+  TTK(textselect)\
+  TTK(textdatatype)\
+  TTK(textmatch)\
+  TTK(textrequired)\
+  TTK(textseparator)\
+  TTK(textfrom)\
+  TTK(textto)\
+  TTK(textlist)\
+  TTK(textname)\
+  TTK(textformat)\
+  TTK(textfile)\
+  TTK(textversion)\
+  TTK(textonduplicate)\
+  TTK(textprobe)\
+  TTK(textstring)\
+  TTK(templates)\
+  TTK(textarguments)\
+  TTK(pathoncompare)\
+  TTK(pathinputs)\
+  TTK(children)\
+  TTK(originalcall)\
+  TTK(f)\
+  TTK(usrdata)\
+  TTK(yes)\
+  TTK(no)\
+  TTK(continuous)\
+  TTK(discrete)\
+  TTK(real)\
+  TTK(integer)\
+  TTK(inout)\
+  TTK(internal)\
+  TTK(ground)\
+  TTK(external)\
+  TTK(constant)\
+  TTK(noprobe)\
+  TTK(linear)\
+  TTK(nonlinear)\
+  TTK(scalar)\
+  TTK(model)\
+  TTK(local)\
+  TTK(global_model)\
+  TTK(global_instance)\
+  TTK(global_final)\
+  TTK(global_past)\
+  TTK(shot)\
+  TTK(thermal)\
+  TTK(flicker)\
+  TTK(fs)\
+  TTK(ps)\
+  TTK(range_bound_include)\
+  TTK(range_bound_exclude)\
+  TTK(range_bound_value)\
+  TTK(include)\
+  TTK(include_value)\
+  TTK(exclude)\
+  TTK(exclude_value)\
+  TTK(plus)\
+  TTK(minus)\
+  TTK(not)\
+  TTK(bw_not)\
+  TTK(bw_equr)\
+  TTK(bw_equl)\
+  TTK(bw_xor)\
+  TTK(bw_or)\
+  TTK(bw_and)\
+  TTK(or)\
+  TTK(and)\
+  TTK(equ)\
+  TTK(notequ)\
+  TTK(lt)\
+  TTK(lt_equ)\
+  TTK(gt)\
+  TTK(gt_equ)\
+  TTK(shiftr)\
+  TTK(shiftl)\
+  TTK(addp)\
+  TTK(addm)\
+  TTK(multtime)\
+  TTK(multdiv)\
+  TTK(multmod)\
+  TTK(E)\
+  TTK(P)\
+  TTK(T)\
+  TTK(G)\
+  TTK(M)\
+  TTK(k)\
+  TTK(h)\
+  TTK(D)\
+  TTK(1)\
+  TTK(d)\
+  TTK(m)\
+  TTK(n)\
+  TTK(A)\
+  TTK(p)\
+  TTK(a)\
+  TTK(builtin)\
+  TTK(digital)\
+  TTK(is_zero)\
+  TTK(is_one)\
+  TTK(is_neg_one)\
+  TTK(is_any)\
+  TTK(past_name)\
+  TTK(datatypefamily)\
+  TTK(absolute)\
+  TTK(basicenumeration)\
+  TTK(basicinteger)\
+  TTK(basicreal)\
+  TTK(basicstring)\
+  TTK(basiclist)\
+  TTK(empty)\
+/*fin*/
+
+
+#undef TTK
+#define TTK(tk) admse_##tk,
+typedef enum {
+  admse__zero=0,
+  admse__any,
+  admse__constant,
+  admse__p, /*%p*/
+  admse__s, /*%s*/
+  admse__path,
+  admse__arguments,
+  admse__croix,
+  admse__croixcroix,
+  admse__ladms,
+  admse__ladmst,
+  TK
+  admse__
+} admse;
+#undef win32_interface
+#if defined(WIN32)
+#  if defined(insideElement)
+#    define win32_interface __declspec(dllexport)
+#  else
+#    define win32_interface __declspec(dllimport)
+#  endif
+#else
+#  define win32_interface extern
+#endif
+
+typedef struct s_adms  t_adms;
+typedef t_adms*        p_adms;
+typedef const p_adms   p_kadms;
+typedef struct s_simulator  t_simulator;
+typedef t_simulator*        p_simulator;
+typedef const p_simulator   p_ksimulator;
+typedef struct s_admsmain  t_admsmain;
+typedef t_admsmain*        p_admsmain;
+typedef const p_admsmain   p_kadmsmain;
+typedef struct s_list  t_list;
+typedef t_list*        p_list;
+typedef const p_list   p_klist;
+typedef struct s_nature  t_nature;
+typedef t_nature*        p_nature;
+typedef const p_nature   p_knature;
+typedef struct s_discipline  t_discipline;
+typedef t_discipline*        p_discipline;
+typedef const p_discipline   p_kdiscipline;
+typedef struct s_module  t_module;
+typedef t_module*        p_module;
+typedef const p_module   p_kmodule;
+typedef struct s_analogfunction  t_analogfunction;
+typedef t_analogfunction*        p_analogfunction;
+typedef const p_analogfunction   p_kanalogfunction;
+typedef struct s_nodealias  t_nodealias;
+typedef t_nodealias*        p_nodealias;
+typedef const p_nodealias   p_knodealias;
+typedef struct s_node  t_node;
+typedef t_node*        p_node;
+typedef const p_node   p_knode;
+typedef struct s_branchalias  t_branchalias;
+typedef t_branchalias*        p_branchalias;
+typedef const p_branchalias   p_kbranchalias;
+typedef struct s_branch  t_branch;
+typedef t_branch*        p_branch;
+typedef const p_branch   p_kbranch;
+typedef struct s_variableprototype  t_variableprototype;
+typedef t_variableprototype*        p_variableprototype;
+typedef const p_variableprototype   p_kvariableprototype;
+typedef struct s_source  t_source;
+typedef t_source*        p_source;
+typedef const p_source   p_ksource;
+typedef struct s_range  t_range;
+typedef t_range*        p_range;
+typedef const p_range   p_krange;
+typedef struct s_jacobian  t_jacobian;
+typedef t_jacobian*        p_jacobian;
+typedef const p_jacobian   p_kjacobian;
+typedef struct s_analog  t_analog;
+typedef t_analog*        p_analog;
+typedef const p_analog   p_kanalog;
+typedef struct s_math  t_math;
+typedef t_math*        p_math;
+typedef const p_math   p_kmath;
+typedef struct s_string  t_string;
+typedef t_string*        p_string;
+typedef const p_string   p_kstring;
+typedef struct s_subexpression  t_subexpression;
+typedef t_subexpression*        p_subexpression;
+typedef const p_subexpression   p_ksubexpression;
+typedef struct s_mapply_unary  t_mapply_unary;
+typedef t_mapply_unary*        p_mapply_unary;
+typedef const p_mapply_unary   p_kmapply_unary;
+typedef struct s_mapply_binary  t_mapply_binary;
+typedef t_mapply_binary*        p_mapply_binary;
+typedef const p_mapply_binary   p_kmapply_binary;
+typedef struct s_mapply_ternary  t_mapply_ternary;
+typedef t_mapply_ternary*        p_mapply_ternary;
+typedef const p_mapply_ternary   p_kmapply_ternary;
+typedef struct s_number  t_number;
+typedef t_number*        p_number;
+typedef const p_number   p_knumber;
+typedef struct s_function  t_function;
+typedef t_function*        p_function;
+typedef const p_function   p_kfunction;
+typedef struct s_variable  t_variable;
+typedef t_variable*        p_variable;
+typedef const p_variable   p_kvariable;
+typedef struct s_array  t_array;
+typedef t_array*        p_array;
+typedef const p_array   p_karray;
+typedef struct s_probe  t_probe;
+typedef t_probe*        p_probe;
+typedef const p_probe   p_kprobe;
+typedef struct s_expression  t_expression;
+typedef t_expression*        p_expression;
+typedef const p_expression   p_kexpression;
+typedef struct s_instance  t_instance;
+typedef t_instance*        p_instance;
+typedef const p_instance   p_kinstance;
+typedef struct s_instanceparameter  t_instanceparameter;
+typedef t_instanceparameter*        p_instanceparameter;
+typedef const p_instanceparameter   p_kinstanceparameter;
+typedef struct s_instancenode  t_instancenode;
+typedef t_instancenode*        p_instancenode;
+typedef const p_instancenode   p_kinstancenode;
+typedef struct s_nilled  t_nilled;
+typedef t_nilled*        p_nilled;
+typedef const p_nilled   p_knilled;
+typedef struct s_assignment  t_assignment;
+typedef t_assignment*        p_assignment;
+typedef const p_assignment   p_kassignment;
+typedef struct s_contribution  t_contribution;
+typedef t_contribution*        p_contribution;
+typedef const p_contribution   p_kcontribution;
+typedef struct s_conditional  t_conditional;
+typedef t_conditional*        p_conditional;
+typedef const p_conditional   p_kconditional;
+typedef struct s_forloop  t_forloop;
+typedef t_forloop*        p_forloop;
+typedef const p_forloop   p_kforloop;
+typedef struct s_whileloop  t_whileloop;
+typedef t_whileloop*        p_whileloop;
+typedef const p_whileloop   p_kwhileloop;
+typedef struct s_case  t_case;
+typedef t_case*        p_case;
+typedef const p_case   p_kcase;
+typedef struct s_caseitem  t_caseitem;
+typedef t_caseitem*        p_caseitem;
+typedef const p_caseitem   p_kcaseitem;
+typedef struct s_blockvariable  t_blockvariable;
+typedef t_blockvariable*        p_blockvariable;
+typedef const p_blockvariable   p_kblockvariable;
+typedef struct s_block  t_block;
+typedef t_block*        p_block;
+typedef const p_block   p_kblock;
+typedef struct s_callfunction  t_callfunction;
+typedef t_callfunction*        p_callfunction;
+typedef const p_callfunction   p_kcallfunction;
+typedef struct s_evaluation  t_evaluation;
+typedef t_evaluation*        p_evaluation;
+typedef const p_evaluation   p_kevaluation;
+typedef struct s_text  t_text;
+typedef t_text*        p_text;
+typedef const p_text   p_ktext;
+typedef struct s_path  t_path;
+typedef t_path*        p_path;
+typedef const p_path   p_kpath;
+typedef struct s_ptraverse  t_ptraverse;
+typedef t_ptraverse*        p_ptraverse;
+typedef const p_ptraverse   p_kptraverse;
+typedef struct s_pparse  t_pparse;
+typedef t_pparse*        p_pparse;
+typedef const p_pparse   p_kpparse;
+typedef struct s_admst  t_admst;
+typedef t_admst*        p_admst;
+typedef const p_admst   p_kadmst;
+typedef struct s_transform  t_transform;
+typedef t_transform*        p_transform;
+typedef const p_transform   p_ktransform;
+typedef struct s_itransform  t_itransform;
+typedef t_itransform*        p_itransform;
+typedef const p_itransform   p_kitransform;
+typedef struct s_return  t_return;
+typedef t_return*        p_return;
+typedef const p_return   p_kreturn;
+typedef struct s_attribute  t_attribute;
+typedef t_attribute*        p_attribute;
+typedef const p_attribute   p_kattribute;
+typedef struct s_admstvariable  t_admstvariable;
+typedef t_admstvariable*        p_admstvariable;
+typedef const p_admstvariable   p_kadmstvariable;
+typedef struct s_lexval  t_lexval;
+typedef t_lexval*        p_lexval;
+typedef const p_lexval   p_klexval;
+typedef struct s_yaccval  t_yaccval;
+typedef t_yaccval*        p_yaccval;
+typedef const p_yaccval   p_kyaccval;
+
+/*miscH*/
+typedef struct s_slist t_slist;
+typedef t_slist* p_slist;
+win32_interface FILE *stdadmstdbgimpl;
+win32_interface FILE *stdadmstdbgimpl;
+#define stdadmstdbg (stdadmstdbgimpl?stdadmstdbgimpl:stderr)
+win32_interface int admsmain(const char*xcode,const char*xflag,const char*vacode);
+win32_interface int adms_global_nbadmstnew(void);
+win32_interface int adms_global_nbadmstdestroy(void);
+win32_interface void adms_global_increment_nbadmstnew(void);
+win32_interface void adms_global_increment_nbadmstdestroy(void);
+/*-- admst/new prototypes --*/
+win32_interface p_admst adms_admst_newbd (p_admst myprevious,p_admst mypreviouspath,const admse item);
+win32_interface p_admst adms_admst_newbe (p_admst myprevious,p_admst mypreviouspath,const admse item);
+win32_interface p_admst adms_admst_newbi (p_admst myprevious,p_admst mypreviouspath,const int item);
+win32_interface p_admst adms_admst_newbr (p_admst myprevious,p_admst mypreviouspath,const double item);
+win32_interface p_admst adms_admst_newbs (p_admst myprevious,p_admst mypreviouspath,char* item);
+win32_interface p_admst adms_admst_newns (p_admst myprevious,p_admst mypreviouspath,char* item);
+win32_interface p_admst adms_admst_newks (char* item);
+win32_interface p_admst adms_admst_newpa (p_admst myprevious,p_admst mypreviouspath,const p_adms item);
+win32_interface p_admst adms_admst_newpn (p_admst myprevious,p_admst mypreviouspath,p_admst item);
+win32_interface p_admst adms_admst_newpc (p_admst myprevious,p_admst mypreviouspath,p_attribute item);
+win32_interface p_admst adms_admst_newpd (p_admst myprevious,p_admst mypreviouspath,p_admstvariable item);
+win32_interface p_admst adms_admst_newla (p_admst myprevious,p_admst mypreviouspath,p_slist item);
+win32_interface p_admst adms_admst_newln (p_admst myprevious,p_admst mypreviouspath,p_slist item);
+win32_interface p_admst adms_admst_newpp (p_admst myprevious,p_admst mypreviouspath,admse item);
+
+/*-- Miscellaneous routines --*/
+
+win32_interface const double adms_dzero;
+win32_interface double adms_NAN;
+win32_interface p_admst aread (p_admst myadmst);
+win32_interface void deref(p_admst mystack);
+union admsu
+{
+  int i;
+  double r;
+  char* s;
+  p_adms p;
+};
+win32_interface const int minusone;
+
+win32_interface int adms_strtol (p_ktransform mytransform,const char* mynumber);
+win32_interface double adms_strtod (p_ktransform mytransform,const char* myreal);
+win32_interface void bp(void);
+win32_interface char*adms_integertostring(int value);
+win32_interface char*adms_doubletostring(double value);
+win32_interface int adms_setenv(const char* myname,const char* myvalue);
+win32_interface int adms_file_isregular(const char* myfilename);
+win32_interface int adms_file_isdirectory(const char* myfilename);
+win32_interface p_slist adms_slist_new (p_adms d);
+win32_interface p_slist adms_slist_copy (p_slist l);
+win32_interface void adms_slist_concat (p_slist* l1,p_slist l2);
+win32_interface p_slist adms_slist_find (p_slist l,p_kadms data);
+win32_interface void adms_slist_free (p_slist l);
+win32_interface int adms_slist_index (p_slist l, p_kadms data);
+win32_interface p_slist adms_slist_last (p_slist l);
+win32_interface unsigned int adms_slist_length (p_slist l);
+win32_interface p_slist adms_slist_nth (p_slist l,unsigned int  n);
+win32_interface p_adms adms_slist_nth_data (p_slist l,unsigned int n);
+win32_interface void adms_slist_print(const char* message,p_slist l);
+win32_interface p_adms adms_slist_pull(p_slist* l);
+win32_interface void adms_slist_push(p_slist* l,p_adms data);
+win32_interface p_slist adms_slist_reverse (p_slist l);
+win32_interface void adms_slist_inreverse (p_slist* l);
+struct s_slist
+{
+  p_adms data;
+  p_slist next;
+};
+
+
+win32_interface p_admsmain root(void);
+win32_interface void rootnew(p_admsmain myglobaladmsmain);
+
+typedef p_admst (t_new) (p_ktransform mytransform,p_admst dot,p_slist arguments[],const int size);
+typedef p_admst (*p_new) (p_ktransform mytransform,p_admst dot,p_slist arguments[],const int size);
+#define _t_new(function) p_admst (function) (p_ktransform mytransform,p_admst dot,p_slist arguments[],const int size)
+
+typedef int (t_cmp) (p_adms myadms, p_adms myadmsref);
+typedef int (*p_cmp) (p_adms myadms, p_adms myadmsref);
+#define _t_cmp(function) int (function) (p_adms myadms, p_adms myadmsref)
+
+/*-- Messaging --*/
+typedef void (t_message) (const char*format, ...);
+typedef void (*p_message) (const char*format, ...);
+#define _t_message(function)  void (function) (const char*format, ...)
+
+win32_interface void adms_toupper(char*m);
+win32_interface void adms_tolower(char*m);
+win32_interface char*adms_kclone(const char* m);
+win32_interface char*adms_knclone(const char* m,const int l);
+win32_interface char*adms_m2nclone(const char* m,const char* n);
+win32_interface void adms_strconcat(char **s1,char *s2);
+win32_interface void adms_k2strconcat(char **s1,const char* s2);
+win32_interface FILE *adms_file_open_read (const char* fileName);
+win32_interface t_message adms_message_info_impl;
+win32_interface t_message adms_message_info_continue_impl;
+#define adms_message_info(VAARGS)\
+{\
+  if((root())\
+    &&(root()->_info==admse_yes))\
+    adms_message_info_impl VAARGS;\
+}
+#define adms_message_info_continue(VAARGS)\
+{\
+  if((root())\
+    &&(root()->_info==admse_yes))\
+    adms_message_info_continue_impl VAARGS;\
+}
+win32_interface t_message adms_message_usage_impl;
+win32_interface t_message adms_message_usage_continue_impl;
+#define adms_message_usage(VAARGS)\
+{\
+  if((root())\
+    &&(root()->_usage==admse_yes))\
+    adms_message_usage_impl VAARGS;\
+}
+#define adms_message_usage_continue(VAARGS)\
+{\
+  if((root())\
+    &&(root()->_usage==admse_yes))\
+    adms_message_usage_continue_impl VAARGS;\
+}
+win32_interface t_message adms_message_verbose_impl;
+win32_interface t_message adms_message_verbose_continue_impl;
+#define adms_message_verbose(VAARGS)\
+{\
+  if((root())\
+    &&(root()->_verbose==admse_yes))\
+    adms_message_verbose_impl VAARGS;\
+}
+#define adms_message_verbose_continue(VAARGS)\
+{\
+  if((root())\
+    &&(root()->_verbose==admse_yes))\
+    adms_message_verbose_continue_impl VAARGS;\
+}
+win32_interface t_message adms_message_debug_impl;
+win32_interface t_message adms_message_debug_continue_impl;
+#define adms_message_debug(VAARGS)\
+{\
+  if((root())\
+    &&(root()->_debug==admse_yes))\
+    adms_message_debug_impl VAARGS;\
+}
+#define adms_message_debug_continue(VAARGS)\
+{\
+  if((root())\
+    &&(root()->_debug==admse_yes))\
+    adms_message_debug_continue_impl VAARGS;\
+}
+win32_interface t_message adms_message_dbg_vla_impl;
+win32_interface t_message adms_message_dbg_vla_continue_impl;
+#define adms_message_dbg_vla(VAARGS)\
+{\
+  if((root())\
+    &&(root()->_dbg_vla==admse_yes))\
+    adms_message_dbg_vla_impl VAARGS;\
+}
+#define adms_message_dbg_vla_continue(VAARGS)\
+{\
+  if((root())\
+    &&(root()->_dbg_vla==admse_yes))\
+    adms_message_dbg_vla_continue_impl VAARGS;\
+}
+win32_interface t_message adms_message_dbg_xml_impl;
+win32_interface t_message adms_message_dbg_xml_continue_impl;
+#define adms_message_dbg_xml(VAARGS)\
+{\
+  if((root())\
+    &&(root()->_dbg_xml==admse_yes))\
+    adms_message_dbg_xml_impl VAARGS;\
+}
+#define adms_message_dbg_xml_continue(VAARGS)\
+{\
+  if((root())\
+    &&(root()->_dbg_xml==admse_yes))\
+    adms_message_dbg_xml_continue_impl VAARGS;\
+}
+win32_interface t_message adms_message_dbg_mem_impl;
+win32_interface t_message adms_message_dbg_mem_continue_impl;
+#define adms_message_dbg_mem(VAARGS)\
+{\
+  if((root())\
+    &&(root()->_dbg_mem==admse_yes))\
+    adms_message_dbg_mem_impl VAARGS;\
+}
+#define adms_message_dbg_mem_continue(VAARGS)\
+{\
+  if((root())\
+    &&(root()->_dbg_mem==admse_yes))\
+    adms_message_dbg_mem_continue_impl VAARGS;\
+}
+win32_interface t_message adms_message_hint_impl;
+win32_interface t_message adms_message_hint_continue_impl;
+#define adms_message_hint(VAARGS)\
+{\
+  if((root())\
+    &&(root()->_hint==admse_yes))\
+    adms_message_hint_impl VAARGS;\
+}
+#define adms_message_hint_continue(VAARGS)\
+{\
+  if((root())\
+    &&(root()->_hint==admse_yes))\
+    adms_message_hint_continue_impl VAARGS;\
+}
+win32_interface t_message adms_message_warning_impl;
+win32_interface t_message adms_message_warning_continue_impl;
+#define adms_message_warning(VAARGS)\
+{\
+  if((root())\
+    &&(root()->_warning==admse_yes))\
+    adms_message_warning_impl VAARGS;\
+}
+#define adms_message_warning_continue(VAARGS)\
+{\
+  if((root())\
+    &&(root()->_warning==admse_yes))\
+    adms_message_warning_continue_impl VAARGS;\
+}
+win32_interface t_message adms_message_obsolete_impl;
+win32_interface t_message adms_message_obsolete_continue_impl;
+#define adms_message_obsolete(VAARGS)\
+{\
+  if((root())\
+    &&(root()->_obsolete==admse_yes))\
+    adms_message_obsolete_impl VAARGS;\
+}
+#define adms_message_obsolete_continue(VAARGS)\
+{\
+  if((root())\
+    &&(root()->_obsolete==admse_yes))\
+    adms_message_obsolete_continue_impl VAARGS;\
+}
+win32_interface t_message adms_message_error_impl;
+win32_interface t_message adms_message_error_continue_impl;
+#define adms_message_error(VAARGS)\
+{\
+  if((root())\
+    &&(root()->_error==admse_yes))\
+    adms_message_error_impl VAARGS;\
+}
+#define adms_message_error_continue(VAARGS)\
+{\
+  if((root())\
+    &&(root()->_error==admse_yes))\
+    adms_message_error_continue_impl VAARGS;\
+}
+win32_interface t_message adms_message_fatal_impl;
+win32_interface t_message adms_message_fatal_continue_impl;
+#define adms_message_fatal(VAARGS)\
+{\
+  if((root())\
+    &&(root()->_fatal==admse_yes))\
+    adms_message_fatal_impl VAARGS;\
+}
+#define adms_message_fatal_continue(VAARGS)\
+{\
+  if((root())\
+    &&(root()->_fatal==admse_yes))\
+    adms_message_fatal_continue_impl VAARGS;\
+}
+win32_interface t_message adms_message_admstdbg_impl;
+win32_interface t_message adms_message_admstdbg_continue_impl;
+#define adms_message_admstdbg(VAARGS)\
+{\
+  if((root())\
+    &&(root()->_admstdbg==admse_yes))\
+    adms_message_admstdbg_impl VAARGS;\
+}
+#define adms_message_admstdbg_continue(VAARGS)\
+{\
+  if((root())\
+    &&(root()->_admstdbg==admse_yes))\
+    adms_message_admstdbg_continue_impl VAARGS;\
+}
+
+win32_interface char* aprintf (p_ktransform mytransform,p_kadmst myadmst);
+
+/* ------- adms -------------- */
+#define adms_adms(member) ((p_adms)(member))
+struct s_adms {
+  admse _datatypename;
+  p_slist _attribute;
+  p_slist _variable;
+};
+win32_interface char*adms_adms_uid (p_adms myadms);
+win32_interface void adms_adms_valueto_datatypename (p_adms myadms,int _datatypename);
+win32_interface void adms_adms_valueto_attribute (p_adms myadms,p_slist _attribute);
+win32_interface void adms_adms_valueto_variable (p_adms myadms,p_slist _variable);
+
+/* ------- simulator -------------- */
+#define adms_simulator(member) ((p_simulator)(member))
+struct s_simulator {
+  t_adms _adms;
+  char* _name;
+  int _name_isdefault : 2;
+  char* _currentdate;
+  int _currentdate_isdefault : 2;
+  char* _developer;
+  int _developer_isdefault : 2;
+  char* _fullname;
+  int _fullname_isdefault : 2;
+  char* _package_name;
+  int _package_name_isdefault : 2;
+  char* _package_tarname;
+  int _package_tarname_isdefault : 2;
+  char* _package_version;
+  int _package_version_isdefault : 2;
+  char* _package_string;
+  int _package_string_isdefault : 2;
+  char* _package_bugreport;
+  int _package_bugreport_isdefault : 2;
+  p_probe _probe;
+  int _probe_isdefault : 2;
+  char* _tmp;
+  int _tmp_isdefault : 2;
+  char* _tmp1;
+  int _tmp1_isdefault : 2;
+  p_branch _br1;
+  int _br1_isdefault : 2;
+  p_branch _br2;
+  int _br2_isdefault : 2;
+  p_source _sr1;
+  int _sr1_isdefault : 2;
+  char* _ddx;
+  int _ddx_isdefault : 2;
+};
+win32_interface char*adms_simulator_uid (p_simulator mysimulator);
+win32_interface p_simulator adms_simulator_new (const char* myname);
+win32_interface void adms_simulator_free(p_simulator mysimulator);
+win32_interface void adms_simulator_valueto_name (p_simulator mysimulator,const char* _name);
+win32_interface void adms_simulator_valueto_currentdate (p_simulator mysimulator,const char* _currentdate);
+win32_interface void adms_simulator_valueto_developer (p_simulator mysimulator,const char* _developer);
+win32_interface void adms_simulator_valueto_fullname (p_simulator mysimulator,const char* _fullname);
+win32_interface void adms_simulator_valueto_package_name (p_simulator mysimulator,const char* _package_name);
+win32_interface void adms_simulator_valueto_package_tarname (p_simulator mysimulator,const char* _package_tarname);
+win32_interface void adms_simulator_valueto_package_version (p_simulator mysimulator,const char* _package_version);
+win32_interface void adms_simulator_valueto_package_string (p_simulator mysimulator,const char* _package_string);
+win32_interface void adms_simulator_valueto_package_bugreport (p_simulator mysimulator,const char* _package_bugreport);
+win32_interface void adms_simulator_valueto_probe (p_simulator mysimulator,p_probe _probe);
+win32_interface void adms_simulator_valueto_tmp (p_simulator mysimulator,const char* _tmp);
+win32_interface void adms_simulator_valueto_tmp1 (p_simulator mysimulator,const char* _tmp1);
+win32_interface void adms_simulator_valueto_br1 (p_simulator mysimulator,p_branch _br1);
+win32_interface void adms_simulator_valueto_br2 (p_simulator mysimulator,p_branch _br2);
+win32_interface void adms_simulator_valueto_sr1 (p_simulator mysimulator,p_source _sr1);
+win32_interface void adms_simulator_valueto_ddx (p_simulator mysimulator,const char* _ddx);
+
+/* ------- admsmain -------------- */
+#define adms_admsmain(member) ((p_admsmain)(member))
+struct s_admsmain {
+  t_adms _adms;
+  char* _name;
+  int _name_isdefault : 2;
+  int _argc;
+  int _argc_isdefault : 2;
+  char* _fullfilename;
+  int _fullfilename_isdefault : 2;
+  char* _filename;
+  int _filename_isdefault : 2;
+  char* _curfilename;
+  int _curfilename_isdefault : 2;
+  int _curline;
+  int _curline_isdefault : 2;
+  int _fpos;
+  int _fpos_isdefault : 2;
+  p_simulator _simulator;
+  int _simulator_isdefault : 2;
+  admse _info;
+  int _info_isdefault : 2;
+  admse _usage;
+  int _usage_isdefault : 2;
+  admse _verbose;
+  int _verbose_isdefault : 2;
+  admse _hint;
+  int _hint_isdefault : 2;
+  admse _warning;
+  int _warning_isdefault : 2;
+  admse _obsolete;
+  int _obsolete_isdefault : 2;
+  admse _debug;
+  int _debug_isdefault : 2;
+  admse _dbg_vla;
+  int _dbg_vla_isdefault : 2;
+  admse _dbg_xml;
+  int _dbg_xml_isdefault : 2;
+  admse _error;
+  int _error_isdefault : 2;
+  admse _fatal;
+  int _fatal_isdefault : 2;
+  admse _dbg_mem;
+  int _dbg_mem_isdefault : 2;
+  p_slist _module;
+  int _module_isdefault : 2;
+  p_slist _discipline;
+  int _discipline_isdefault : 2;
+  p_slist _nature;
+  int _nature_isdefault : 2;
+  p_slist _variable;
+  int _variable_isdefault : 2;
+  p_slist _argv;
+  int _argv_isdefault : 2;
+  p_slist _transform;
+  int _transform_isdefault : 2;
+  p_slist _invtransform;
+  int _invtransform_isdefault : 2;
+  p_slist _itransform;
+  int _itransform_isdefault : 2;
+  p_slist _itransforminsideapplytemplate;
+  int _itransforminsideapplytemplate_isdefault : 2;
+  p_slist _valueof;
+  int _valueof_isdefault : 2;
+};
+win32_interface char*adms_admsmain_uid (p_admsmain myadmsmain);
+win32_interface p_admsmain adms_admsmain_new (const char* myname);
+win32_interface void adms_admsmain_free(p_admsmain myadmsmain);
+win32_interface void adms_admsmain_valueto_name (p_admsmain myadmsmain,const char* _name);
+win32_interface void adms_admsmain_valueto_argc (p_admsmain myadmsmain,int _argc);
+win32_interface void adms_admsmain_valueto_fullfilename (p_admsmain myadmsmain,const char* _fullfilename);
+win32_interface void adms_admsmain_valueto_filename (p_admsmain myadmsmain,const char* _filename);
+win32_interface void adms_admsmain_valueto_curfilename (p_admsmain myadmsmain,const char* _curfilename);
+win32_interface void adms_admsmain_valueto_curline (p_admsmain myadmsmain,int _curline);
+win32_interface void adms_admsmain_valueto_fpos (p_admsmain myadmsmain,int _fpos);
+win32_interface void adms_admsmain_valueto_simulator (p_admsmain myadmsmain,p_simulator _simulator);
+win32_interface void adms_admsmain_valueto_info (p_admsmain myadmsmain,admse _info);
+win32_interface void adms_admsmain_valueto_usage (p_admsmain myadmsmain,admse _usage);
+win32_interface void adms_admsmain_valueto_verbose (p_admsmain myadmsmain,admse _verbose);
+win32_interface void adms_admsmain_valueto_hint (p_admsmain myadmsmain,admse _hint);
+win32_interface void adms_admsmain_valueto_warning (p_admsmain myadmsmain,admse _warning);
+win32_interface void adms_admsmain_valueto_obsolete (p_admsmain myadmsmain,admse _obsolete);
+win32_interface void adms_admsmain_valueto_debug (p_admsmain myadmsmain,admse _debug);
+win32_interface void adms_admsmain_valueto_dbg_vla (p_admsmain myadmsmain,admse _dbg_vla);
+win32_interface void adms_admsmain_valueto_dbg_xml (p_admsmain myadmsmain,admse _dbg_xml);
+win32_interface void adms_admsmain_valueto_error (p_admsmain myadmsmain,admse _error);
+win32_interface void adms_admsmain_valueto_fatal (p_admsmain myadmsmain,admse _fatal);
+win32_interface void adms_admsmain_valueto_dbg_mem (p_admsmain myadmsmain,admse _dbg_mem);
+win32_interface void adms_admsmain_valueto_module (p_admsmain myadmsmain,p_slist _module);
+win32_interface p_module adms_admsmain_list_module_prepend_by_id_once_or_abort (p_admsmain mymyadmsmain,const char* myname);
+win32_interface p_module adms_admsmain_list_module_lookup_by_id (p_admsmain mymyadmsmain,const char* myname);
+win32_interface void adms_admsmain_valueto_discipline (p_admsmain myadmsmain,p_slist _discipline);
+win32_interface void adms_admsmain_list_discipline_prepend_once_or_abort (p_admsmain mymyadmsmain,p_discipline mydiscipline);
+win32_interface p_discipline adms_admsmain_list_discipline_lookup_by_id (p_admsmain mymyadmsmain,const char* myname);
+win32_interface void adms_admsmain_valueto_nature (p_admsmain myadmsmain,p_slist _nature);
+win32_interface p_nature adms_admsmain_list_nature_prepend_by_id_once_or_abort (p_admsmain mymyadmsmain,const char* myaccess);
+win32_interface p_nature adms_admsmain_list_nature_lookup_by_id (p_admsmain mymyadmsmain,const char* myaccess);
+win32_interface void adms_admsmain_valueto_variable (p_admsmain myadmsmain,p_slist _variable);
+win32_interface void adms_admsmain_valueto_argv (p_admsmain myadmsmain,p_slist _argv);
+win32_interface void adms_admsmain_valueto_transform (p_admsmain myadmsmain,p_slist _transform);
+win32_interface void adms_admsmain_valueto_invtransform (p_admsmain myadmsmain,p_slist _invtransform);
+win32_interface void adms_admsmain_valueto_itransform (p_admsmain myadmsmain,p_slist _itransform);
+win32_interface void adms_admsmain_valueto_itransforminsideapplytemplate (p_admsmain myadmsmain,p_slist _itransforminsideapplytemplate);
+win32_interface void adms_admsmain_valueto_valueof (p_admsmain myadmsmain,p_slist _valueof);
+
+/* ------- list -------------- */
+#define adms_list(member) ((p_list)(member))
+struct s_list {
+  t_adms _adms;
+  char* _datatype;
+  int _datatype_isdefault : 2;
+  p_slist _item;
+  int _item_isdefault : 2;
+};
+win32_interface char*adms_list_uid (p_list mylist);
+win32_interface p_list adms_list_new (const char* mydatatype);
+win32_interface void adms_list_free(p_list mylist);
+win32_interface void adms_list_valueto_datatype (p_list mylist,const char* _datatype);
+win32_interface void adms_list_valueto_item (p_list mylist,p_slist _item);
+
+/* ------- nature -------------- */
+#define adms_nature(member) ((p_nature)(member))
+struct s_nature {
+  t_adms _adms;
+  char* _access;
+  int _access_isdefault : 2;
+  char* _name;
+  int _name_isdefault : 2;
+  p_number _abstol;
+  int _abstol_isdefault : 2;
+  p_nature _base;
+  int _base_isdefault : 2;
+  char* _ddt_name;
+  int _ddt_name_isdefault : 2;
+  p_nature _ddt_nature;
+  int _ddt_nature_isdefault : 2;
+  char* _idt_name;
+  int _idt_name_isdefault : 2;
+  p_nature _idt_nature;
+  int _idt_nature_isdefault : 2;
+  char* _units;
+  int _units_isdefault : 2;
+};
+win32_interface char*adms_nature_uid (p_nature mynature);
+win32_interface p_nature adms_nature_new (const char* myaccess);
+win32_interface void adms_nature_free(p_nature mynature);
+win32_interface void adms_nature_valueto_access (p_nature mynature,const char* _access);
+win32_interface void adms_nature_valueto_name (p_nature mynature,const char* _name);
+win32_interface void adms_nature_valueto_abstol (p_nature mynature,p_number _abstol);
+win32_interface void adms_nature_valueto_base (p_nature mynature,p_nature _base);
+win32_interface void adms_nature_valueto_ddt_name (p_nature mynature,const char* _ddt_name);
+win32_interface void adms_nature_valueto_ddt_nature (p_nature mynature,p_nature _ddt_nature);
+win32_interface void adms_nature_valueto_idt_name (p_nature mynature,const char* _idt_name);
+win32_interface void adms_nature_valueto_idt_nature (p_nature mynature,p_nature _idt_nature);
+win32_interface void adms_nature_valueto_units (p_nature mynature,const char* _units);
+
+/* ------- discipline -------------- */
+#define adms_discipline(member) ((p_discipline)(member))
+struct s_discipline {
+  t_adms _adms;
+  char* _name;
+  int _name_isdefault : 2;
+  p_nature _flow;
+  int _flow_isdefault : 2;
+  p_nature _potential;
+  int _potential_isdefault : 2;
+  admse _domain;
+  int _domain_isdefault : 2;
+};
+win32_interface char*adms_discipline_uid (p_discipline mydiscipline);
+win32_interface p_discipline adms_discipline_new (const char* myname);
+win32_interface void adms_discipline_free(p_discipline mydiscipline);
+win32_interface void adms_discipline_valueto_name (p_discipline mydiscipline,const char* _name);
+win32_interface void adms_discipline_valueto_flow (p_discipline mydiscipline,p_nature _flow);
+win32_interface void adms_discipline_valueto_potential (p_discipline mydiscipline,p_nature _potential);
+win32_interface void adms_discipline_valueto_domain (p_discipline mydiscipline,admse _domain);
+
+/* ------- module -------------- */
+#define adms_module(member) ((p_module)(member))
+struct s_module {
+  t_adms _adms;
+  char* _name;
+  int _name_isdefault : 2;
+  p_slist _node;
+  int _node_isdefault : 2;
+  p_slist _nodealias;
+  int _nodealias_isdefault : 2;
+  p_slist _branch;
+  int _branch_isdefault : 2;
+  p_slist _branchalias;
+  int _branchalias_isdefault : 2;
+  p_slist _analogfunction;
+  int _analogfunction_isdefault : 2;
+  p_slist _instance;
+  int _instance_isdefault : 2;
+  p_slist _variable;
+  int _variable_isdefault : 2;
+  p_analog _analog;
+  int _analog_isdefault : 2;
+  p_slist _block;
+  int _block_isdefault : 2;
+  p_slist _blockvariable;
+  int _blockvariable_isdefault : 2;
+  p_slist _assignment;
+  int _assignment_isdefault : 2;
+  p_slist _callfunction;
+  int _callfunction_isdefault : 2;
+  p_slist _contribution;
+  int _contribution_isdefault : 2;
+  p_slist _conditional;
+  int _conditional_isdefault : 2;
+  p_slist _case;
+  int _case_isdefault : 2;
+  p_slist _forloop;
+  int _forloop_isdefault : 2;
+  p_slist _whileloop;
+  int _whileloop_isdefault : 2;
+  p_slist _instantiator;
+  int _instantiator_isdefault : 2;
+  p_slist _expression;
+  int _expression_isdefault : 2;
+  p_slist _jacobian;
+  int _jacobian_isdefault : 2;
+  p_slist _probe;
+  int _probe_isdefault : 2;
+  p_slist _source;
+  int _source_isdefault : 2;
+  p_slist _range;
+  int _range_isdefault : 2;
+  p_slist _attribute;
+  int _attribute_isdefault : 2;
+  p_evaluation _evaluation;
+  int _evaluation_isdefault : 2;
+};
+win32_interface char*adms_module_uid (p_module mymodule);
+win32_interface p_module adms_module_new (const char* myname);
+win32_interface void adms_module_free(p_module mymodule);
+win32_interface void adms_module_valueto_name (p_module mymodule,const char* _name);
+win32_interface void adms_module_valueto_node (p_module mymodule,p_slist _node);
+win32_interface p_node adms_module_list_node_prepend_by_id_once_or_ignore (p_module mymymodule,p_module mymodule,const char* myname);
+win32_interface p_node adms_module_list_node_prepend_by_id_once_or_abort (p_module mymymodule,p_module mymodule,const char* myname);
+win32_interface p_node adms_module_list_node_lookup_by_id (p_module mymymodule,p_module mymodule,const char* myname);
+win32_interface void adms_module_valueto_nodealias (p_module mymodule,p_slist _nodealias);
+win32_interface p_nodealias adms_module_list_nodealias_prepend_by_id_once_or_ignore (p_module mymymodule,p_module mymodule,const char* myname);
+win32_interface p_nodealias adms_module_list_nodealias_prepend_by_id_once_or_abort (p_module mymymodule,p_module mymodule,const char* myname);
+win32_interface p_nodealias adms_module_list_nodealias_lookup_by_id (p_module mymymodule,p_module mymodule,const char* myname);
+win32_interface void adms_module_valueto_branch (p_module mymodule,p_slist _branch);
+win32_interface p_branch adms_module_list_branch_prepend_by_id_once_or_ignore (p_module mymymodule,p_module mymodule,p_node mypnode,p_node mynnode);
+win32_interface void adms_module_valueto_branchalias (p_module mymodule,p_slist _branchalias);
+win32_interface p_branchalias adms_module_list_branchalias_prepend_by_id_once_or_ignore (p_module mymymodule,p_module mymodule,const char* myname);
+win32_interface p_branchalias adms_module_list_branchalias_prepend_by_id_once_or_abort (p_module mymymodule,p_module mymodule,const char* myname);
+win32_interface p_branchalias adms_module_list_branchalias_lookup_by_id (p_module mymymodule,p_module mymodule,const char* myname);
+win32_interface void adms_module_valueto_analogfunction (p_module mymodule,p_slist _analogfunction);
+win32_interface void adms_module_list_analogfunction_prepend_once_or_abort (p_module mymymodule,p_analogfunction myanalogfunction);
+win32_interface void adms_module_valueto_instance (p_module mymodule,p_slist _instance);
+win32_interface p_instance adms_module_list_instance_prepend_by_id_once_or_abort (p_module mymymodule,p_module myinstantiator,p_module mymodule,const char* myname);
+win32_interface void adms_module_valueto_variable (p_module mymodule,p_slist _variable);
+win32_interface void adms_module_list_variable_prepend_once_or_abort (p_module mymymodule,p_variableprototype myvariable);
+win32_interface p_variableprototype adms_module_list_variable_lookup_by_id (p_module mymymodule,p_module mymodule,p_lexval mylexval,p_adms myblock);
+win32_interface void adms_module_valueto_analog (p_module mymodule,p_analog _analog);
+win32_interface void adms_module_valueto_block (p_module mymodule,p_slist _block);
+win32_interface void adms_module_valueto_blockvariable (p_module mymodule,p_slist _blockvariable);
+win32_interface void adms_module_list_blockvariable_prepend_once_or_abort (p_module mymymodule,p_blockvariable myblockvariable);
+win32_interface p_blockvariable adms_module_list_blockvariable_lookup_by_id (p_module mymymodule,p_block myblock);
+win32_interface void adms_module_valueto_assignment (p_module mymodule,p_slist _assignment);
+win32_interface void adms_module_valueto_callfunction (p_module mymodule,p_slist _callfunction);
+win32_interface void adms_module_valueto_contribution (p_module mymodule,p_slist _contribution);
+win32_interface void adms_module_valueto_conditional (p_module mymodule,p_slist _conditional);
+win32_interface void adms_module_valueto_case (p_module mymodule,p_slist _case);
+win32_interface void adms_module_valueto_forloop (p_module mymodule,p_slist _forloop);
+win32_interface void adms_module_valueto_whileloop (p_module mymodule,p_slist _whileloop);
+win32_interface void adms_module_valueto_instantiator (p_module mymodule,p_slist _instantiator);
+win32_interface void adms_module_valueto_expression (p_module mymodule,p_slist _expression);
+win32_interface void adms_module_valueto_jacobian (p_module mymodule,p_slist _jacobian);
+win32_interface void adms_module_valueto_probe (p_module mymodule,p_slist _probe);
+win32_interface p_probe adms_module_list_probe_prepend_by_id_once_or_ignore (p_module mymymodule,p_module mymodule,p_branch mybranch,p_nature mynature);
+win32_interface void adms_module_valueto_source (p_module mymodule,p_slist _source);
+win32_interface p_source adms_module_list_source_prepend_by_id_once_or_ignore (p_module mymymodule,p_module mymodule,p_branch mybranch,p_nature mynature);
+win32_interface void adms_module_valueto_range (p_module mymodule,p_slist _range);
+win32_interface p_range adms_module_list_range_prepend_by_id_once_or_abort (p_module mymymodule,p_module mymodule,p_expression myinfexpr,p_expression mysupexpr);
+win32_interface void adms_module_valueto_attribute (p_module mymodule,p_slist _attribute);
+win32_interface void adms_module_valueto_evaluation (p_module mymodule,p_evaluation _evaluation);
+
+/* ------- analogfunction -------------- */
+#define adms_analogfunction(member) ((p_analogfunction)(member))
+struct s_analogfunction {
+  t_adms _adms;
+  p_module _module;
+  int _module_isdefault : 2;
+  p_lexval _lexval;
+  int _lexval_isdefault : 2;
+  admse _type;
+  int _type_isdefault : 2;
+  p_adms _tree;
+  int _tree_isdefault : 2;
+  p_slist _variable;
+  int _variable_isdefault : 2;
+  p_slist _attribute;
+  int _attribute_isdefault : 2;
+};
+win32_interface char*adms_analogfunction_uid (p_analogfunction myanalogfunction);
+win32_interface p_analogfunction adms_analogfunction_new (p_module mymodule,p_lexval mylexval);
+win32_interface void adms_analogfunction_free(p_analogfunction myanalogfunction);
+win32_interface void adms_analogfunction_valueto_module (p_analogfunction myanalogfunction,p_module _module);
+win32_interface void adms_analogfunction_valueto_lexval (p_analogfunction myanalogfunction,p_lexval _lexval);
+win32_interface void adms_analogfunction_valueto_type (p_analogfunction myanalogfunction,admse _type);
+win32_interface void adms_analogfunction_valueto_tree (p_analogfunction myanalogfunction,p_adms _tree);
+win32_interface void adms_analogfunction_valueto_variable (p_analogfunction myanalogfunction,p_slist _variable);
+win32_interface void adms_analogfunction_list_variable_prepend_once_or_abort (p_analogfunction mymyanalogfunction,p_variableprototype myvariable);
+win32_interface p_variableprototype adms_analogfunction_list_variable_lookup_by_id (p_analogfunction mymyanalogfunction,p_module mymodule,p_lexval mylexval,p_adms myblock);
+win32_interface void adms_analogfunction_valueto_attribute (p_analogfunction myanalogfunction,p_slist _attribute);
+
+/* ------- nodealias -------------- */
+#define adms_nodealias(member) ((p_nodealias)(member))
+struct s_nodealias {
+  t_adms _adms;
+  p_module _module;
+  int _module_isdefault : 2;
+  char* _name;
+  int _name_isdefault : 2;
+  p_node _node;
+  int _node_isdefault : 2;
+};
+win32_interface char*adms_nodealias_uid (p_nodealias mynodealias);
+win32_interface p_nodealias adms_nodealias_new (p_module mymodule,const char* myname);
+win32_interface void adms_nodealias_free(p_nodealias mynodealias);
+win32_interface void adms_nodealias_valueto_module (p_nodealias mynodealias,p_module _module);
+win32_interface void adms_nodealias_valueto_name (p_nodealias mynodealias,const char* _name);
+win32_interface void adms_nodealias_valueto_node (p_nodealias mynodealias,p_node _node);
+
+/* ------- node -------------- */
+#define adms_node(member) ((p_node)(member))
+struct s_node {
+  t_adms _adms;
+  p_module _module;
+  int _module_isdefault : 2;
+  char* _name;
+  int _name_isdefault : 2;
+  admse _direction;
+  int _direction_isdefault : 2;
+  p_discipline _discipline;
+  int _discipline_isdefault : 2;
+  admse _grounded;
+  int _grounded_isdefault : 2;
+  admse _location;
+  int _location_isdefault : 2;
+  p_slist _attribute;
+  int _attribute_isdefault : 2;
+};
+win32_interface char*adms_node_uid (p_node mynode);
+win32_interface p_node adms_node_new (p_module mymodule,const char* myname);
+win32_interface void adms_node_free(p_node mynode);
+win32_interface void adms_node_valueto_module (p_node mynode,p_module _module);
+win32_interface void adms_node_valueto_name (p_node mynode,const char* _name);
+win32_interface void adms_node_valueto_direction (p_node mynode,admse _direction);
+win32_interface void adms_node_valueto_discipline (p_node mynode,p_discipline _discipline);
+win32_interface void adms_node_valueto_grounded (p_node mynode,admse _grounded);
+win32_interface void adms_node_valueto_location (p_node mynode,admse _location);
+win32_interface void adms_node_valueto_attribute (p_node mynode,p_slist _attribute);
+
+/* ------- branchalias -------------- */
+#define adms_branchalias(member) ((p_branchalias)(member))
+struct s_branchalias {
+  t_adms _adms;
+  p_module _module;
+  int _module_isdefault : 2;
+  char* _name;
+  int _name_isdefault : 2;
+  p_branch _branch;
+  int _branch_isdefault : 2;
+};
+win32_interface char*adms_branchalias_uid (p_branchalias mybranchalias);
+win32_interface p_branchalias adms_branchalias_new (p_module mymodule,const char* myname);
+win32_interface void adms_branchalias_free(p_branchalias mybranchalias);
+win32_interface void adms_branchalias_valueto_module (p_branchalias mybranchalias,p_module _module);
+win32_interface void adms_branchalias_valueto_name (p_branchalias mybranchalias,const char* _name);
+win32_interface void adms_branchalias_valueto_branch (p_branchalias mybranchalias,p_branch _branch);
+
+/* ------- branch -------------- */
+#define adms_branch(member) ((p_branch)(member))
+struct s_branch {
+  t_adms _adms;
+  p_module _module;
+  int _module_isdefault : 2;
+  p_node _pnode;
+  int _pnode_isdefault : 2;
+  p_node _nnode;
+  int _nnode_isdefault : 2;
+  p_discipline _discipline;
+  int _discipline_isdefault : 2;
+  admse _grounded;
+  int _grounded_isdefault : 2;
+  p_slist _attribute;
+  int _attribute_isdefault : 2;
+};
+win32_interface char*adms_branch_uid (p_branch mybranch);
+win32_interface p_branch adms_branch_new (p_module mymodule,p_node mypnode,p_node mynnode);
+win32_interface void adms_branch_free(p_branch mybranch);
+win32_interface void adms_branch_valueto_module (p_branch mybranch,p_module _module);
+win32_interface void adms_branch_valueto_pnode (p_branch mybranch,p_node _pnode);
+win32_interface void adms_branch_valueto_nnode (p_branch mybranch,p_node _nnode);
+win32_interface void adms_branch_valueto_discipline (p_branch mybranch,p_discipline _discipline);
+win32_interface void adms_branch_valueto_grounded (p_branch mybranch,admse _grounded);
+win32_interface void adms_branch_valueto_attribute (p_branch mybranch,p_slist _attribute);
+
+/* ------- variableprototype -------------- */
+#define adms_variableprototype(member) ((p_variableprototype)(member))
+struct s_variableprototype {
+  t_adms _adms;
+  p_module _module;
+  int _module_isdefault : 2;
+  p_lexval _lexval;
+  int _lexval_isdefault : 2;
+  p_adms _block;
+  int _block_isdefault : 2;
+  admse _dependency;
+  int _dependency_isdefault : 2;
+  admse _static;
+  int _static_isdefault : 2;
+  admse _dynamic;
+  int _dynamic_isdefault : 2;
+  admse _sizetype;
+  int _sizetype_isdefault : 2;
+  admse _parametertype;
+  int _parametertype_isdefault : 2;
+  admse _type;
+  int _type_isdefault : 2;
+  p_number _maxsize;
+  int _maxsize_isdefault : 2;
+  p_number _minsize;
+  int _minsize_isdefault : 2;
+  admse _input;
+  int _input_isdefault : 2;
+  admse _output;
+  int _output_isdefault : 2;
+  admse _scope;
+  int _scope_isdefault : 2;
+  p_expression _default;
+  int _default_isdefault : 2;
+  admse _derivate;
+  int _derivate_isdefault : 2;
+  admse _isstate;
+  int _isstate_isdefault : 2;
+  admse _usedinmodel;
+  int _usedinmodel_isdefault : 2;
+  admse _usedininstance;
+  int _usedininstance_isdefault : 2;
+  admse _usedininitial_step;
+  int _usedininitial_step_isdefault : 2;
+  admse _usedinevaluate;
+  int _usedinevaluate_isdefault : 2;
+  admse _usedinnoise;
+  int _usedinnoise_isdefault : 2;
+  admse _usedinfinal;
+  int _usedinfinal_isdefault : 2;
+  admse _setinmodel;
+  int _setinmodel_isdefault : 2;
+  admse _setininstance;
+  int _setininstance_isdefault : 2;
+  admse _setininitial_step;
+  int _setininitial_step_isdefault : 2;
+  admse _setinevaluate;
+  int _setinevaluate_isdefault : 2;
+  admse _setinnoise;
+  int _setinnoise_isdefault : 2;
+  admse _setinfinal;
+  int _setinfinal_isdefault : 2;
+  admse _setingetprev;
+  int _setingetprev_isdefault : 2;
+  admse _TemperatureDependent;
+  int _TemperatureDependent_isdefault : 2;
+  admse _OPdependent;
+  int _OPdependent_isdefault : 2;
+  admse _insource;
+  int _insource_isdefault : 2;
+  int _vcount;
+  int _vcount_isdefault : 2;
+  p_assignment _vlast;
+  int _vlast_isdefault : 2;
+  p_slist _arraydefault;
+  int _arraydefault_isdefault : 2;
+  p_slist _attribute;
+  int _attribute_isdefault : 2;
+  p_slist _alias;
+  int _alias_isdefault : 2;
+  p_slist _range;
+  int _range_isdefault : 2;
+  p_slist _probe;
+  int _probe_isdefault : 2;
+  p_slist _ddxprobe;
+  int _ddxprobe_isdefault : 2;
+  p_slist _variable;
+  int _variable_isdefault : 2;
+  p_slist _assignment;
+  int _assignment_isdefault : 2;
+  p_slist _instance;
+  int _instance_isdefault : 2;
+};
+win32_interface char*adms_variableprototype_uid (p_variableprototype myvariableprototype);
+win32_interface p_variableprototype adms_variableprototype_new (p_module mymodule,p_lexval mylexval,p_adms myblock);
+win32_interface void adms_variableprototype_free(p_variableprototype myvariableprototype);
+win32_interface void adms_variableprototype_valueto_module (p_variableprototype myvariableprototype,p_module _module);
+win32_interface void adms_variableprototype_valueto_lexval (p_variableprototype myvariableprototype,p_lexval _lexval);
+win32_interface void adms_variableprototype_valueto_block (p_variableprototype myvariableprototype,p_adms _block);
+win32_interface void adms_variableprototype_valueto_dependency (p_variableprototype myvariableprototype,admse _dependency);
+win32_interface void adms_variableprototype_valueto_static (p_variableprototype myvariableprototype,admse _static);
+win32_interface void adms_variableprototype_valueto_dynamic (p_variableprototype myvariableprototype,admse _dynamic);
+win32_interface void adms_variableprototype_valueto_sizetype (p_variableprototype myvariableprototype,admse _sizetype);
+win32_interface void adms_variableprototype_valueto_parametertype (p_variableprototype myvariableprototype,admse _parametertype);
+win32_interface void adms_variableprototype_valueto_type (p_variableprototype myvariableprototype,admse _type);
+win32_interface void adms_variableprototype_valueto_maxsize (p_variableprototype myvariableprototype,p_number _maxsize);
+win32_interface void adms_variableprototype_valueto_minsize (p_variableprototype myvariableprototype,p_number _minsize);
+win32_interface void adms_variableprototype_valueto_input (p_variableprototype myvariableprototype,admse _input);
+win32_interface void adms_variableprototype_valueto_output (p_variableprototype myvariableprototype,admse _output);
+win32_interface void adms_variableprototype_valueto_scope (p_variableprototype myvariableprototype,admse _scope);
+win32_interface void adms_variableprototype_valueto_default (p_variableprototype myvariableprototype,p_expression _default);
+win32_interface void adms_variableprototype_valueto_derivate (p_variableprototype myvariableprototype,admse _derivate);
+win32_interface void adms_variableprototype_valueto_isstate (p_variableprototype myvariableprototype,admse _isstate);
+win32_interface void adms_variableprototype_valueto_usedinmodel (p_variableprototype myvariableprototype,admse _usedinmodel);
+win32_interface void adms_variableprototype_valueto_usedininstance (p_variableprototype myvariableprototype,admse _usedininstance);
+win32_interface void adms_variableprototype_valueto_usedininitial_step (p_variableprototype myvariableprototype,admse _usedininitial_step);
+win32_interface void adms_variableprototype_valueto_usedinevaluate (p_variableprototype myvariableprototype,admse _usedinevaluate);
+win32_interface void adms_variableprototype_valueto_usedinnoise (p_variableprototype myvariableprototype,admse _usedinnoise);
+win32_interface void adms_variableprototype_valueto_usedinfinal (p_variableprototype myvariableprototype,admse _usedinfinal);
+win32_interface void adms_variableprototype_valueto_setinmodel (p_variableprototype myvariableprototype,admse _setinmodel);
+win32_interface void adms_variableprototype_valueto_setininstance (p_variableprototype myvariableprototype,admse _setininstance);
+win32_interface void adms_variableprototype_valueto_setininitial_step (p_variableprototype myvariableprototype,admse _setininitial_step);
+win32_interface void adms_variableprototype_valueto_setinevaluate (p_variableprototype myvariableprototype,admse _setinevaluate);
+win32_interface void adms_variableprototype_valueto_setinnoise (p_variableprototype myvariableprototype,admse _setinnoise);
+win32_interface void adms_variableprototype_valueto_setinfinal (p_variableprototype myvariableprototype,admse _setinfinal);
+win32_interface void adms_variableprototype_valueto_setingetprev (p_variableprototype myvariableprototype,admse _setingetprev);
+win32_interface void adms_variableprototype_valueto_TemperatureDependent (p_variableprototype myvariableprototype,admse _TemperatureDependent);
+win32_interface void adms_variableprototype_valueto_OPdependent (p_variableprototype myvariableprototype,admse _OPdependent);
+win32_interface void adms_variableprototype_valueto_insource (p_variableprototype myvariableprototype,admse _insource);
+win32_interface void adms_variableprototype_valueto_vcount (p_variableprototype myvariableprototype,int _vcount);
+win32_interface void adms_variableprototype_valueto_vlast (p_variableprototype myvariableprototype,p_assignment _vlast);
+win32_interface void adms_variableprototype_valueto_arraydefault (p_variableprototype myvariableprototype,p_slist _arraydefault);
+win32_interface void adms_variableprototype_valueto_attribute (p_variableprototype myvariableprototype,p_slist _attribute);
+win32_interface void adms_variableprototype_valueto_alias (p_variableprototype myvariableprototype,p_slist _alias);
+win32_interface void adms_variableprototype_list_alias_prepend_once_or_abort (p_variableprototype mymyvariableprototype,const char* myalias);
+win32_interface void adms_variableprototype_valueto_range (p_variableprototype myvariableprototype,p_slist _range);
+win32_interface void adms_variableprototype_valueto_probe (p_variableprototype myvariableprototype,p_slist _probe);
+win32_interface void adms_variableprototype_valueto_ddxprobe (p_variableprototype myvariableprototype,p_slist _ddxprobe);
+win32_interface void adms_variableprototype_valueto_variable (p_variableprototype myvariableprototype,p_slist _variable);
+win32_interface void adms_variableprototype_valueto_assignment (p_variableprototype myvariableprototype,p_slist _assignment);
+win32_interface void adms_variableprototype_valueto_instance (p_variableprototype myvariableprototype,p_slist _instance);
+
+/* ------- source -------------- */
+#define adms_source(member) ((p_source)(member))
+struct s_source {
+  t_adms _adms;
+  p_module _module;
+  int _module_isdefault : 2;
+  p_branch _branch;
+  int _branch_isdefault : 2;
+  p_nature _nature;
+  int _nature_isdefault : 2;
+  p_discipline _discipline;
+  int _discipline_isdefault : 2;
+  admse _static;
+  int _static_isdefault : 2;
+  admse _dynamic;
+  int _dynamic_isdefault : 2;
+  admse _whitenoise;
+  int _whitenoise_isdefault : 2;
+  admse _flickernoise;
+  int _flickernoise_isdefault : 2;
+  admse _grounded;
+  int _grounded_isdefault : 2;
+  admse _switch;
+  int _switch_isdefault : 2;
+  admse _type;
+  int _type_isdefault : 2;
+  p_slist _probe;
+  int _probe_isdefault : 2;
+  p_slist _attribute;
+  int _attribute_isdefault : 2;
+};
+win32_interface char*adms_source_uid (p_source mysource);
+win32_interface p_source adms_source_new (p_module mymodule,p_branch mybranch,p_nature mynature);
+win32_interface void adms_source_free(p_source mysource);
+win32_interface void adms_source_valueto_module (p_source mysource,p_module _module);
+win32_interface void adms_source_valueto_branch (p_source mysource,p_branch _branch);
+win32_interface void adms_source_valueto_nature (p_source mysource,p_nature _nature);
+win32_interface void adms_source_valueto_discipline (p_source mysource,p_discipline _discipline);
+win32_interface void adms_source_valueto_static (p_source mysource,admse _static);
+win32_interface void adms_source_valueto_dynamic (p_source mysource,admse _dynamic);
+win32_interface void adms_source_valueto_whitenoise (p_source mysource,admse _whitenoise);
+win32_interface void adms_source_valueto_flickernoise (p_source mysource,admse _flickernoise);
+win32_interface void adms_source_valueto_grounded (p_source mysource,admse _grounded);
+win32_interface void adms_source_valueto_switch (p_source mysource,admse _switch);
+win32_interface void adms_source_valueto_type (p_source mysource,admse _type);
+win32_interface void adms_source_valueto_probe (p_source mysource,p_slist _probe);
+win32_interface void adms_source_valueto_attribute (p_source mysource,p_slist _attribute);
+
+/* ------- range -------------- */
+#define adms_range(member) ((p_range)(member))
+struct s_range {
+  t_adms _adms;
+  p_module _module;
+  int _module_isdefault : 2;
+  p_expression _infexpr;
+  int _infexpr_isdefault : 2;
+  p_expression _supexpr;
+  int _supexpr_isdefault : 2;
+  char* _name;
+  int _name_isdefault : 2;
+  admse _infboundtype;
+  int _infboundtype_isdefault : 2;
+  admse _supboundtype;
+  int _supboundtype_isdefault : 2;
+  admse _type;
+  int _type_isdefault : 2;
+};
+win32_interface char*adms_range_uid (p_range myrange);
+win32_interface p_range adms_range_new (p_module mymodule,p_expression myinfexpr,p_expression mysupexpr);
+win32_interface void adms_range_free(p_range myrange);
+win32_interface void adms_range_valueto_module (p_range myrange,p_module _module);
+win32_interface void adms_range_valueto_infexpr (p_range myrange,p_expression _infexpr);
+win32_interface void adms_range_valueto_supexpr (p_range myrange,p_expression _supexpr);
+win32_interface void adms_range_valueto_name (p_range myrange,const char* _name);
+win32_interface void adms_range_valueto_infboundtype (p_range myrange,admse _infboundtype);
+win32_interface void adms_range_valueto_supboundtype (p_range myrange,admse _supboundtype);
+win32_interface void adms_range_valueto_type (p_range myrange,admse _type);
+
+/* ------- jacobian -------------- */
+#define adms_jacobian(member) ((p_jacobian)(member))
+struct s_jacobian {
+  t_adms _adms;
+  p_module _module;
+  int _module_isdefault : 2;
+  p_node _row;
+  int _row_isdefault : 2;
+  p_node _column;
+  int _column_isdefault : 2;
+  admse _diagonal;
+  int _diagonal_isdefault : 2;
+  admse _static;
+  int _static_isdefault : 2;
+  admse _dynamic;
+  int _dynamic_isdefault : 2;
+};
+win32_interface char*adms_jacobian_uid (p_jacobian myjacobian);
+win32_interface p_jacobian adms_jacobian_new (p_module mymodule,p_node myrow,p_node mycolumn);
+win32_interface void adms_jacobian_free(p_jacobian myjacobian);
+win32_interface void adms_jacobian_valueto_module (p_jacobian myjacobian,p_module _module);
+win32_interface void adms_jacobian_valueto_row (p_jacobian myjacobian,p_node _row);
+win32_interface void adms_jacobian_valueto_column (p_jacobian myjacobian,p_node _column);
+win32_interface void adms_jacobian_valueto_diagonal (p_jacobian myjacobian,admse _diagonal);
+win32_interface void adms_jacobian_valueto_static (p_jacobian myjacobian,admse _static);
+win32_interface void adms_jacobian_valueto_dynamic (p_jacobian myjacobian,admse _dynamic);
+
+/* ------- analog -------------- */
+#define adms_analog(member) ((p_analog)(member))
+struct s_analog {
+  t_adms _adms;
+  p_adms _code;
+  int _code_isdefault : 2;
+};
+win32_interface char*adms_analog_uid (p_analog myanalog);
+win32_interface p_analog adms_analog_new (p_adms mycode);
+win32_interface void adms_analog_free(p_analog myanalog);
+win32_interface void adms_analog_valueto_code (p_analog myanalog,p_adms _code);
+
+/* ------- math -------------- */
+#define adms_math(member) ((p_math)(member))
+struct s_math {
+  t_adms _adms;
+  char* _name;
+  int _name_isdefault : 2;
+  double _value;
+  int _value_isdefault : 2;
+  admse _static;
+  int _static_isdefault : 2;
+  admse _dynamic;
+  int _dynamic_isdefault : 2;
+  admse _dependency;
+  int _dependency_isdefault : 2;
+  p_slist _attribute;
+  int _attribute_isdefault : 2;
+};
+win32_interface char*adms_math_uid (p_math mymath);
+win32_interface p_math adms_math_new (const char* myname);
+win32_interface void adms_math_free(p_math mymath);
+win32_interface void adms_math_valueto_name (p_math mymath,const char* _name);
+win32_interface void adms_math_valueto_value (p_math mymath,double _value);
+win32_interface void adms_math_valueto_static (p_math mymath,admse _static);
+win32_interface void adms_math_valueto_dynamic (p_math mymath,admse _dynamic);
+win32_interface void adms_math_valueto_dependency (p_math mymath,admse _dependency);
+win32_interface void adms_math_valueto_attribute (p_math mymath,p_slist _attribute);
+
+/* ------- string -------------- */
+#define adms_string(member) ((p_string)(member))
+struct s_string {
+  t_math _math;
+  char* _value;
+  int _value_isdefault : 2;
+};
+win32_interface char*adms_string_uid (p_string mystring);
+win32_interface p_string adms_string_new (const char* myvalue);
+win32_interface void adms_string_free(p_string mystring);
+win32_interface void adms_string_valueto_value (p_string mystring,const char* _value);
+
+/* ------- subexpression -------------- */
+#define adms_subexpression(member) ((p_subexpression)(member))
+struct s_subexpression {
+  t_math _math;
+  p_expression _expression;
+  int _expression_isdefault : 2;
+};
+win32_interface char*adms_subexpression_uid (p_subexpression mysubexpression);
+win32_interface p_subexpression adms_subexpression_new (p_expression myexpression);
+win32_interface void adms_subexpression_free(p_subexpression mysubexpression);
+win32_interface void adms_subexpression_valueto_expression (p_subexpression mysubexpression,p_expression _expression);
+
+/* ------- mapply_unary -------------- */
+#define adms_mapply_unary(member) ((p_mapply_unary)(member))
+struct s_mapply_unary {
+  t_subexpression _subexpression;
+  admse _name;
+  int _name_isdefault : 2;
+  p_adms _arg1;
+  int _arg1_isdefault : 2;
+};
+win32_interface char*adms_mapply_unary_uid (p_mapply_unary mymapply_unary);
+win32_interface p_mapply_unary adms_mapply_unary_new (admse myname,p_adms myarg1);
+win32_interface void adms_mapply_unary_free(p_mapply_unary mymapply_unary);
+win32_interface void adms_mapply_unary_valueto_name (p_mapply_unary mymapply_unary,admse _name);
+win32_interface void adms_mapply_unary_valueto_arg1 (p_mapply_unary mymapply_unary,p_adms _arg1);
+
+/* ------- mapply_binary -------------- */
+#define adms_mapply_binary(member) ((p_mapply_binary)(member))
+struct s_mapply_binary {
+  t_subexpression _subexpression;
+  admse _name;
+  int _name_isdefault : 2;
+  p_adms _arg1;
+  int _arg1_isdefault : 2;
+  p_adms _arg2;
+  int _arg2_isdefault : 2;
+};
+win32_interface char*adms_mapply_binary_uid (p_mapply_binary mymapply_binary);
+win32_interface p_mapply_binary adms_mapply_binary_new (admse myname,p_adms myarg1,p_adms myarg2);
+win32_interface void adms_mapply_binary_free(p_mapply_binary mymapply_binary);
+win32_interface void adms_mapply_binary_valueto_name (p_mapply_binary mymapply_binary,admse _name);
+win32_interface void adms_mapply_binary_valueto_arg1 (p_mapply_binary mymapply_binary,p_adms _arg1);
+win32_interface void adms_mapply_binary_valueto_arg2 (p_mapply_binary mymapply_binary,p_adms _arg2);
+
+/* ------- mapply_ternary -------------- */
+#define adms_mapply_ternary(member) ((p_mapply_ternary)(member))
+struct s_mapply_ternary {
+  t_subexpression _subexpression;
+  admse _name;
+  int _name_isdefault : 2;
+  p_adms _arg1;
+  int _arg1_isdefault : 2;
+  p_adms _arg2;
+  int _arg2_isdefault : 2;
+  p_adms _arg3;
+  int _arg3_isdefault : 2;
+};
+win32_interface char*adms_mapply_ternary_uid (p_mapply_ternary mymapply_ternary);
+win32_interface p_mapply_ternary adms_mapply_ternary_new (admse myname,p_adms myarg1,p_adms myarg2,p_adms myarg3);
+win32_interface void adms_mapply_ternary_free(p_mapply_ternary mymapply_ternary);
+win32_interface void adms_mapply_ternary_valueto_name (p_mapply_ternary mymapply_ternary,admse _name);
+win32_interface void adms_mapply_ternary_valueto_arg1 (p_mapply_ternary mymapply_ternary,p_adms _arg1);
+win32_interface void adms_mapply_ternary_valueto_arg2 (p_mapply_ternary mymapply_ternary,p_adms _arg2);
+win32_interface void adms_mapply_ternary_valueto_arg3 (p_mapply_ternary mymapply_ternary,p_adms _arg3);
+
+/* ------- number -------------- */
+#define adms_number(member) ((p_number)(member))
+struct s_number {
+  t_subexpression _subexpression;
+  p_lexval _lexval;
+  int _lexval_isdefault : 2;
+  admse _scalingunit;
+  int _scalingunit_isdefault : 2;
+};
+win32_interface char*adms_number_uid (p_number mynumber);
+win32_interface p_number adms_number_new (p_lexval mylexval);
+win32_interface void adms_number_free(p_number mynumber);
+win32_interface void adms_number_valueto_lexval (p_number mynumber,p_lexval _lexval);
+win32_interface void adms_number_valueto_scalingunit (p_number mynumber,admse _scalingunit);
+
+/* ------- function -------------- */
+#define adms_function(member) ((p_function)(member))
+struct s_function {
+  t_subexpression _subexpression;
+  p_lexval _lexval;
+  int _lexval_isdefault : 2;
+  int _unique_id;
+  int _unique_id_isdefault : 2;
+  p_analogfunction _definition;
+  int _definition_isdefault : 2;
+  admse _class;
+  int _class_isdefault : 2;
+  p_slist _arguments;
+  int _arguments_isdefault : 2;
+};
+win32_interface char*adms_function_uid (p_function myfunction);
+win32_interface p_function adms_function_new (p_lexval mylexval,int myunique_id);
+win32_interface void adms_function_free(p_function myfunction);
+win32_interface void adms_function_valueto_lexval (p_function myfunction,p_lexval _lexval);
+win32_interface void adms_function_valueto_unique_id (p_function myfunction,int _unique_id);
+win32_interface void adms_function_valueto_definition (p_function myfunction,p_analogfunction _definition);
+win32_interface void adms_function_valueto_class (p_function myfunction,admse _class);
+win32_interface void adms_function_valueto_arguments (p_function myfunction,p_slist _arguments);
+
+/* ------- variable -------------- */
+#define adms_variable(member) ((p_variable)(member))
+struct s_variable {
+  t_subexpression _subexpression;
+  p_variableprototype _prototype;
+  int _prototype_isdefault : 2;
+};
+win32_interface char*adms_variable_uid (p_variable myvariable);
+win32_interface p_variable adms_variable_new (p_variableprototype myprototype);
+win32_interface void adms_variable_free(p_variable myvariable);
+win32_interface void adms_variable_valueto_prototype (p_variable myvariable,p_variableprototype _prototype);
+
+/* ------- array -------------- */
+#define adms_array(member) ((p_array)(member))
+struct s_array {
+  t_subexpression _subexpression;
+  p_variable _variable;
+  int _variable_isdefault : 2;
+  p_adms _index;
+  int _index_isdefault : 2;
+};
+win32_interface char*adms_array_uid (p_array myarray);
+win32_interface p_array adms_array_new (p_variable myvariable,p_adms myindex);
+win32_interface void adms_array_free(p_array myarray);
+win32_interface void adms_array_valueto_variable (p_array myarray,p_variable _variable);
+win32_interface void adms_array_valueto_index (p_array myarray,p_adms _index);
+
+/* ------- probe -------------- */
+#define adms_probe(member) ((p_probe)(member))
+struct s_probe {
+  t_subexpression _subexpression;
+  p_module _module;
+  int _module_isdefault : 2;
+  p_branch _branch;
+  int _branch_isdefault : 2;
+  p_nature _nature;
+  int _nature_isdefault : 2;
+  admse _seen;
+  int _seen_isdefault : 2;
+  p_discipline _discipline;
+  int _discipline_isdefault : 2;
+  admse _grounded;
+  int _grounded_isdefault : 2;
+  p_source _source;
+  int _source_isdefault : 2;
+};
+win32_interface char*adms_probe_uid (p_probe myprobe);
+win32_interface p_probe adms_probe_new (p_module mymodule,p_branch mybranch,p_nature mynature);
+win32_interface void adms_probe_free(p_probe myprobe);
+win32_interface void adms_probe_valueto_module (p_probe myprobe,p_module _module);
+win32_interface void adms_probe_valueto_branch (p_probe myprobe,p_branch _branch);
+win32_interface void adms_probe_valueto_nature (p_probe myprobe,p_nature _nature);
+win32_interface void adms_probe_valueto_seen (p_probe myprobe,admse _seen);
+win32_interface void adms_probe_valueto_discipline (p_probe myprobe,p_discipline _discipline);
+win32_interface void adms_probe_valueto_grounded (p_probe myprobe,admse _grounded);
+win32_interface void adms_probe_valueto_source (p_probe myprobe,p_source _source);
+
+/* ------- expression -------------- */
+#define adms_expression(member) ((p_expression)(member))
+struct s_expression {
+  t_math _math;
+  p_module _module;
+  int _module_isdefault : 2;
+  p_adms _tree;
+  int _tree_isdefault : 2;
+  char* _hasspecialnumber;
+  int _hasspecialnumber_isdefault : 2;
+  admse _hasVoltageDependentFunction;
+  int _hasVoltageDependentFunction_isdefault : 2;
+  admse _infinity;
+  int _infinity_isdefault : 2;
+  admse _TemperatureDependent;
+  int _TemperatureDependent_isdefault : 2;
+  admse _value;
+  int _value_isdefault : 2;
+  p_slist _function;
+  int _function_isdefault : 2;
+  p_slist _probe;
+  int _probe_isdefault : 2;
+  p_slist _variable;
+  int _variable_isdefault : 2;
+};
+win32_interface char*adms_expression_uid (p_expression myexpression);
+win32_interface p_expression adms_expression_new (p_module mymodule,p_adms mytree);
+win32_interface void adms_expression_free(p_expression myexpression);
+win32_interface void adms_expression_valueto_module (p_expression myexpression,p_module _module);
+win32_interface void adms_expression_valueto_tree (p_expression myexpression,p_adms _tree);
+win32_interface void adms_expression_valueto_hasspecialnumber (p_expression myexpression,const char* _hasspecialnumber);
+win32_interface void adms_expression_valueto_hasVoltageDependentFunction (p_expression myexpression,admse _hasVoltageDependentFunction);
+win32_interface void adms_expression_valueto_infinity (p_expression myexpression,admse _infinity);
+win32_interface void adms_expression_valueto_TemperatureDependent (p_expression myexpression,admse _TemperatureDependent);
+win32_interface void adms_expression_valueto_value (p_expression myexpression,admse _value);
+win32_interface void adms_expression_valueto_function (p_expression myexpression,p_slist _function);
+win32_interface void adms_expression_valueto_probe (p_expression myexpression,p_slist _probe);
+win32_interface void adms_expression_valueto_variable (p_expression myexpression,p_slist _variable);
+
+/* ------- instance -------------- */
+#define adms_instance(member) ((p_instance)(member))
+struct s_instance {
+  t_math _math;
+  p_module _instantiator;
+  int _instantiator_isdefault : 2;
+  p_module _module;
+  int _module_isdefault : 2;
+  char* _name;
+  int _name_isdefault : 2;
+  p_slist _terminal;
+  int _terminal_isdefault : 2;
+  p_slist _parameterset;
+  int _parameterset_isdefault : 2;
+};
+win32_interface char*adms_instance_uid (p_instance myinstance);
+win32_interface p_instance adms_instance_new (p_module myinstantiator,p_module mymodule,const char* myname);
+win32_interface void adms_instance_free(p_instance myinstance);
+win32_interface void adms_instance_valueto_instantiator (p_instance myinstance,p_module _instantiator);
+win32_interface void adms_instance_valueto_module (p_instance myinstance,p_module _module);
+win32_interface void adms_instance_valueto_name (p_instance myinstance,const char* _name);
+win32_interface void adms_instance_valueto_terminal (p_instance myinstance,p_slist _terminal);
+win32_interface void adms_instance_list_terminal_prepend_once_or_abort (p_instance mymyinstance,p_instancenode myterminal);
+win32_interface void adms_instance_valueto_parameterset (p_instance myinstance,p_slist _parameterset);
+win32_interface void adms_instance_list_parameterset_prepend_once_or_abort (p_instance mymyinstance,p_instanceparameter myparameterset);
+
+/* ------- instanceparameter -------------- */
+#define adms_instanceparameter(member) ((p_instanceparameter)(member))
+struct s_instanceparameter {
+  t_math _math;
+  p_variableprototype _parameter;
+  int _parameter_isdefault : 2;
+  p_expression _value;
+  int _value_isdefault : 2;
+};
+win32_interface char*adms_instanceparameter_uid (p_instanceparameter myinstanceparameter);
+win32_interface p_instanceparameter adms_instanceparameter_new (p_variableprototype myparameter);
+win32_interface void adms_instanceparameter_free(p_instanceparameter myinstanceparameter);
+win32_interface void adms_instanceparameter_valueto_parameter (p_instanceparameter myinstanceparameter,p_variableprototype _parameter);
+win32_interface void adms_instanceparameter_valueto_value (p_instanceparameter myinstanceparameter,p_expression _value);
+
+/* ------- instancenode -------------- */
+#define adms_instancenode(member) ((p_instancenode)(member))
+struct s_instancenode {
+  t_math _math;
+  p_node _nodefrominstantiator;
+  int _nodefrominstantiator_isdefault : 2;
+  p_node _nodefrommodule;
+  int _nodefrommodule_isdefault : 2;
+};
+win32_interface char*adms_instancenode_uid (p_instancenode myinstancenode);
+win32_interface p_instancenode adms_instancenode_new (p_node mynodefrominstantiator,p_node mynodefrommodule);
+win32_interface void adms_instancenode_free(p_instancenode myinstancenode);
+win32_interface void adms_instancenode_valueto_nodefrominstantiator (p_instancenode myinstancenode,p_node _nodefrominstantiator);
+win32_interface void adms_instancenode_valueto_nodefrommodule (p_instancenode myinstancenode,p_node _nodefrommodule);
+
+/* ------- nilled -------------- */
+#define adms_nilled(member) ((p_nilled)(member))
+struct s_nilled {
+  t_math _math;
+  p_module _module;
+  int _module_isdefault : 2;
+  char* _name;
+  int _name_isdefault : 2;
+};
+win32_interface char*adms_nilled_uid (p_nilled mynilled);
+win32_interface p_nilled adms_nilled_new (p_module mymodule);
+win32_interface void adms_nilled_free(p_nilled mynilled);
+win32_interface void adms_nilled_valueto_module (p_nilled mynilled,p_module _module);
+win32_interface void adms_nilled_valueto_name (p_nilled mynilled,const char* _name);
+
+/* ------- assignment -------------- */
+#define adms_assignment(member) ((p_assignment)(member))
+struct s_assignment {
+  t_math _math;
+  p_module _module;
+  int _module_isdefault : 2;
+  p_adms _lhs;
+  int _lhs_isdefault : 2;
+  p_expression _rhs;
+  int _rhs_isdefault : 2;
+  p_lexval _lexval;
+  int _lexval_isdefault : 2;
+  char* _name;
+  int _name_isdefault : 2;
+  p_slist _attribute;
+  int _attribute_isdefault : 2;
+};
+win32_interface char*adms_assignment_uid (p_assignment myassignment);
+win32_interface p_assignment adms_assignment_new (p_module mymodule,p_adms mylhs,p_expression myrhs,p_lexval mylexval);
+win32_interface void adms_assignment_free(p_assignment myassignment);
+win32_interface void adms_assignment_valueto_module (p_assignment myassignment,p_module _module);
+win32_interface void adms_assignment_valueto_lhs (p_assignment myassignment,p_adms _lhs);
+win32_interface void adms_assignment_valueto_rhs (p_assignment myassignment,p_expression _rhs);
+win32_interface void adms_assignment_valueto_lexval (p_assignment myassignment,p_lexval _lexval);
+win32_interface void adms_assignment_valueto_name (p_assignment myassignment,const char* _name);
+win32_interface void adms_assignment_valueto_attribute (p_assignment myassignment,p_slist _attribute);
+
+/* ------- contribution -------------- */
+#define adms_contribution(member) ((p_contribution)(member))
+struct s_contribution {
+  t_math _math;
+  p_module _module;
+  int _module_isdefault : 2;
+  p_source _lhs;
+  int _lhs_isdefault : 2;
+  p_expression _rhs;
+  int _rhs_isdefault : 2;
+  p_lexval _lexval;
+  int _lexval_isdefault : 2;
+  p_branchalias _branchalias;
+  int _branchalias_isdefault : 2;
+  char* _name;
+  int _name_isdefault : 2;
+  admse _whitenoise;
+  int _whitenoise_isdefault : 2;
+  admse _flickernoise;
+  int _flickernoise_isdefault : 2;
+  p_slist _attribute;
+  int _attribute_isdefault : 2;
+};
+win32_interface char*adms_contribution_uid (p_contribution mycontribution);
+win32_interface p_contribution adms_contribution_new (p_module mymodule,p_source mylhs,p_expression myrhs,p_lexval mylexval);
+win32_interface void adms_contribution_free(p_contribution mycontribution);
+win32_interface void adms_contribution_valueto_module (p_contribution mycontribution,p_module _module);
+win32_interface void adms_contribution_valueto_lhs (p_contribution mycontribution,p_source _lhs);
+win32_interface void adms_contribution_valueto_rhs (p_contribution mycontribution,p_expression _rhs);
+win32_interface void adms_contribution_valueto_lexval (p_contribution mycontribution,p_lexval _lexval);
+win32_interface void adms_contribution_valueto_branchalias (p_contribution mycontribution,p_branchalias _branchalias);
+win32_interface void adms_contribution_valueto_name (p_contribution mycontribution,const char* _name);
+win32_interface void adms_contribution_valueto_whitenoise (p_contribution mycontribution,admse _whitenoise);
+win32_interface void adms_contribution_valueto_flickernoise (p_contribution mycontribution,admse _flickernoise);
+win32_interface void adms_contribution_valueto_attribute (p_contribution mycontribution,p_slist _attribute);
+
+/* ------- conditional -------------- */
+#define adms_conditional(member) ((p_conditional)(member))
+struct s_conditional {
+  t_math _math;
+  p_module _module;
+  int _module_isdefault : 2;
+  p_expression _if;
+  int _if_isdefault : 2;
+  p_adms _then;
+  int _then_isdefault : 2;
+  p_adms _else;
+  int _else_isdefault : 2;
+  char* _name;
+  int _name_isdefault : 2;
+};
+win32_interface char*adms_conditional_uid (p_conditional myconditional);
+win32_interface p_conditional adms_conditional_new (p_module mymodule,p_expression myif,p_adms mythen,p_adms myelse);
+win32_interface void adms_conditional_free(p_conditional myconditional);
+win32_interface void adms_conditional_valueto_module (p_conditional myconditional,p_module _module);
+win32_interface void adms_conditional_valueto_if (p_conditional myconditional,p_expression _if);
+win32_interface void adms_conditional_valueto_then (p_conditional myconditional,p_adms _then);
+win32_interface void adms_conditional_valueto_else (p_conditional myconditional,p_adms _else);
+win32_interface void adms_conditional_valueto_name (p_conditional myconditional,const char* _name);
+
+/* ------- forloop -------------- */
+#define adms_forloop(member) ((p_forloop)(member))
+struct s_forloop {
+  t_math _math;
+  p_module _module;
+  int _module_isdefault : 2;
+  p_assignment _initial;
+  int _initial_isdefault : 2;
+  p_expression _condition;
+  int _condition_isdefault : 2;
+  p_assignment _update;
+  int _update_isdefault : 2;
+  p_adms _forblock;
+  int _forblock_isdefault : 2;
+  char* _name;
+  int _name_isdefault : 2;
+};
+win32_interface char*adms_forloop_uid (p_forloop myforloop);
+win32_interface p_forloop adms_forloop_new (p_module mymodule,p_assignment myinitial,p_expression mycondition,p_assignment myupdate,p_adms myforblock);
+win32_interface void adms_forloop_free(p_forloop myforloop);
+win32_interface void adms_forloop_valueto_module (p_forloop myforloop,p_module _module);
+win32_interface void adms_forloop_valueto_initial (p_forloop myforloop,p_assignment _initial);
+win32_interface void adms_forloop_valueto_condition (p_forloop myforloop,p_expression _condition);
+win32_interface void adms_forloop_valueto_update (p_forloop myforloop,p_assignment _update);
+win32_interface void adms_forloop_valueto_forblock (p_forloop myforloop,p_adms _forblock);
+win32_interface void adms_forloop_valueto_name (p_forloop myforloop,const char* _name);
+
+/* ------- whileloop -------------- */
+#define adms_whileloop(member) ((p_whileloop)(member))
+struct s_whileloop {
+  t_math _math;
+  p_module _module;
+  int _module_isdefault : 2;
+  p_expression _while;
+  int _while_isdefault : 2;
+  p_adms _whileblock;
+  int _whileblock_isdefault : 2;
+  char* _name;
+  int _name_isdefault : 2;
+};
+win32_interface char*adms_whileloop_uid (p_whileloop mywhileloop);
+win32_interface p_whileloop adms_whileloop_new (p_module mymodule,p_expression mywhile,p_adms mywhileblock);
+win32_interface void adms_whileloop_free(p_whileloop mywhileloop);
+win32_interface void adms_whileloop_valueto_module (p_whileloop mywhileloop,p_module _module);
+win32_interface void adms_whileloop_valueto_while (p_whileloop mywhileloop,p_expression _while);
+win32_interface void adms_whileloop_valueto_whileblock (p_whileloop mywhileloop,p_adms _whileblock);
+win32_interface void adms_whileloop_valueto_name (p_whileloop mywhileloop,const char* _name);
+
+/* ------- case -------------- */
+#define adms_case(member) ((p_case)(member))
+struct s_case {
+  t_math _math;
+  p_module _module;
+  int _module_isdefault : 2;
+  p_expression _case;
+  int _case_isdefault : 2;
+  char* _name;
+  int _name_isdefault : 2;
+  p_slist _caseitem;
+  int _caseitem_isdefault : 2;
+};
+win32_interface char*adms_case_uid (p_case mycase);
+win32_interface p_case adms_case_new (p_module mymodule,p_expression mycase);
+win32_interface void adms_case_free(p_case mycase);
+win32_interface void adms_case_valueto_module (p_case mycase,p_module _module);
+win32_interface void adms_case_valueto_case (p_case mycase,p_expression _case);
+win32_interface void adms_case_valueto_name (p_case mycase,const char* _name);
+win32_interface void adms_case_valueto_caseitem (p_case mycase,p_slist _caseitem);
+
+/* ------- caseitem -------------- */
+#define adms_caseitem(member) ((p_caseitem)(member))
+struct s_caseitem {
+  t_math _math;
+  p_adms _code;
+  int _code_isdefault : 2;
+  admse _defaultcase;
+  int _defaultcase_isdefault : 2;
+  p_slist _condition;
+  int _condition_isdefault : 2;
+};
+win32_interface char*adms_caseitem_uid (p_caseitem mycaseitem);
+win32_interface p_caseitem adms_caseitem_new (p_adms mycode);
+win32_interface void adms_caseitem_free(p_caseitem mycaseitem);
+win32_interface void adms_caseitem_valueto_code (p_caseitem mycaseitem,p_adms _code);
+win32_interface void adms_caseitem_valueto_defaultcase (p_caseitem mycaseitem,admse _defaultcase);
+win32_interface void adms_caseitem_valueto_condition (p_caseitem mycaseitem,p_slist _condition);
+
+/* ------- blockvariable -------------- */
+#define adms_blockvariable(member) ((p_blockvariable)(member))
+struct s_blockvariable {
+  t_math _math;
+  p_block _block;
+  int _block_isdefault : 2;
+  p_slist _variable;
+  int _variable_isdefault : 2;
+};
+win32_interface char*adms_blockvariable_uid (p_blockvariable myblockvariable);
+win32_interface p_blockvariable adms_blockvariable_new (p_block myblock);
+win32_interface void adms_blockvariable_free(p_blockvariable myblockvariable);
+win32_interface void adms_blockvariable_valueto_block (p_blockvariable myblockvariable,p_block _block);
+win32_interface void adms_blockvariable_valueto_variable (p_blockvariable myblockvariable,p_slist _variable);
+win32_interface void adms_blockvariable_list_variable_prepend_once_or_abort (p_blockvariable mymyblockvariable,p_variable myvariable);
+
+/* ------- block -------------- */
+#define adms_block(member) ((p_block)(member))
+struct s_block {
+  t_math _math;
+  p_module _module;
+  int _module_isdefault : 2;
+  p_lexval _lexval;
+  int _lexval_isdefault : 2;
+  p_block _block;
+  int _block_isdefault : 2;
+  p_slist _item;
+  int _item_isdefault : 2;
+  p_slist _attribute;
+  int _attribute_isdefault : 2;
+  p_slist _variable;
+  int _variable_isdefault : 2;
+  p_slist _probe;
+  int _probe_isdefault : 2;
+};
+win32_interface char*adms_block_uid (p_block myblock);
+win32_interface p_block adms_block_new (p_module mymodule,p_lexval mylexval,p_block myblock,p_slist myitem);
+win32_interface void adms_block_free(p_block myblock);
+win32_interface void adms_block_valueto_module (p_block myblock,p_module _module);
+win32_interface void adms_block_valueto_lexval (p_block myblock,p_lexval _lexval);
+win32_interface void adms_block_valueto_block (p_block myblock,p_block _block);
+win32_interface void adms_block_valueto_item (p_block myblock,p_slist _item);
+win32_interface void adms_block_valueto_attribute (p_block myblock,p_slist _attribute);
+win32_interface void adms_block_valueto_variable (p_block myblock,p_slist _variable);
+win32_interface void adms_block_list_variable_prepend_once_or_abort (p_block mymyblock,p_variableprototype myvariable);
+win32_interface void adms_block_valueto_probe (p_block myblock,p_slist _probe);
+
+/* ------- callfunction -------------- */
+#define adms_callfunction(member) ((p_callfunction)(member))
+struct s_callfunction {
+  t_math _math;
+  p_module _module;
+  int _module_isdefault : 2;
+  p_function _function;
+  int _function_isdefault : 2;
+};
+win32_interface char*adms_callfunction_uid (p_callfunction mycallfunction);
+win32_interface p_callfunction adms_callfunction_new (p_module mymodule,p_function myfunction);
+win32_interface void adms_callfunction_free(p_callfunction mycallfunction);
+win32_interface void adms_callfunction_valueto_module (p_callfunction mycallfunction,p_module _module);
+win32_interface void adms_callfunction_valueto_function (p_callfunction mycallfunction,p_function _function);
+
+/* ------- evaluation -------------- */
+#define adms_evaluation(member) ((p_evaluation)(member))
+struct s_evaluation {
+  t_adms _adms;
+  p_slist _variable;
+  int _variable_isdefault : 2;
+};
+win32_interface char*adms_evaluation_uid (p_evaluation myevaluation);
+win32_interface p_evaluation adms_evaluation_new (void);
+win32_interface void adms_evaluation_free(p_evaluation myevaluation);
+win32_interface void adms_evaluation_valueto_variable (p_evaluation myevaluation,p_slist _variable);
+
+/* ------- text -------------- */
+#define adms_text(member) ((p_text)(member))
+struct s_text {
+  t_adms _adms;
+  p_transform _transform;
+  char* _value;
+  char* _aname;
+  int _admse;
+  p_slist _token;
+};
+win32_interface char*adms_text_uid (p_text mytext);
+win32_interface p_text adms_text_new (p_transform mytransform,const char* myvalue);
+win32_interface void adms_text_free(p_text mytext);
+win32_interface void adms_text_valueto_transform (p_text mytext,p_transform _transform);
+win32_interface void adms_text_valueto_value (p_text mytext,const char* _value);
+win32_interface void adms_text_valueto_aname (p_text mytext,const char* _aname);
+win32_interface void adms_text_valueto_admse (p_text mytext,int _admse);
+win32_interface void adms_text_valueto_token (p_text mytext,p_slist _token);
+
+/* ------- path -------------- */
+#define adms_path(member) ((p_path)(member))
+struct s_path {
+  t_adms _adms;
+  p_transform _transform;
+  p_text _text;
+  char* _aname;
+  char* _avalue;
+  p_transform _template;
+  int _keeplist;
+  char* _callbackname;
+  void* _callback;
+  p_slist _bar;
+  p_slist _arg;
+  p_slist _u;
+  p_slist _b;
+  p_slist _c;
+};
+win32_interface char*adms_path_uid (p_path mypath);
+win32_interface p_path adms_path_new (p_transform mytransform,p_text mytext);
+win32_interface void adms_path_free(p_path mypath);
+win32_interface void adms_path_valueto_transform (p_path mypath,p_transform _transform);
+win32_interface void adms_path_valueto_text (p_path mypath,p_text _text);
+win32_interface void adms_path_valueto_aname (p_path mypath,const char* _aname);
+win32_interface void adms_path_valueto_avalue (p_path mypath,const char* _avalue);
+win32_interface void adms_path_valueto_template (p_path mypath,p_transform _template);
+win32_interface void adms_path_valueto_keeplist (p_path mypath,int _keeplist);
+win32_interface void adms_path_valueto_callbackname (p_path mypath,const char* _callbackname);
+win32_interface void adms_path_valueto_callback (p_path mypath,void* _callback);
+win32_interface void adms_path_valueto_bar (p_path mypath,p_slist _bar);
+win32_interface void adms_path_valueto_arg (p_path mypath,p_slist _arg);
+win32_interface void adms_path_valueto_u (p_path mypath,p_slist _u);
+win32_interface void adms_path_valueto_b (p_path mypath,p_slist _b);
+win32_interface void adms_path_valueto_c (p_path mypath,p_slist _c);
+
+/* ------- ptraverse -------------- */
+#define adms_ptraverse(member) ((p_ptraverse)(member))
+struct s_ptraverse {
+  t_adms _adms;
+  p_transform _transform;
+  p_slist _admst;
+  p_slist _alladmst;
+  p_slist _aliasadmst;
+  int _position;
+  p_admst _a1;
+  p_admst _an;
+};
+win32_interface char*adms_ptraverse_uid (p_ptraverse myptraverse);
+win32_interface p_ptraverse adms_ptraverse_new (p_transform mytransform);
+win32_interface void adms_ptraverse_free(p_ptraverse myptraverse);
+win32_interface void adms_ptraverse_valueto_transform (p_ptraverse myptraverse,p_transform _transform);
+win32_interface void adms_ptraverse_valueto_admst (p_ptraverse myptraverse,p_slist _admst);
+win32_interface void adms_ptraverse_valueto_alladmst (p_ptraverse myptraverse,p_slist _alladmst);
+win32_interface void adms_ptraverse_valueto_aliasadmst (p_ptraverse myptraverse,p_slist _aliasadmst);
+win32_interface void adms_ptraverse_valueto_position (p_ptraverse myptraverse,int _position);
+win32_interface void adms_ptraverse_valueto_a1 (p_ptraverse myptraverse,p_admst _a1);
+win32_interface void adms_ptraverse_valueto_an (p_ptraverse myptraverse,p_admst _an);
+
+/* ------- pparse -------------- */
+#define adms_pparse(member) ((p_pparse)(member))
+struct s_pparse {
+  t_adms _adms;
+  p_transform _transform;
+  char* _aname;
+  char* _value;
+  p_path _path;
+  p_text _text;
+  const char* _position;
+  const char* _tkstart;
+  int _tklen;
+  admse _tkid;
+};
+win32_interface char*adms_pparse_uid (p_pparse mypparse);
+win32_interface p_pparse adms_pparse_new (p_transform mytransform,const char* myaname,const char* myvalue);
+win32_interface void adms_pparse_free(p_pparse mypparse);
+win32_interface void adms_pparse_valueto_transform (p_pparse mypparse,p_transform _transform);
+win32_interface void adms_pparse_valueto_aname (p_pparse mypparse,const char* _aname);
+win32_interface void adms_pparse_valueto_value (p_pparse mypparse,const char* _value);
+win32_interface void adms_pparse_valueto_path (p_pparse mypparse,p_path _path);
+win32_interface void adms_pparse_valueto_text (p_pparse mypparse,p_text _text);
+win32_interface void adms_pparse_valueto_position (p_pparse mypparse,const char* _position);
+win32_interface void adms_pparse_valueto_tkstart (p_pparse mypparse,const char* _tkstart);
+win32_interface void adms_pparse_valueto_tklen (p_pparse mypparse,int _tklen);
+win32_interface void adms_pparse_valueto_tkid (p_pparse mypparse,admse _tkid);
+
+/* ------- admst -------------- */
+#define adms_admst(member) ((p_admst)(member))
+struct s_admst {
+  t_adms _adms;
+  p_admst _previous;
+  p_admst _previouspath;
+  admse _pseudo;
+  union admsu _item;
+  void* _valueto;
+  int _position;
+  int _count;
+  int _hasnewbasicstring;
+  p_admst _original;
+  int _refd;
+  int _true;
+  p_admst _preva;
+  p_admst _nexta;
+  admse _selected;
+};
+win32_interface char*adms_admst_uid (p_admst myadmst);
+win32_interface p_admst adms_admst_new (p_admst myprevious,p_admst mypreviouspath,admse mypseudo);
+win32_interface void adms_admst_free(p_admst myadmst);
+win32_interface void adms_admst_valueto_previous (p_admst myadmst,p_admst _previous);
+win32_interface void adms_admst_valueto_previouspath (p_admst myadmst,p_admst _previouspath);
+win32_interface void adms_admst_valueto_pseudo (p_admst myadmst,admse _pseudo);
+win32_interface void adms_admst_valueto_item (p_admst myadmst,p_adms _item);
+win32_interface void adms_admst_valueto_valueto (p_admst myadmst,void* _valueto);
+win32_interface void adms_admst_valueto_position (p_admst myadmst,int _position);
+win32_interface void adms_admst_valueto_count (p_admst myadmst,int _count);
+win32_interface void adms_admst_valueto_hasnewbasicstring (p_admst myadmst,int _hasnewbasicstring);
+win32_interface void adms_admst_valueto_original (p_admst myadmst,p_admst _original);
+win32_interface void adms_admst_valueto_refd (p_admst myadmst,int _refd);
+win32_interface void adms_admst_valueto_true (p_admst myadmst,int _true);
+win32_interface void adms_admst_valueto_preva (p_admst myadmst,p_admst _preva);
+win32_interface void adms_admst_valueto_nexta (p_admst myadmst,p_admst _nexta);
+win32_interface void adms_admst_valueto_selected (p_admst myadmst,admse _selected);
+
+/* ------- transform -------------- */
+#define adms_transform(member) ((p_transform)(member))
+struct s_transform {
+  t_adms _adms;
+  char* _fullfilename;
+  char* _basefilename;
+  int _l;
+  char* _name;
+  p_transform _parent;
+  void* _callback;
+  char* _id;
+  p_path _pathselect;
+  p_path _pathpath;
+  p_path _pathinto;
+  p_path _pathtest;
+  p_path _pathlist;
+  p_text _textselect;
+  p_text _textdatatype;
+  p_text _textmatch;
+  p_text _textrequired;
+  p_text _textseparator;
+  p_text _textfrom;
+  p_text _textto;
+  p_text _textlist;
+  p_text _textname;
+  p_text _textformat;
+  p_text _textfile;
+  p_text _textversion;
+  p_text _textonduplicate;
+  p_text _textprobe;
+  p_text _textstring;
+  p_slist _templates;
+  p_slist _textarguments;
+  p_path _pathoncompare;
+  p_slist _pathinputs;
+  p_slist _attribute;
+  p_slist _children;
+};
+win32_interface char*adms_transform_uid (p_transform mytransform);
+win32_interface p_transform adms_transform_new (const char* myfullfilename,const char* mybasefilename,int myl,const char* myname,p_transform myparent);
+win32_interface void adms_transform_free(p_transform mytransform);
+win32_interface void adms_transform_valueto_fullfilename (p_transform mytransform,const char* _fullfilename);
+win32_interface void adms_transform_valueto_basefilename (p_transform mytransform,const char* _basefilename);
+win32_interface void adms_transform_valueto_l (p_transform mytransform,int _l);
+win32_interface void adms_transform_valueto_name (p_transform mytransform,const char* _name);
+win32_interface void adms_transform_valueto_parent (p_transform mytransform,p_transform _parent);
+win32_interface void adms_transform_valueto_callback (p_transform mytransform,void* _callback);
+win32_interface void adms_transform_valueto_id (p_transform mytransform,const char* _id);
+win32_interface void adms_transform_valueto_pathselect (p_transform mytransform,p_path _pathselect);
+win32_interface void adms_transform_valueto_pathpath (p_transform mytransform,p_path _pathpath);
+win32_interface void adms_transform_valueto_pathinto (p_transform mytransform,p_path _pathinto);
+win32_interface void adms_transform_valueto_pathtest (p_transform mytransform,p_path _pathtest);
+win32_interface void adms_transform_valueto_pathlist (p_transform mytransform,p_path _pathlist);
+win32_interface void adms_transform_valueto_textselect (p_transform mytransform,p_text _textselect);
+win32_interface void adms_transform_valueto_textdatatype (p_transform mytransform,p_text _textdatatype);
+win32_interface void adms_transform_valueto_textmatch (p_transform mytransform,p_text _textmatch);
+win32_interface void adms_transform_valueto_textrequired (p_transform mytransform,p_text _textrequired);
+win32_interface void adms_transform_valueto_textseparator (p_transform mytransform,p_text _textseparator);
+win32_interface void adms_transform_valueto_textfrom (p_transform mytransform,p_text _textfrom);
+win32_interface void adms_transform_valueto_textto (p_transform mytransform,p_text _textto);
+win32_interface void adms_transform_valueto_textlist (p_transform mytransform,p_text _textlist);
+win32_interface void adms_transform_valueto_textname (p_transform mytransform,p_text _textname);
+win32_interface void adms_transform_valueto_textformat (p_transform mytransform,p_text _textformat);
+win32_interface void adms_transform_valueto_textfile (p_transform mytransform,p_text _textfile);
+win32_interface void adms_transform_valueto_textversion (p_transform mytransform,p_text _textversion);
+win32_interface void adms_transform_valueto_textonduplicate (p_transform mytransform,p_text _textonduplicate);
+win32_interface void adms_transform_valueto_textprobe (p_transform mytransform,p_text _textprobe);
+win32_interface void adms_transform_valueto_textstring (p_transform mytransform,p_text _textstring);
+win32_interface void adms_transform_valueto_templates (p_transform mytransform,p_slist _templates);
+win32_interface void adms_transform_valueto_textarguments (p_transform mytransform,p_slist _textarguments);
+win32_interface void adms_transform_valueto_pathoncompare (p_transform mytransform,p_path _pathoncompare);
+win32_interface void adms_transform_valueto_pathinputs (p_transform mytransform,p_slist _pathinputs);
+win32_interface void adms_transform_valueto_attribute (p_transform mytransform,p_slist _attribute);
+win32_interface void adms_transform_valueto_children (p_transform mytransform,p_slist _children);
+
+/* ------- itransform -------------- */
+#define adms_itransform(member) ((p_itransform)(member))
+struct s_itransform {
+  t_adms _adms;
+  p_transform _template;
+  p_transform _originalcall;
+  p_slist _variable;
+  p_slist _return;
+};
+win32_interface char*adms_itransform_uid (p_itransform myitransform);
+win32_interface p_itransform adms_itransform_new (p_transform mytemplate);
+win32_interface void adms_itransform_free(p_itransform myitransform);
+win32_interface void adms_itransform_valueto_template (p_itransform myitransform,p_transform _template);
+win32_interface void adms_itransform_valueto_originalcall (p_itransform myitransform,p_transform _originalcall);
+win32_interface void adms_itransform_valueto_variable (p_itransform myitransform,p_slist _variable);
+win32_interface void adms_itransform_valueto_return (p_itransform myitransform,p_slist _return);
+
+/* ------- return -------------- */
+#define adms_return(member) ((p_return)(member))
+struct s_return {
+  t_adms _adms;
+  char* _name;
+  char* _value;
+};
+win32_interface char*adms_return_uid (p_return myreturn);
+win32_interface p_return adms_return_new (const char* myname,const char* myvalue);
+win32_interface void adms_return_free(p_return myreturn);
+win32_interface void adms_return_valueto_name (p_return myreturn,const char* _name);
+win32_interface void adms_return_valueto_value (p_return myreturn,const char* _value);
+
+/* ------- attribute -------------- */
+#define adms_attribute(member) ((p_attribute)(member))
+struct s_attribute {
+  t_adms _adms;
+  char* _name;
+  int _name_isdefault : 2;
+  p_adms _value;
+  int _value_isdefault : 2;
+};
+win32_interface char*adms_attribute_uid (p_attribute myattribute);
+win32_interface p_attribute adms_attribute_new (const char* myname);
+win32_interface void adms_attribute_free(p_attribute myattribute);
+win32_interface void adms_attribute_valueto_name (p_attribute myattribute,const char* _name);
+win32_interface void adms_attribute_valueto_value (p_attribute myattribute,p_adms _value);
+
+/* ------- admstvariable -------------- */
+#define adms_admstvariable(member) ((p_admstvariable)(member))
+struct s_admstvariable {
+  t_adms _adms;
+  char* _name;
+  p_slist _value;
+};
+win32_interface char*adms_admstvariable_uid (p_admstvariable myadmstvariable);
+win32_interface p_admstvariable adms_admstvariable_new (const char* myname);
+win32_interface void adms_admstvariable_free(p_admstvariable myadmstvariable);
+win32_interface void adms_admstvariable_valueto_name (p_admstvariable myadmstvariable,const char* _name);
+win32_interface void adms_admstvariable_valueto_value (p_admstvariable myadmstvariable,p_slist _value);
+
+/* ------- lexval -------------- */
+#define adms_lexval(member) ((p_lexval)(member))
+struct s_lexval {
+  t_adms _adms;
+  char* _string;
+  int _string_isdefault : 2;
+  char* _f;
+  int _f_isdefault : 2;
+  int _l;
+  int _l_isdefault : 2;
+  int _c;
+  int _c_isdefault : 2;
+};
+win32_interface char*adms_lexval_uid (p_lexval mylexval);
+win32_interface p_lexval adms_lexval_new (const char* mystring,const char* myf,int myl,int myc);
+win32_interface void adms_lexval_free(p_lexval mylexval);
+win32_interface void adms_lexval_valueto_string (p_lexval mylexval,const char* _string);
+win32_interface void adms_lexval_valueto_f (p_lexval mylexval,const char* _f);
+win32_interface void adms_lexval_valueto_l (p_lexval mylexval,int _l);
+win32_interface void adms_lexval_valueto_c (p_lexval mylexval,int _c);
+
+/* ------- yaccval -------------- */
+#define adms_yaccval(member) ((p_yaccval)(member))
+struct s_yaccval {
+  t_adms _adms;
+  char* _filename;
+  p_adms _usrdata;
+};
+win32_interface char*adms_yaccval_uid (p_yaccval myyaccval);
+win32_interface p_yaccval adms_yaccval_new (const char* myfilename);
+win32_interface void adms_yaccval_free(p_yaccval myyaccval);
+win32_interface void adms_yaccval_valueto_filename (p_yaccval myyaccval,const char* _filename);
+win32_interface void adms_yaccval_valueto_usrdata (p_yaccval myyaccval,p_adms _usrdata);
+
+win32_interface admse ns_strtoe (const char* tk);
+win32_interface char* ns_etostr (admse e);
+win32_interface p_new adms_admsxml_new (p_ktransform mytransform,const char* ename);
+win32_interface p_cmp adms_admsxml_cmp (p_kadmst myadmst);
+#endif /* adms_h */
diff --git a/admsXml/adms.implicit.xml b/admsXml/adms.implicit.xml
new file mode 100644
index 0000000..9fde33e
--- /dev/null
+++ b/admsXml/adms.implicit.xml
@@ -0,0 +1,724 @@
+<?xml version="1.0" encoding="ISO-8859-1"?>
+<!-- built-in implicit transforms @SVN_VERSION=1115@ -->
+<!-- this file is saved in local working directory, then downloaded prior any -e xml files -->
+<!-- unless flag '-x' is specified -->
+
+<!DOCTYPE admst SYSTEM "admst.dtd">
+<admst version="2.3.0" xmlns:admst="http://mot-adms.sourceforge.net/adms/admst.xml">
+
+<admst:variable name="globalmodule"/>
+<admst:variable name="globalassignment"/>
+<admst:variable name="globalcontribution"/>
+<admst:variable name="globalexpression"/>
+<admst:variable name="globalopdependent" string="no"/>
+<admst:variable name="globalpartitionning"/>
+<admst:variable name="globaltreenode"/>
+
+<admst:template match="e:dependency">
+  <admst:choose>
+    <admst:when test="[datatypename='expression']">
+      <admst:variable name="globalexpression" path="."/>
+      <admst:apply-templates select="tree" match="e:dependency"/>
+      <admst:variable name="globalexpression"/>
+      <admst:value-to select="dependency" path="tree/dependency"/>
+      <admst:value-to select="dependency[.='constant' and $globalopdependent='yes']" string="noprobe"/>
+      <admst:choose>
+        <admst:when test="[nilled($globalpartitionning)]">
+          <admst:value-to select="variable/usedinevaluate" string="yes"/>
+        </admst:when>
+        <admst:when test="[$globalpartitionning='initial_model']">
+          <admst:value-to select="variable/usedinmodel" string="yes"/>
+        </admst:when>
+        <admst:when test="[$globalpartitionning='initial_instance']">
+          <admst:value-to select="variable/usedininstance" string="yes"/>
+        </admst:when>
+        <admst:when test="[$globalpartitionning='initial_step']">
+          <admst:value-to select="variable/usedininitial_step" string="yes"/>
+        </admst:when>
+        <admst:when test="[$globalpartitionning='noise']">
+          <admst:value-to select="variable/usedinnoise" string="yes"/>
+        </admst:when>
+        <admst:when test="[$globalpartitionning='final_step']">
+          <admst:value-to select="variable/usedinfinal" string="yes"/>
+        </admst:when>
+      </admst:choose>
+      <admst:reverse select="function"/>
+      <admst:value-to select="math/value" path="tree/math/value"/>
+    </admst:when>
+    <admst:when test="[datatypename='probe']">
+      <admst:value-to select="dependency" string="linear"/>
+      <admst:push into="$globalexpression/probe" select="." onduplicate="ignore"/>
+    </admst:when>
+    <admst:when test="[datatypename='array']">
+      <admst:apply-templates select="variable" match="e:dependency"/>
+      <admst:value-to select="dependency" path="variable/dependency"/>
+    </admst:when>
+    <admst:when test="[datatypename='variable']">
+      <admst:push into="$globalexpression/probe" select="probe" onduplicate="ignore"/>
+      <admst:push into="$globalexpression/variable" select="." onduplicate="ignore"/>
+      <admst:push into="$globaltreenode/@variable" select="." onduplicate="ignore"/>
+      <admst:value-to select="dependency" path="prototype/dependency"/>
+    </admst:when>
+    <admst:when test="[datatypename='mapply_unary']">
+      <admst:apply-templates select="arg1" match="e:dependency"/>
+      <admst:value-to select="dependency" path="arg1/dependency"/>
+      <admst:value-to select="[name='minus']/math/value" string="-%(arg1/math/value)"/>
+    </admst:when>
+    <admst:when test="[datatypename='mapply_binary']">
+      <admst:apply-templates select="arg1|arg2" match="e:dependency"/>
+      <!--
+        +:             -:            *:            /:                                                          
+          c  np l  nl    c  np l  nl   c  np l  nl   c  np nl nl                                                          
+          np np l  nl    np np l  nl   np np l  nl   np np nl nl                                                          
+          l  l  l  nl    l  l  l  nl   l  l  nl nl   l  l  nl nl                                                          
+          nl nl nl nl    nl nl nl nl   nl nl nl nl   nl nl nl nl                                                          
+      -->
+      <admst:choose>
+        <admst:when test="[arg1/dependency='nonlinear' or arg2/dependency='nonlinear']">
+          <admst:value-to select="dependency" string="nonlinear"/>
+        </admst:when>
+        <admst:when test="[name='multtime' and (arg1|arg2)/dependency=('linear'|'linear')]">
+          <admst:value-to select="dependency" string="nonlinear"/>
+        </admst:when>
+        <admst:when test="[name='multdiv' and arg2/dependency='linear']">
+          <admst:value-to select="dependency" string="nonlinear"/>
+        </admst:when>
+        <admst:when test="[arg1/dependency='linear' or arg2/dependency='linear']">
+          <admst:value-to select="dependency" string="linear"/>
+        </admst:when>
+        <admst:when test="[arg1/dependency='noprobe' or arg2/dependency='noprobe']">
+          <admst:value-to select="dependency" string="noprobe"/>
+        </admst:when>
+        <admst:otherwise>
+          <admst:value-to select="dependency" string="constant"/>
+        </admst:otherwise>
+      </admst:choose>
+    </admst:when>
+    <admst:when test="[datatypename='mapply_ternary']">
+      <admst:apply-templates select="arg1|arg2|arg3" match="e:dependency"/>
+      <!--
+          ?: - arg1=c -  - arg1!=c -                                                            
+             c  np l  nl np np l  nl                                                             
+             np np l  nl np np l  nl                                                             
+             l  l  l  nl l  l  l  nl                                                             
+             nl nl nl nl nl nl nl nl                                                             
+      -->
+      <admst:choose>
+        <admst:when test="[arg2/dependency='nonlinear' or arg3/dependency='nonlinear']">
+          <admst:value-to select="dependency" string="nonlinear"/>
+        </admst:when>
+        <admst:when test="[arg2/dependency='linear' or arg3/dependency='linear']">
+          <admst:value-to select="dependency" string="linear"/>
+        </admst:when>
+        <admst:when test="[arg1/dependency!='constant' or arg2/dependency='noprobe' or arg3/dependency='noprobe']">
+          <admst:value-to select="dependency" string="noprobe"/>
+        </admst:when>
+        <admst:otherwise>
+          <admst:value-to select="dependency" string="constant"/>
+        </admst:otherwise>
+      </admst:choose>
+    </admst:when>
+    <admst:when test="[datatypename='function']">
+      <admst:choose>
+        <admst:when test="[name='ddx' or name='\$ddx' or name='\$derivate']">
+          <admst:value-to select="$globalassignment/lhs/derivate" string="yes"/>
+          <admst:apply-templates select="arguments[1]" match="e:dependency"/>
+          <admst:push into="$globalexpression/variable/ddxprobe" select="arguments[2]" onduplicate="ignore"/>
+          <admst:choose>
+            <admst:when test="arguments[1]/dependency[.='constant' or .='noprobe']">
+              <admst:value-to select="dependency" path="arguments[1]/dependency"/>
+            </admst:when>
+            <admst:otherwise>
+              <admst:value-to select="dependency" string="nonlinear"/>
+            </admst:otherwise>
+          </admst:choose>
+        </admst:when>
+        <admst:otherwise>
+          <admst:apply-templates select="arguments" match="e:dependency"/>
+          <admst:choose>
+            <admst:when test="[(name='ddt' or name='\$ddt')or(name='idt' or name='\$idt')]">
+              <admst:value-to select="dependency" string="nonlinear"/>
+            </admst:when>
+            <admst:when test="arguments/dependency[.='linear' or .='nonlinear']">
+              <admst:value-to select="dependency" string="nonlinear"/>
+            </admst:when>
+            <admst:when test="arguments/dependency[.='noprobe']">
+              <admst:value-to select="dependency" string="noprobe"/>
+            </admst:when>
+            <admst:otherwise>
+              <admst:value-to select="dependency" string="constant"/>
+            </admst:otherwise>
+          </admst:choose>
+        </admst:otherwise>
+      </admst:choose>
+      <admst:value-to test="dependency[.='linear' or .='nonlinear']" select="$globalexpression/hasVoltageDependentFunction" string="yes"/>
+      <admst:value-to select="subexpression/expression" path="$globalexpression"/>
+      <!-- fixme: these flags should be set after all contribs are transformed to ...<+F(...); canonical form -->
+      <admst:value-to test="[name='ddt']" select="$globalcontribution/#fixmedynamic" path="1"/>
+      <admst:value-to test="[name='white_noise']" select="$globalcontribution/#fixmewhitenoise" path="1"/>
+      <admst:value-to test="[name='flicker_noise']" select="$globalcontribution/#fixmeflickernoise" path="1"/>
+      <admst:value-to test="[name='\$temperature']" select="$globalassignment/lhs/TemperatureDependent" string="yes"/>
+      <admst:choose>
+        <admst:when test="[name='\$temperature' or name='\$vt' or name='idt' or name='ddt' or
+                           name='\$param_given' or name='\$given' or name='ddx' or name='flicker_noise' or name='white_noise']"/>
+        <admst:when
+          test="[name='analysis' or name='\$analysis' or name='\$simparam' or name='simparam' or
+          name='\$shrinka' or name='\$shrinkl' or name='\$limexp' or
+          name='abs' or name='acos' or name='asin' or name='atan' or name='cos' or name='cosh' or name='exp' or
+          name='hypot' or name='limexp' or name='ln' or name='log' or name='max' or name='min' or name='pow' or
+          name='sin' or name='sinh' or name='sqrt' or name='tan' or name='tanh' or name='atanh' or name='floor' or name='ceil']">
+          <admst:push into="$globalexpression/function" select="."/>
+          <admst:value-to select="class" string="builtin"/>
+        </admst:when>
+        <admst:otherwise>
+          <admst:assert test="[exists(definition)]" format="%(lexval/(f|':'|l|':'|c)): analog function '%(name)' is undefined\n"/>
+        </admst:otherwise>
+      </admst:choose>
+    </admst:when>
+    <admst:when test="[datatypename='number']">
+      <admst:choose>
+        <admst:when test="[scalingunit='1']">
+          <admst:value-to select="math/value" path="value"/>
+        </admst:when>
+        <admst:when test="[scalingunit='E']">
+          <admst:value-to select="math/value" string="%(value)e+18"/>
+        </admst:when>
+        <admst:when test="[scalingunit='P']">
+          <admst:value-to select="math/value" string="%(value)e+15"/>
+        </admst:when>
+        <admst:when test="[scalingunit='T']">
+          <admst:value-to select="math/value" string="%(value)e+12"/>
+        </admst:when>
+        <admst:when test="[scalingunit='G']">
+          <admst:value-to select="math/value" string="%(value)e+9"/>
+        </admst:when>
+        <admst:when test="[scalingunit='M']">
+          <admst:value-to select="math/value" string="%(value)e+6"/>
+        </admst:when>
+        <admst:when test="[scalingunit='k']">
+          <admst:value-to select="math/value" string="%(value)e+3"/>
+        </admst:when>
+        <admst:when test="[scalingunit='h']">
+          <admst:value-to select="math/value" string="%(value)e+2"/>
+        </admst:when>
+        <admst:when test="[scalingunit='D']">
+          <admst:value-to select="math/value" string="%(value)e+1"/>
+        </admst:when>
+        <admst:when test="[scalingunit='d']">
+          <admst:value-to select="math/value" string="%(value)e-1"/>
+        </admst:when>
+        <admst:when test="[scalingunit='c']">
+          <admst:value-to select="math/value" string="%(value)e-2"/>
+        </admst:when>
+        <admst:when test="[scalingunit='m']">
+          <admst:value-to select="math/value" string="%(value)e-3"/>
+        </admst:when>
+        <admst:when test="[scalingunit='u']">
+          <admst:value-to select="math/value" string="%(value)e-6"/>
+        </admst:when>
+        <admst:when test="[scalingunit='n']">
+          <admst:value-to select="math/value" string="%(value)e-9"/>
+        </admst:when>
+        <admst:when test="[scalingunit='A']">
+          <admst:value-to select="math/value" string="%(value)e-10"/>
+        </admst:when>
+        <admst:when test="[scalingunit='p']">
+          <admst:value-to select="math/value" string="%(value)e-12"/>
+        </admst:when>
+        <admst:when test="[scalingunit='f']">
+          <admst:value-to select="math/value" string="%(value)e-15"/>
+        </admst:when>
+        <admst:when test="[scalingunit='a']">
+          <admst:value-to select="math/value" string="%(value)e-18"/>
+        </admst:when>
+        <admst:otherwise>
+          <admst:error format="%(lexval/(f|':'|l|':'|c)): unit not supported: %(scalingunit)\n"/>
+        </admst:otherwise>
+      </admst:choose>
+    </admst:when>
+    <admst:when test="[datatypename='string']"/>
+    <admst:otherwise>
+      <admst:fatal format="%(datatypename): case not handled\n"/>
+    </admst:otherwise>
+  </admst:choose>
+</admst:template>
+
+<admst:template match="dependency">
+  <admst:choose>
+    <admst:when test="[datatypename='callfunction']">
+      <admst:apply-templates select="function/arguments" match="e:dependency"/>
+      <admst:value-to select="dependency" path="function/dependency"/>
+    </admst:when>
+    <admst:when test="[datatypename='whileloop']">
+      <!--
+        w, logic(D,while.d)            , d=wb.d                                               
+              c                 !c                           
+           c  wb,w,!c?(D,wb,!D) D,wb,!D                                                                                        
+           !c wb                wb                                                                                             
+      -->
+      <admst:apply-templates select="while" match="e:dependency"/>
+      <admst:apply-templates select="[$globalopdependent='yes' or while/dependency='constant']/whileblock" match="dependency"/>
+      <admst:if test="[$globalopdependent='no']">
+        <admst:apply-templates select="while[dependency='constant']" match="e:dependency"/>
+        <admst:if test="[while/dependency!='constant']">
+          <admst:variable name="globalopdependent" string="yes"/>
+          <admst:apply-templates select="whileblock" match="dependency"/>
+          <admst:variable name="globalopdependent" string="no"/>
+        </admst:if>
+      </admst:if>
+      <!--
+          wl:  w=c          w!=c
+               c  np l  nl  np np l  nl                                                             
+               np np l  nl  np np l  nl                                                             
+               l  l  l  nl  l  l  l  nl                                                             
+               nl nl nl nl  nl nl nl nl                                                             
+      -->
+      <admst:choose>
+        <admst:when test="[whileblock/dependency='nonlinear']">
+          <admst:value-to select="dependency" string="nonlinear"/>
+        </admst:when>
+        <admst:when test="[whileblock/dependency='linear']">
+          <admst:value-to select="dependency" string="linear"/>
+        </admst:when>
+        <admst:when test="[while/dependency!='constant' or whileblock/dependency='noprobe']">
+          <admst:value-to select="dependency" string="noprobe"/>
+        </admst:when>
+        <admst:otherwise>
+          <admst:value-to select="dependency" string="constant"/>
+        </admst:otherwise>
+      </admst:choose>
+    </admst:when>
+    <admst:when test="[datatypename='forloop']">
+      <admst:apply-templates select="initial|update" match="dependency"/>
+      <admst:apply-templates select="condition" match="e:dependency"/>
+      <admst:apply-templates select="[$globalopdependent='yes' or nilled((initial|condition|update)/[dependency!='constant'])]/forblock" match="dependency"/>
+      <admst:if test="[$globalopdependent='no']">
+        <admst:apply-templates select="(initial|update)/[dependency='constant']" match="dependency"/>
+        <admst:apply-templates select="condition[dependency='constant']" match="e:dependency"/>
+        <admst:if test="[condition/dependency!='constant' or initial/dependency!='constant' or update/dependency!='constant']">
+          <admst:variable name="globalopdependent" string="yes"/>
+          <admst:apply-templates select="forblock" match="dependency"/>
+          <admst:variable name="globalopdependent" string="no"/>
+        </admst:if>
+      </admst:if>
+      <!--
+          fl:  f=c          f!=c
+               c  np l  nl  np np l  nl                                                             
+               np np l  nl  np np l  nl                                                             
+               l  l  l  nl  l  l  l  nl                                                             
+               nl nl nl nl  nl nl nl nl                                                             
+      -->
+      <admst:choose>
+        <admst:when test="[forblock/dependency='nonlinear']">
+          <admst:value-to select="dependency" string="nonlinear"/>
+        </admst:when>
+        <admst:when test="[forblock/dependency='linear']">
+          <admst:value-to select="dependency" string="linear"/>
+        </admst:when>
+        <admst:when test="[(condition!='constant' or initial!='constant' or update!='constant') or forblock/dependency='noprobe']">
+          <admst:value-to select="dependency" string="noprobe"/>
+        </admst:when>
+        <admst:otherwise>
+          <admst:value-to select="dependency" string="constant"/>
+        </admst:otherwise>
+      </admst:choose>
+    </admst:when>
+    <admst:when test="[datatypename='case']">
+      <admst:variable name="globaltreenode" path="case"/>
+      <admst:apply-templates select="case" match="e:dependency"/>
+      <admst:variable name="globaltreenode"/>
+      <admst:for-each select="caseitem">
+        <admst:for-each select="condition">
+          <admst:variable name="globaltreenode" path="."/>
+          <admst:apply-templates select="." match="e:dependency"/>
+          <admst:variable name="globaltreenode"/>
+        </admst:for-each>
+        <admst:apply-templates select="code" match="dependency"/>
+      </admst:for-each>
+    </admst:when>
+    <admst:when test="[datatypename='conditional']">
+      <admst:push into="$globalmodule/conditional" select="."/>
+      <admst:apply-templates select="if" match="e:dependency"/>
+      <admst:choose>
+        <admst:when test="[$globalopdependent='no' and if/dependency!='constant']">
+          <admst:variable name="globalopdependent" string="yes"/>
+          <admst:apply-templates select="then|else" match="dependency"/>
+          <admst:variable name="globalopdependent" string="no"/>
+        </admst:when>
+        <admst:otherwise>
+          <admst:apply-templates select="then|else" match="dependency"/>
+        </admst:otherwise>
+      </admst:choose>
+      <!--
+          cd:  i=c          i!=c
+               c  np l  nl  np np l  nl                                                             
+               np np l  nl  np np l  nl                                                             
+               l  l  l  nl  l  l  l  nl                                                             
+               nl nl nl nl  nl nl nl nl                                                             
+      -->
+      <admst:choose>
+        <admst:when test="[then/dependency='nonlinear' or else/dependency='nonlinear']">
+          <admst:value-to select="dependency" string="nonlinear"/>
+        </admst:when>
+        <admst:when test="[then/dependency='linear' or else/dependency='linear']">
+          <admst:value-to select="dependency" string="linear"/>
+        </admst:when>
+        <admst:when test="[if/dependency!='constant' or then/dependency='noprobe' or else/dependency='noprobe']">
+          <admst:value-to select="dependency" string="noprobe"/>
+        </admst:when>
+        <admst:otherwise>
+          <admst:value-to select="dependency" string="constant"/>
+        </admst:otherwise>
+      </admst:choose>
+    </admst:when>
+    <admst:when test="[datatypename='contribution']">
+      <admst:variable name="globalcontribution" path="."/>
+      <admst:apply-templates select="rhs" match="e:dependency"/>
+      <admst:variable name="globalcontribution"/>
+      <admst:push into="lhs/probe" select="rhs/probe" onduplicate="ignore"/>
+      <admst:value-to select="dependency" string="nonlinear"/>
+    </admst:when>
+    <admst:when test="[datatypename='assignment']">
+      <admst:choose>
+        <admst:when test="[lhs/datatypename='array']">
+          <admst:variable name="lhs" path="lhs/variable"/>
+        </admst:when>
+        <admst:otherwise>
+          <admst:variable name="lhs" path="lhs"/>
+        </admst:otherwise>
+      </admst:choose>
+      <admst:choose>
+        <admst:when test="[$globalpartitionning='initial_model']">
+          <admst:value-to select="$lhs/setinmodel" string="yes"/>
+        </admst:when>
+        <admst:when test="[$globalpartitionning='initial_instance']">
+          <admst:value-to select="$lhs/setininstance" string="yes"/>
+        </admst:when>
+        <admst:when test="[$globalpartitionning='initial_step']">
+          <admst:value-to select="$lhs/setininitial_step" string="yes"/>
+        </admst:when>
+        <admst:when test="[$globalpartitionning='noise']">
+          <admst:value-to select="$lhs/setinnoise" string="yes"/>
+        </admst:when>
+        <admst:when test="[$globalpartitionning='final_step']">
+          <admst:value-to select="$lhs/setinfinal" string="yes"/>
+        </admst:when>
+        <admst:otherwise>
+          <admst:value-to select="$lhs/setinevaluate" string="yes"/>
+        </admst:otherwise>
+      </admst:choose>
+      <admst:variable name="globalassignment" path="."/>
+      <admst:apply-templates select="rhs" match="e:dependency"/>
+      <admst:variable name="globalassignment"/>
+      <admst:push into="$lhs/variable" select="rhs/variable" onduplicate="ignore"/>
+      <admst:value-to test="rhs/variable[TemperatureDependent='yes']" select="$lhs/TemperatureDependent" string="yes"/>
+      <!--
+        d=rhs.d,d=(c and D)?np
+        l(l,r,$globalopdependent)
+        $globalopdependent='no'  $globalopdependent='yes'
+        c  np l  nl               np np l  nl
+        np np l  nl               np np l  nl
+        l  l  l  nl               l  l  l  nl
+        nl nl nl nl               nl nl nl nl
+      -->
+      <admst:value-to select="dependency" path="rhs/dependency"/>
+      <admst:choose>
+        <admst:when test="[$lhs/prototype/dependency='nonlinear' or rhs/dependency='nonlinear']">
+          <admst:value-to select="$lhs/(.|prototype)/dependency" string="nonlinear"/>
+        </admst:when>
+        <admst:when test="[$lhs/prototype/dependency='linear' or rhs/dependency='linear']">
+          <admst:value-to select="$lhs/(.|prototype)/dependency" string="linear"/>
+        </admst:when>
+        <admst:when test="[$globalopdependent='yes' or $lhs/prototype/dependency='noprobe' or rhs/dependency='noprobe']">
+          <admst:value-to select="$lhs/(.|prototype)/dependency" string="noprobe"/>
+        </admst:when>
+        <admst:otherwise>
+          <admst:value-to select="$lhs/(.|prototype)/dependency" string="constant"/>
+        </admst:otherwise>
+      </admst:choose>      
+      <admst:push into="$lhs/probe" select="rhs/probe" onduplicate="ignore"/>
+    </admst:when>
+    <admst:when test="[datatypename='block']">
+      <admst:reverse select="item|variable"/>
+      <admst:variable name="forcepartitionning" string="yes"/>
+      <admst:choose>
+        <admst:when test="[name='initial_model']">
+          <admst:variable name="globalpartitionning" string="initial_model"/>
+        </admst:when>
+        <admst:when test="[name='initial_instance']">
+          <admst:variable name="globalpartitionning" string="initial_instance"/>
+        </admst:when>
+        <admst:when test="[name='initial_step']">
+          <admst:variable name="globalpartitionning" string="initial_step"/>
+        </admst:when>
+        <admst:when test="[name='noise']">
+          <admst:variable name="globalpartitionning" string="noise"/>
+        </admst:when>
+        <admst:when test="[name='final_step']">
+          <admst:variable name="globalpartitionning" string="final_step"/>
+        </admst:when>
+        <admst:otherwise>
+          <admst:variable name="forcepartitionning" string="no"/>
+        </admst:otherwise>
+      </admst:choose>
+      <admst:apply-templates select="item" match="dependency"/>
+      <admst:variable test="[$forcepartitionning='yes']" name="globalpartitionning"/>
+      <admst:choose>
+        <admst:when test="item[dependency='nonlinear']">
+          <admst:value-to select="dependency" string="nonlinear"/>
+        </admst:when>
+        <admst:when test="item[dependency='linear']">
+          <admst:value-to select="dependency" string="linear"/>
+        </admst:when>
+        <admst:when test="item[dependency='noprobe']">
+          <admst:value-to select="dependency" string="noprobe"/>
+        </admst:when>
+        <admst:otherwise>
+          <admst:value-to select="dependency" string="constant"/>
+        </admst:otherwise>
+      </admst:choose>
+    </admst:when>
+    <admst:when test="[datatypename='nilled']"/>
+    <admst:when test="[datatypename='blockvariable']"/>
+    <admst:otherwise>
+      <admst:fatal format="%(datatypename): case not handled\n"/>
+    </admst:otherwise>
+  </admst:choose>
+</admst:template>
+
+<admst:template match="adms.implicit.xml.module">
+  <admst:variable name="globalmodule" path="."/>
+  <admst:reverse select="analogfunction|analogfunction/variable|node|variable
+                         |instance|instance/terminal|contribution|forloop|whileloop|case|callfunction"/>
+  <admst:value-to select="node[location='ground']/grounded" string="yes"/>
+  <admst:for-each select="branch">
+    <admst:value-to select="discipline" path="pnode/discipline"/>
+    <admst:value-to select="[nnode/grounded='yes']/grounded" string="yes"/>
+    <!-- FIXME: check that pnode/nnode have same discipline -->
+  </admst:for-each>
+  <admst:for-each select="source|probe">
+    <admst:value-to select="discipline" path="branch/discipline"/>
+    <admst:value-to select="[branch/grounded='yes']/grounded" string="yes"/>
+  </admst:for-each>
+  <admst:for-each select="instance">
+    <admst:push into="module/instantiator" select=".." onduplicate="ignore"/>
+    <admst:assert select="terminal" test="nodefrommodule[location='external']"
+                  format="%(../instantiator).%(nodefrommodule/name): is not terminal\n"/>
+    <admst:assert select="parameterset" test="parameter[input='yes']"
+                  format="%(../instantiator).%(parameter/name): is not input parameter\n"/>
+  </admst:for-each>
+  <admst:apply-templates select="(analogfunction/tree)|(analog/code)" match="dependency"/>
+  <admst:for-each select="variable">
+    <admst:value-to select="[dependency!='constant']/OPdependent" string="yes"/>
+    <admst:value-to select="output" path="input"/>
+    <admst:for-each select="attribute">
+      <admst:value-to select="[name='type' and value='instance']/../parametertype" string="instance"/>
+      <admst:value-to select="[name='ask' and value='yes']/../output" string="yes"/>
+      <admst:value-to select="[name='ask' and value='no']/../output" string="no"/>
+    </admst:for-each>
+    <admst:apply-templates select="default" match="e:dependency"/>
+    <admst:value-to
+       select="default[exists(tree[datatypename='mapply_unary' and name='minus' and arg1/datatypename='number' and arg1/value='1.0'])]/value"
+       string="is_neg_one"/>
+    <admst:value-to select="default[exists(tree[datatypename='number' and value='0.0'])]/value" string="is_zero"/>
+    <admst:value-to select="default[exists(tree[datatypename='number' and value='1.0'])]/value" string="is_one"/>
+    <admst:value-to select="scope"
+      test="[(input='yes' and parametertype='model') or (input='no' and (setinmodel='yes' or usedinmodel='yes')
+        and (setininstance='yes' or setininitial_step='yes' or setinevaluate='yes' or setinnoise='yes' or setinfinal='yes'
+        or usedininstance='yes' or usedininitial_step='yes' or usedinevaluate='yes' or usedinnoise='yes' or usedinfinal='yes' or output='yes'))]"
+      string="global_model"/>
+    <admst:value-to select="scope"
+      test="[(input='yes' and parametertype='instance') or
+      (input='no' and setinmodel='no' and usedinmodel='no' and
+        (((setininstance='yes' or usedininstance='yes') and (setininitial_step='yes' or setinevaluate='yes' or setinnoise='yes' or setinfinal='yes'
+        or usedininitial_step='yes' or usedinevaluate='yes' or usedinnoise='yes' or usedinfinal='yes' or output='yes'))
+        or ((setininitial_step='yes' or usedininitial_step='yes') and (setinevaluate='yes' or setinnoise='yes' or setinfinal='yes'
+        or usedinevaluate='yes' or usedinnoise='yes' or usedinfinal='yes' or output='yes'))
+        or ((setinevaluate='yes' or usedinevaluate='yes') and (setinnoise='yes' or setinfinal='yes'
+          or usedinnoise='yes' or usedinfinal='yes' or output='yes'))
+        or ((setinnoise='yes' or usedinnoise='yes') and (setinfinal='yes' or usedinfinal='yes' or output='yes'))
+        or ((setinfinal='yes' or usedinfinal='yes') and output='yes')
+        or (setinmodel='no' and setininstance='no' and setinevaluate='no' and setinnoise='no' and setinfinal='no' and
+            usedinmodel='no' and usedininstance='no' and usedinevaluate='no' and usedinnoise='no' and usedinfinal='no' and output='yes')
+      ))]"
+      string="global_instance"/>
+    <admst:value-to select="isstate"
+      test="[input='no' and scope='global_instance' and setininitial_step='yes' and (setinevaluate='yes' or usedinevaluate='yes')]"
+      string="yes"/>
+  </admst:for-each>
+  <admst:template match="modify">
+    <admst:choose>
+      <admst:when test="[datatypename='block']">
+        <admst:apply-templates select="reverse(item)" match="modify"/>
+        <admst:value-to test="item[#modifys=1]" select="#modifys" path="1"/>
+        <admst:value-to test="item[#modifyd=1]" select="#modifyd" path="1"/>
+        <admst:value-to test="item[#modifyn=1]" select="#modifyn" path="1"/>
+        <admst:value-to test="item[#modifyc=1]" select="#modifyc" path="1"/>
+      </admst:when>
+      <admst:when test="[datatypename='conditional']">
+        <admst:apply-templates select="else|then" match="modify"/>
+        <admst:value-to test="[then/#modifys=1 or else/#modifys=1]" select="#modifys|if/#modifys|if/variable/#modifys" path="1"/>
+        <admst:value-to test="[then/#modifyd=1 or else/#modifyd=1]" select="#modifyd|if/#modifyd|if/variable/#modifyd" path="1"/>
+        <admst:value-to test="[then/#modifyn=1 or else/#modifyn=1]" select="#modifyn|if/#modifyn|if/variable/#modifyn" path="1"/>
+        <admst:value-to test="[then/#modifyc=1 or else/#modifyc=1]" select="#modifyc|if/#modifyc|if/variable/#modifyc" path="1"/>
+      </admst:when>
+      <admst:when test="[datatypename='whileloop']">
+        <admst:apply-templates select="whileblock" match="modify"/>
+        <admst:value-to test="[whileblock/#modifys=1]" select="#modifys|while/#modifys|while/variable/#modifys" path="1"/>
+        <admst:value-to test="[whileblock/#modifyd=1]" select="#modifyd|while/#modifyd|while/variable/#modifyd" path="1"/>
+        <admst:value-to test="[whileblock/#modifyn=1]" select="#modifyn|while/#modifyn|while/variable/#modifyn" path="1"/>
+        <admst:value-to test="[whileblock/#modifyc=1]" select="#modifyc|while/#modifyc|while/variable/#modifyc" path="1"/>
+        <admst:apply-templates select="whileblock" match="modify"/>
+      </admst:when>
+      <admst:when test="[datatypename='forloop']">
+        <admst:choose>
+          <admst:when test="[update/lhs/datatypename='array']">
+            <admst:variable name="lhs" path="update/lhs/variable"/>
+          </admst:when>
+          <admst:otherwise>
+            <admst:variable name="lhs" path="update/lhs"/>
+          </admst:otherwise>
+        </admst:choose>
+        <admst:apply-templates select="forblock" match="modify"/>
+        <admst:value-to test="[forblock/#modifys=1]" select="#modifys|(condition|update)/#modifys|(condition|update/rhs)/($lhs|variable)/#modifys" path="1"/>
+        <admst:value-to test="[forblock/#modifyd=1]" select="#modifyd|(condition|update)/#modifyd|(condition|update/rhs)/($lhs|variable)/#modifyd" path="1"/>
+        <admst:value-to test="[forblock/#modifyn=1]" select="#modifyn|(condition|update)/#modifyn|(condition|update/rhs)/($lhs|variable)/#modifyn" path="1"/>
+        <admst:value-to test="[forblock/#modifyc=1]" select="#modifyc|(condition|update)/#modifyc|(condition|update/rhs)/($lhs|variable)/#modifyc" path="1"/>
+        <admst:apply-templates select="forblock" match="modify"/>
+      </admst:when>
+      <admst:when test="[datatypename='case']">
+        <admst:apply-templates select="caseitem/code" match="modify"/>
+        <admst:for-each select="caseitem">
+          <admst:value-to test="[code/#modifys=1 and defaultcase='no']" select="#modifys|condition/#modifys|condition/@variable/#modifys" path="1"/>
+          <admst:value-to test="[code/#modifyd=1 and defaultcase='no']" select="#modifyd|condition/#modifyd|condition/@variable/#modifyd" path="1"/>
+          <admst:value-to test="[code/#modifyn=1 and defaultcase='no']" select="#modifyn|condition/#modifyn|condition/@variable/#modifyn" path="1"/>
+          <admst:value-to test="[code/#modifyc=1 and defaultcase='no']" select="#modifyc|condition/#modifyc|condition/@variable/#modifyc" path="1"/>
+        </admst:for-each>
+        <admst:value-to test="caseitem[#modifys=1]" select="#modifys|case/@variable/#modifys" path="1"/>
+        <admst:value-to test="caseitem[#modifyd=1]" select="#modifyd|case/@variable/#modifyd" path="1"/>
+        <admst:value-to test="caseitem[#modifyn=1]" select="#modifyn|case/@variable/#modifyn" path="1"/>
+        <admst:value-to test="caseitem[#modifyc=1]" select="#modifyc|case/@variable/#modifyc" path="1"/>
+      </admst:when>
+      <admst:when test="[datatypename='assignment']">
+        <admst:choose>
+          <admst:when test="[lhs/datatypename='array']">
+            <admst:variable name="lhs" path="lhs/variable"/>
+          </admst:when>
+          <admst:otherwise>
+            <admst:variable name="lhs" path="lhs"/>
+          </admst:otherwise>
+        </admst:choose>
+        <admst:value-to test="$lhs[exists(prototype/instance[#modifys=1])]" select="#modifys|rhs/#modifys|rhs/variable/#modifys" path="1"/>
+        <admst:value-to test="$lhs[exists(prototype/instance[#modifyd=1])]" select="#modifyd|rhs/#modifys|rhs/variable/#modifyd" path="1"/>
+        <admst:value-to test="$lhs[exists(prototype/instance[#modifyn=1])]" select="#modifyn|rhs/#modifys|rhs/variable/#modifyn" path="1"/>
+        <admst:value-to test="$lhs[exists(prototype/instance[#modifyc=1])]" select="#modifyc|rhs/#modifys|rhs/variable/#modifyc" path="1"/>
+        <admst:value-to test="$lhs/ddxprobe" select="#ddxprobe" string="yes"/>
+        <admst:push into="rhs/variable/ddxprobe" select="$lhs/ddxprobe" onduplicate="ignore"/>
+      </admst:when>
+      <admst:when test="[datatypename='contribution']">
+        <admst:choose>
+          <admst:when test="[#fixmedynamic=1]">
+            <admst:value-to select="#modifyd|(lhs|rhs|rhs/variable)/#modifyd" path="1"/>
+          </admst:when>
+          <admst:when test="[#fixmeflickernoise=1]">
+            <admst:value-to select="flickernoise|lhs/flickernoise" string="yes"/>
+            <admst:value-to select="#modifyn|(lhs|rhs|rhs/variable)/#modifyn" path="1"/>
+          </admst:when>
+          <admst:when test="[#fixmewhitenoise=1]">
+            <admst:value-to select="whitenoise|lhs/whitenoise" string="yes"/>
+            <admst:value-to select="#modifyn|(lhs|rhs|rhs/variable)/#modifyn" path="1"/>
+          </admst:when>
+          <admst:otherwise>
+            <admst:value-to select="#modifys|(lhs|rhs|rhs/variable)/#modifys" path="1"/>
+          </admst:otherwise>
+        </admst:choose>
+      </admst:when>
+      <admst:when test="[datatypename='blockvariable']">
+      </admst:when>
+      <admst:when test="[datatypename='nilled']"/>
+      <admst:when test="[datatypename='callfunction']">
+        <admst:value-to select="#modifyc|function/arguments/variable/#modifyc" path="1"/>
+      </admst:when>
+      <admst:otherwise><admst:fatal format="%(datatypename): case not handled\n"/></admst:otherwise>
+    </admst:choose>
+  </admst:template>
+  <admst:apply-templates select="analog/code" match="modify"/>
+  <admst:push into="@analogitems" select="assignment|assignment/rhs|contribution|contribution/rhs|block|forloop|whileloop|case|callfunction|conditional|conditional/if"/>
+  <admst:value-to select="@analogitems[#modifys=1 or #modifyn=1 or #modifyc=1]/static" string="yes"/>
+  <admst:value-to select="@analogitems[#modifys!=1 and #modifyn!=1 and #modifyc!=1]/dynamic" string="yes"/>
+
+  <admst:value-to select="(.|blockvariable)/variable[exists(instance[#modifys=1])]/#modifys" path="1"/>
+  <admst:value-to select="(.|blockvariable)/variable[exists(instance[#modifyd=1])]/#modifyd" path="1"/>
+  <admst:value-to select="(.|blockvariable)/variable[exists(instance[#modifyn=1])]/#modifyn" path="1"/>
+  <admst:value-to select="(.|blockvariable)/variable[exists(instance[#modifyc=1])]/#modifyc" path="1"/>
+
+  <admst:value-to select="(.|blockvariable)/variable[exists(instance[#modifys=1 or #modifyd=1 or #modifyn=1])]/insource" string="yes"/>
+  <admst:value-to select="(.|blockvariable)/variable[exists(instance[#modifys=1 or #modifyn=1 or #modifyc=1])]/static" string="yes"/>
+  <admst:value-to select="(.|blockvariable)/variable[exists(instance[#modifyd=1])]/dynamic" string="yes"/>
+  <admst:value-to select="source[#modifys=1 or #modifyn=1]/static" string="yes"/>
+  <admst:value-to select="source[#modifyd=1]/dynamic" string="yes"/>
+
+  <!-- jacobian -->
+  <admst:for-each select="contribution">
+    <admst:variable name="mycontribution" path="."/>
+    <admst:variable name="mysource" path="lhs"/>
+    <admst:push into="$mysource/attribute" select="attribute"/>
+    <!-- case I() <+ .V(). -->
+    <admst:for-each select="rhs/probe[(nature=discipline/potential)and($mysource/nature=$mysource/discipline/flow)]">
+      <admst:new datatype="jacobian" inputs="module,$mysource/branch/pnode,branch/pnode">
+        <admst:push into="/@jacobian" select="." onduplicate="ignore"/>
+      </admst:new>
+      <admst:new test="branch/nnode[grounded='no']" datatype="jacobian" inputs="module,$mysource/branch/pnode,branch/nnode">
+        <admst:push into="/@jacobian" select="." onduplicate="ignore"/>
+      </admst:new>
+      <admst:new test="$mysource/branch/nnode[grounded='no']" datatype="jacobian" inputs="module,$mysource/branch/nnode,branch/pnode">
+        <admst:push into="/@jacobian" select="." onduplicate="ignore"/>
+        <admst:new test="../branch/nnode[grounded='no']" datatype="jacobian" inputs="module,$mysource/branch/nnode,../branch/nnode">
+          <admst:push into="/@jacobian" select="." onduplicate="ignore"/>
+        </admst:new>
+      </admst:new>
+      <admst:for-each select="/reverse(@jacobian)">
+        <admst:choose>
+          <admst:when test="module/jacobian[row=../../row and column=../../column]">
+            <admst:variable name="jacobian" path="module/jacobian[row=../../row and column=../../column]"/>
+          </admst:when>
+          <admst:otherwise>
+            <admst:variable name="jacobian" path="."/>
+            <admst:value-to select="[row=column]/diagonal" string="yes"/>
+            <admst:push into="module/jacobian" select="."/>
+          </admst:otherwise>
+        </admst:choose>
+        <admst:value-to test="$mycontribution[dynamic='yes']" select="$jacobian/dynamic" string="yes"/>
+        <admst:value-to test="$mycontribution[dynamic='no']" select="$jacobian/static" string="yes"/>
+      </admst:for-each>
+      <admst:value-to select="/@jacobian"/>
+    </admst:for-each>
+  </admst:for-each>
+  <admst:reverse select="jacobian"/>
+</admst:template>
+
+<admst:template match="adms.implicit.xml.nature">
+  <admst:reverse select="/argv|/discipline|/nature"/>
+  <admst:for-each select="/nature">
+    <admst:value-to select="ddt_nature" path="/nature[name='%(../../ddt_name)']"/>
+    <admst:value-to select="idt_nature" path="/nature[name='%(../../idt_name)']"/>
+  </admst:for-each>
+</admst:template>
+
+<admst:template match="adms.implicit.xml">
+  <admst:apply-templates select="." match="adms.implicit.xml.nature"/>
+  <admst:apply-templates select="/module" match="adms.implicit.xml.module"/>
+</admst:template>
+
+<admst:apply-templates select="." match="adms.implicit.xml"/>
+
+<!--admst:sendmail>
+  <admst:subject>automatic mailing from %(/simulator/fullname)</admst:subject>
+  <admst:arguments recipient="%(/simulator/fullname)"/>
+  <admst:to recipient="r29173 at freescale.com"/>
+  <admst:message>
+  </admst:message>
+</admst:sendmail-->
+
+
+</admst>
diff --git a/admsXml/adms.implicit.xml.c b/admsXml/adms.implicit.xml.c
new file mode 100644
index 0000000..e53ccd7
--- /dev/null
+++ b/admsXml/adms.implicit.xml.c
@@ -0,0 +1,728 @@
+/* File automatically created by ./mkctext.pl*/
+
+const char * adms_implicit_xml = ""
+"<?xml version=\"1.0\" encoding=\"ISO-8859-1\"?>\n"
+"<!-- built-in implicit transforms @SVN_VERSION=exported@ -->\n"
+"<!-- this file is saved in local working directory, then downloaded prior any -e xml files -->\n"
+"<!-- unless flag '-x' is specified -->\n"
+"\n"
+"<!DOCTYPE admst SYSTEM \"admst.dtd\">\n"
+"<admst version=\"2.3.0\" xmlns:admst=\"http://mot-adms.sourceforge.net/adms/admst.xml\">\n"
+"\n"
+"<admst:variable name=\"globalmodule\"/>\n"
+"<admst:variable name=\"globalassignment\"/>\n"
+"<admst:variable name=\"globalcontribution\"/>\n"
+"<admst:variable name=\"globalexpression\"/>\n"
+"<admst:variable name=\"globalopdependent\" string=\"no\"/>\n"
+"<admst:variable name=\"globalpartitionning\"/>\n"
+"<admst:variable name=\"globaltreenode\"/>\n"
+"\n"
+"<admst:template match=\"e:dependency\">\n"
+"  <admst:choose>\n"
+"    <admst:when test=\"[datatypename='expression']\">\n"
+"      <admst:variable name=\"globalexpression\" path=\".\"/>\n"
+"      <admst:apply-templates select=\"tree\" match=\"e:dependency\"/>\n"
+"      <admst:variable name=\"globalexpression\"/>\n"
+"      <admst:value-to select=\"dependency\" path=\"tree/dependency\"/>\n"
+"      <admst:value-to select=\"dependency[.='constant' and $globalopdependent='yes']\" string=\"noprobe\"/>\n"
+"      <admst:choose>\n"
+"        <admst:when test=\"[nilled($globalpartitionning)]\">\n"
+"          <admst:value-to select=\"variable/usedinevaluate\" string=\"yes\"/>\n"
+"        </admst:when>\n"
+"        <admst:when test=\"[$globalpartitionning='initial_model']\">\n"
+"          <admst:value-to select=\"variable/usedinmodel\" string=\"yes\"/>\n"
+"        </admst:when>\n"
+"        <admst:when test=\"[$globalpartitionning='initial_instance']\">\n"
+"          <admst:value-to select=\"variable/usedininstance\" string=\"yes\"/>\n"
+"        </admst:when>\n"
+"        <admst:when test=\"[$globalpartitionning='initial_step']\">\n"
+"          <admst:value-to select=\"variable/usedininitial_step\" string=\"yes\"/>\n"
+"        </admst:when>\n"
+"        <admst:when test=\"[$globalpartitionning='noise']\">\n"
+"          <admst:value-to select=\"variable/usedinnoise\" string=\"yes\"/>\n"
+"        </admst:when>\n"
+"        <admst:when test=\"[$globalpartitionning='final_step']\">\n"
+"          <admst:value-to select=\"variable/usedinfinal\" string=\"yes\"/>\n"
+"        </admst:when>\n"
+"      </admst:choose>\n"
+"      <admst:reverse select=\"function\"/>\n"
+"      <admst:value-to select=\"math/value\" path=\"tree/math/value\"/>\n"
+"    </admst:when>\n"
+"    <admst:when test=\"[datatypename='probe']\">\n"
+"      <admst:value-to select=\"dependency\" string=\"linear\"/>\n"
+"      <admst:push into=\"$globalexpression/probe\" select=\".\" onduplicate=\"ignore\"/>\n"
+"    </admst:when>\n"
+"    <admst:when test=\"[datatypename='array']\">\n"
+"      <admst:apply-templates select=\"variable\" match=\"e:dependency\"/>\n"
+"      <admst:value-to select=\"dependency\" path=\"variable/dependency\"/>\n"
+"    </admst:when>\n"
+"    <admst:when test=\"[datatypename='variable']\">\n"
+"      <admst:push into=\"$globalexpression/probe\" select=\"probe\" onduplicate=\"ignore\"/>\n"
+"      <admst:push into=\"$globalexpression/variable\" select=\".\" onduplicate=\"ignore\"/>\n"
+"      <admst:push into=\"$globaltreenode/@variable\" select=\".\" onduplicate=\"ignore\"/>\n"
+"      <admst:value-to select=\"dependency\" path=\"prototype/dependency\"/>\n"
+"    </admst:when>\n"
+"    <admst:when test=\"[datatypename='mapply_unary']\">\n"
+"      <admst:apply-templates select=\"arg1\" match=\"e:dependency\"/>\n"
+"      <admst:value-to select=\"dependency\" path=\"arg1/dependency\"/>\n"
+"      <admst:value-to select=\"[name='minus']/math/value\" string=\"-%(arg1/math/value)\"/>\n"
+"    </admst:when>\n"
+"    <admst:when test=\"[datatypename='mapply_binary']\">\n"
+"      <admst:apply-templates select=\"arg1|arg2\" match=\"e:dependency\"/>\n"
+"      <!--\n"
+"        +:             -:            *:            /:                                                          \n"
+"          c  np l  nl    c  np l  nl   c  np l  nl   c  np nl nl                                                          \n"
+"          np np l  nl    np np l  nl   np np l  nl   np np nl nl                                                          \n"
+"          l  l  l  nl    l  l  l  nl   l  l  nl nl   l  l  nl nl                                                          \n"
+"          nl nl nl nl    nl nl nl nl   nl nl nl nl   nl nl nl nl                                                          \n"
+"      -->\n"
+"      <admst:choose>\n"
+"        <admst:when test=\"[arg1/dependency='nonlinear' or arg2/dependency='nonlinear']\">\n"
+"          <admst:value-to select=\"dependency\" string=\"nonlinear\"/>\n"
+"        </admst:when>\n"
+"        <admst:when test=\"[name='multtime' and (arg1|arg2)/dependency=('linear'|'linear')]\">\n"
+"          <admst:value-to select=\"dependency\" string=\"nonlinear\"/>\n"
+"        </admst:when>\n"
+"        <admst:when test=\"[name='multdiv' and arg2/dependency='linear']\">\n"
+"          <admst:value-to select=\"dependency\" string=\"nonlinear\"/>\n"
+"        </admst:when>\n"
+"        <admst:when test=\"[arg1/dependency='linear' or arg2/dependency='linear']\">\n"
+"          <admst:value-to select=\"dependency\" string=\"linear\"/>\n"
+"        </admst:when>\n"
+"        <admst:when test=\"[arg1/dependency='noprobe' or arg2/dependency='noprobe']\">\n"
+"          <admst:value-to select=\"dependency\" string=\"noprobe\"/>\n"
+"        </admst:when>\n"
+"        <admst:otherwise>\n"
+"          <admst:value-to select=\"dependency\" string=\"constant\"/>\n"
+"        </admst:otherwise>\n"
+"      </admst:choose>\n"
+"    </admst:when>\n"
+"    <admst:when test=\"[datatypename='mapply_ternary']\">\n"
+"      <admst:apply-templates select=\"arg1|arg2|arg3\" match=\"e:dependency\"/>\n"
+"      <!--\n"
+"          ?: - arg1=c -  - arg1!=c -                                                            \n"
+"             c  np l  nl np np l  nl                                                             \n"
+"             np np l  nl np np l  nl                                                             \n"
+"             l  l  l  nl l  l  l  nl                                                             \n"
+"             nl nl nl nl nl nl nl nl                                                             \n"
+"      -->\n"
+"      <admst:choose>\n"
+"        <admst:when test=\"[arg2/dependency='nonlinear' or arg3/dependency='nonlinear']\">\n"
+"          <admst:value-to select=\"dependency\" string=\"nonlinear\"/>\n"
+"        </admst:when>\n"
+"        <admst:when test=\"[arg2/dependency='linear' or arg3/dependency='linear']\">\n"
+"          <admst:value-to select=\"dependency\" string=\"linear\"/>\n"
+"        </admst:when>\n"
+"        <admst:when test=\"[arg1/dependency!='constant' or arg2/dependency='noprobe' or arg3/dependency='noprobe']\">\n"
+"          <admst:value-to select=\"dependency\" string=\"noprobe\"/>\n"
+"        </admst:when>\n"
+"        <admst:otherwise>\n"
+"          <admst:value-to select=\"dependency\" string=\"constant\"/>\n"
+"        </admst:otherwise>\n"
+"      </admst:choose>\n"
+"    </admst:when>\n"
+"    <admst:when test=\"[datatypename='function']\">\n"
+"      <admst:choose>\n"
+"        <admst:when test=\"[name='ddx' or name='\\$ddx' or name='\\$derivate']\">\n"
+"          <admst:value-to select=\"$globalassignment/lhs/derivate\" string=\"yes\"/>\n"
+"          <admst:apply-templates select=\"arguments[1]\" match=\"e:dependency\"/>\n"
+"          <admst:push into=\"$globalexpression/variable/ddxprobe\" select=\"arguments[2]\" onduplicate=\"ignore\"/>\n"
+"          <admst:choose>\n"
+"            <admst:when test=\"arguments[1]/dependency[.='constant' or .='noprobe']\">\n"
+"              <admst:value-to select=\"dependency\" path=\"arguments[1]/dependency\"/>\n"
+"            </admst:when>\n"
+"            <admst:otherwise>\n"
+"              <admst:value-to select=\"dependency\" string=\"nonlinear\"/>\n"
+"            </admst:otherwise>\n"
+"          </admst:choose>\n"
+"        </admst:when>\n"
+"        <admst:otherwise>\n"
+"          <admst:apply-templates select=\"arguments\" match=\"e:dependency\"/>\n"
+"          <admst:choose>\n"
+"            <admst:when test=\"[(name='ddt' or name='\\$ddt')or(name='idt' or name='\\$idt')]\">\n"
+"              <admst:value-to select=\"dependency\" string=\"nonlinear\"/>\n"
+"            </admst:when>\n"
+"            <admst:when test=\"arguments/dependency[.='linear' or .='nonlinear']\">\n"
+"              <admst:value-to select=\"dependency\" string=\"nonlinear\"/>\n"
+"            </admst:when>\n"
+"            <admst:when test=\"arguments/dependency[.='noprobe']\">\n"
+"              <admst:value-to select=\"dependency\" string=\"noprobe\"/>\n"
+"            </admst:when>\n"
+"            <admst:otherwise>\n"
+"              <admst:value-to select=\"dependency\" string=\"constant\"/>\n"
+"            </admst:otherwise>\n"
+"          </admst:choose>\n"
+"        </admst:otherwise>\n"
+"      </admst:choose>\n"
+"      <admst:value-to test=\"dependency[.='linear' or .='nonlinear']\" select=\"$globalexpression/hasVoltageDependentFunction\" string=\"yes\"/>\n"
+"      <admst:value-to select=\"subexpression/expression\" path=\"$globalexpression\"/>\n"
+"      <!-- fixme: these flags should be set after all contribs are transformed to ...<+F(...); canonical form -->\n"
+"      <admst:value-to test=\"[name='ddt']\" select=\"$globalcontribution/#fixmedynamic\" path=\"1\"/>\n"
+"      <admst:value-to test=\"[name='white_noise']\" select=\"$globalcontribution/#fixmewhitenoise\" path=\"1\"/>\n"
+"      <admst:value-to test=\"[name='flicker_noise']\" select=\"$globalcontribution/#fixmeflickernoise\" path=\"1\"/>\n"
+"      <admst:value-to test=\"[name='\\$temperature']\" select=\"$globalassignment/lhs/TemperatureDependent\" string=\"yes\"/>\n"
+"      <admst:choose>\n"
+"        <admst:when test=\"[name='\\$temperature' or name='\\$vt' or name='idt' or name='ddt' or\n"
+"                           name='\\$param_given' or name='\\$given' or name='ddx' or name='flicker_noise' or name='white_noise']\"/>\n"
+"        <admst:when\n"
+"          test=\"[name='analysis' or name='\\$analysis' or name='\\$simparam' or name='simparam' or\n"
+"          name='\\$shrinka' or name='\\$shrinkl' or name='\\$limexp' or\n"
+"          name='abs' or name='acos' or name='asin' or name='atan' or name='cos' or name='cosh' or name='exp' or\n"
+"          name='hypot' or name='limexp' or name='ln' or name='log' or name='max' or name='min' or name='pow' or\n"
+"          name='sin' or name='sinh' or name='sqrt' or name='tan' or name='tanh' or name='atanh' or name='floor' or name='ceil']\">\n"
+"          <admst:push into=\"$globalexpression/function\" select=\".\"/>\n"
+"          <admst:value-to select=\"class\" string=\"builtin\"/>\n"
+"        </admst:when>\n"
+"        <admst:otherwise>\n"
+"          <admst:assert test=\"[exists(definition)]\" format=\"%(lexval/(f|':'|l|':'|c)): analog function '%(name)' is undefined\\n\"/>\n"
+"        </admst:otherwise>\n"
+"      </admst:choose>\n"
+"    </admst:when>\n"
+"    <admst:when test=\"[datatypename='number']\">\n"
+"      <admst:choose>\n"
+"        <admst:when test=\"[scalingunit='1']\">\n"
+"          <admst:value-to select=\"math/value\" path=\"value\"/>\n"
+"        </admst:when>\n"
+"        <admst:when test=\"[scalingunit='E']\">\n"
+"          <admst:value-to select=\"math/value\" string=\"%(value)e+18\"/>\n"
+"        </admst:when>\n"
+"        <admst:when test=\"[scalingunit='P']\">\n"
+"          <admst:value-to select=\"math/value\" string=\"%(value)e+15\"/>\n"
+"        </admst:when>\n"
+"        <admst:when test=\"[scalingunit='T']\">\n"
+"          <admst:value-to select=\"math/value\" string=\"%(value)e+12\"/>\n"
+"        </admst:when>\n"
+"        <admst:when test=\"[scalingunit='G']\">\n"
+"          <admst:value-to select=\"math/value\" string=\"%(value)e+9\"/>\n"
+"        </admst:when>\n"
+"        <admst:when test=\"[scalingunit='M']\">\n"
+"          <admst:value-to select=\"math/value\" string=\"%(value)e+6\"/>\n"
+"        </admst:when>\n"
+"        <admst:when test=\"[scalingunit='k']\">\n"
+"          <admst:value-to select=\"math/value\" string=\"%(value)e+3\"/>\n"
+"        </admst:when>\n"
+"        <admst:when test=\"[scalingunit='h']\">\n"
+"          <admst:value-to select=\"math/value\" string=\"%(value)e+2\"/>\n"
+"        </admst:when>\n"
+"        <admst:when test=\"[scalingunit='D']\">\n"
+"          <admst:value-to select=\"math/value\" string=\"%(value)e+1\"/>\n"
+"        </admst:when>\n"
+"        <admst:when test=\"[scalingunit='d']\">\n"
+"          <admst:value-to select=\"math/value\" string=\"%(value)e-1\"/>\n"
+"        </admst:when>\n"
+"        <admst:when test=\"[scalingunit='c']\">\n"
+"          <admst:value-to select=\"math/value\" string=\"%(value)e-2\"/>\n"
+"        </admst:when>\n"
+"        <admst:when test=\"[scalingunit='m']\">\n"
+"          <admst:value-to select=\"math/value\" string=\"%(value)e-3\"/>\n"
+"        </admst:when>\n"
+"        <admst:when test=\"[scalingunit='u']\">\n"
+"          <admst:value-to select=\"math/value\" string=\"%(value)e-6\"/>\n"
+"        </admst:when>\n"
+"        <admst:when test=\"[scalingunit='n']\">\n"
+"          <admst:value-to select=\"math/value\" string=\"%(value)e-9\"/>\n"
+"        </admst:when>\n"
+"        <admst:when test=\"[scalingunit='A']\">\n"
+"          <admst:value-to select=\"math/value\" string=\"%(value)e-10\"/>\n"
+"        </admst:when>\n"
+"        <admst:when test=\"[scalingunit='p']\">\n"
+"          <admst:value-to select=\"math/value\" string=\"%(value)e-12\"/>\n"
+"        </admst:when>\n"
+"        <admst:when test=\"[scalingunit='f']\">\n"
+"          <admst:value-to select=\"math/value\" string=\"%(value)e-15\"/>\n"
+"        </admst:when>\n"
+"        <admst:when test=\"[scalingunit='a']\">\n"
+"          <admst:value-to select=\"math/value\" string=\"%(value)e-18\"/>\n"
+"        </admst:when>\n"
+"        <admst:otherwise>\n"
+"          <admst:error format=\"%(lexval/(f|':'|l|':'|c)): unit not supported: %(scalingunit)\\n\"/>\n"
+"        </admst:otherwise>\n"
+"      </admst:choose>\n"
+"    </admst:when>\n"
+"    <admst:when test=\"[datatypename='string']\"/>\n"
+"    <admst:otherwise>\n"
+"      <admst:fatal format=\"%(datatypename): case not handled\\n\"/>\n"
+"    </admst:otherwise>\n"
+"  </admst:choose>\n"
+"</admst:template>\n"
+"\n"
+"<admst:template match=\"dependency\">\n"
+"  <admst:choose>\n"
+"    <admst:when test=\"[datatypename='callfunction']\">\n"
+"      <admst:apply-templates select=\"function/arguments\" match=\"e:dependency\"/>\n"
+"      <admst:value-to select=\"dependency\" path=\"function/dependency\"/>\n"
+"    </admst:when>\n"
+"    <admst:when test=\"[datatypename='whileloop']\">\n"
+"      <!--\n"
+"        w, logic(D,while.d)            , d=wb.d                                               \n"
+"              c                 !c                           \n"
+"           c  wb,w,!c?(D,wb,!D) D,wb,!D                                                                                        \n"
+"           !c wb                wb                                                                                             \n"
+"      -->\n"
+"      <admst:apply-templates select=\"while\" match=\"e:dependency\"/>\n"
+"      <admst:apply-templates select=\"[$globalopdependent='yes' or while/dependency='constant']/whileblock\" match=\"dependency\"/>\n"
+"      <admst:if test=\"[$globalopdependent='no']\">\n"
+"        <admst:apply-templates select=\"while[dependency='constant']\" match=\"e:dependency\"/>\n"
+"        <admst:if test=\"[while/dependency!='constant']\">\n"
+"          <admst:variable name=\"globalopdependent\" string=\"yes\"/>\n"
+"          <admst:apply-templates select=\"whileblock\" match=\"dependency\"/>\n"
+"          <admst:variable name=\"globalopdependent\" string=\"no\"/>\n"
+"        </admst:if>\n"
+"      </admst:if>\n"
+"      <!--\n"
+"          wl:  w=c          w!=c\n"
+"               c  np l  nl  np np l  nl                                                             \n"
+"               np np l  nl  np np l  nl                                                             \n"
+"               l  l  l  nl  l  l  l  nl                                                             \n"
+"               nl nl nl nl  nl nl nl nl                                                             \n"
+"      -->\n"
+"      <admst:choose>\n"
+"        <admst:when test=\"[whileblock/dependency='nonlinear']\">\n"
+"          <admst:value-to select=\"dependency\" string=\"nonlinear\"/>\n"
+"        </admst:when>\n"
+"        <admst:when test=\"[whileblock/dependency='linear']\">\n"
+"          <admst:value-to select=\"dependency\" string=\"linear\"/>\n"
+"        </admst:when>\n"
+"        <admst:when test=\"[while/dependency!='constant' or whileblock/dependency='noprobe']\">\n"
+"          <admst:value-to select=\"dependency\" string=\"noprobe\"/>\n"
+"        </admst:when>\n"
+"        <admst:otherwise>\n"
+"          <admst:value-to select=\"dependency\" string=\"constant\"/>\n"
+"        </admst:otherwise>\n"
+"      </admst:choose>\n"
+"    </admst:when>\n"
+"    <admst:when test=\"[datatypename='forloop']\">\n"
+"      <admst:apply-templates select=\"initial|update\" match=\"dependency\"/>\n"
+"      <admst:apply-templates select=\"condition\" match=\"e:dependency\"/>\n"
+"      <admst:apply-templates select=\"[$globalopdependent='yes' or nilled((initial|condition|update)/[dependency!='constant'])]/forblock\" match=\"dependency\"/>\n"
+"      <admst:if test=\"[$globalopdependent='no']\">\n"
+"        <admst:apply-templates select=\"(initial|update)/[dependency='constant']\" match=\"dependency\"/>\n"
+"        <admst:apply-templates select=\"condition[dependency='constant']\" match=\"e:dependency\"/>\n"
+"        <admst:if test=\"[condition/dependency!='constant' or initial/dependency!='constant' or update/dependency!='constant']\">\n"
+"          <admst:variable name=\"globalopdependent\" string=\"yes\"/>\n"
+"          <admst:apply-templates select=\"forblock\" match=\"dependency\"/>\n"
+"          <admst:variable name=\"globalopdependent\" string=\"no\"/>\n"
+"        </admst:if>\n"
+"      </admst:if>\n"
+"      <!--\n"
+"          fl:  f=c          f!=c\n"
+"               c  np l  nl  np np l  nl                                                             \n"
+"               np np l  nl  np np l  nl                                                             \n"
+"               l  l  l  nl  l  l  l  nl                                                             \n"
+"               nl nl nl nl  nl nl nl nl                                                             \n"
+"      -->\n"
+"      <admst:choose>\n"
+"        <admst:when test=\"[forblock/dependency='nonlinear']\">\n"
+"          <admst:value-to select=\"dependency\" string=\"nonlinear\"/>\n"
+"        </admst:when>\n"
+"        <admst:when test=\"[forblock/dependency='linear']\">\n"
+"          <admst:value-to select=\"dependency\" string=\"linear\"/>\n"
+"        </admst:when>\n"
+"        <admst:when test=\"[(condition!='constant' or initial!='constant' or update!='constant') or forblock/dependency='noprobe']\">\n"
+"          <admst:value-to select=\"dependency\" string=\"noprobe\"/>\n"
+"        </admst:when>\n"
+"        <admst:otherwise>\n"
+"          <admst:value-to select=\"dependency\" string=\"constant\"/>\n"
+"        </admst:otherwise>\n"
+"      </admst:choose>\n"
+"    </admst:when>\n"
+"    <admst:when test=\"[datatypename='case']\">\n"
+"      <admst:variable name=\"globaltreenode\" path=\"case\"/>\n"
+"      <admst:apply-templates select=\"case\" match=\"e:dependency\"/>\n"
+"      <admst:variable name=\"globaltreenode\"/>\n"
+"      <admst:for-each select=\"caseitem\">\n"
+"        <admst:for-each select=\"condition\">\n"
+"          <admst:variable name=\"globaltreenode\" path=\".\"/>\n"
+"          <admst:apply-templates select=\".\" match=\"e:dependency\"/>\n"
+"          <admst:variable name=\"globaltreenode\"/>\n"
+"        </admst:for-each>\n"
+"        <admst:apply-templates select=\"code\" match=\"dependency\"/>\n"
+"      </admst:for-each>\n"
+"    </admst:when>\n"
+"    <admst:when test=\"[datatypename='conditional']\">\n"
+"      <admst:push into=\"$globalmodule/conditional\" select=\".\"/>\n"
+"      <admst:apply-templates select=\"if\" match=\"e:dependency\"/>\n"
+"      <admst:choose>\n"
+"        <admst:when test=\"[$globalopdependent='no' and if/dependency!='constant']\">\n"
+"          <admst:variable name=\"globalopdependent\" string=\"yes\"/>\n"
+"          <admst:apply-templates select=\"then|else\" match=\"dependency\"/>\n"
+"          <admst:variable name=\"globalopdependent\" string=\"no\"/>\n"
+"        </admst:when>\n"
+"        <admst:otherwise>\n"
+"          <admst:apply-templates select=\"then|else\" match=\"dependency\"/>\n"
+"        </admst:otherwise>\n"
+"      </admst:choose>\n"
+"      <!--\n"
+"          cd:  i=c          i!=c\n"
+"               c  np l  nl  np np l  nl                                                             \n"
+"               np np l  nl  np np l  nl                                                             \n"
+"               l  l  l  nl  l  l  l  nl                                                             \n"
+"               nl nl nl nl  nl nl nl nl                                                             \n"
+"      -->\n"
+"      <admst:choose>\n"
+"        <admst:when test=\"[then/dependency='nonlinear' or else/dependency='nonlinear']\">\n"
+"          <admst:value-to select=\"dependency\" string=\"nonlinear\"/>\n"
+"        </admst:when>\n"
+"        <admst:when test=\"[then/dependency='linear' or else/dependency='linear']\">\n"
+"          <admst:value-to select=\"dependency\" string=\"linear\"/>\n"
+"        </admst:when>\n"
+"        <admst:when test=\"[if/dependency!='constant' or then/dependency='noprobe' or else/dependency='noprobe']\">\n"
+"          <admst:value-to select=\"dependency\" string=\"noprobe\"/>\n"
+"        </admst:when>\n"
+"        <admst:otherwise>\n"
+"          <admst:value-to select=\"dependency\" string=\"constant\"/>\n"
+"        </admst:otherwise>\n"
+"      </admst:choose>\n"
+"    </admst:when>\n"
+"    <admst:when test=\"[datatypename='contribution']\">\n"
+"      <admst:variable name=\"globalcontribution\" path=\".\"/>\n"
+"      <admst:apply-templates select=\"rhs\" match=\"e:dependency\"/>\n"
+"      <admst:variable name=\"globalcontribution\"/>\n"
+"      <admst:push into=\"lhs/probe\" select=\"rhs/probe\" onduplicate=\"ignore\"/>\n"
+"      <admst:value-to select=\"dependency\" string=\"nonlinear\"/>\n"
+"    </admst:when>\n"
+"    <admst:when test=\"[datatypename='assignment']\">\n"
+"      <admst:choose>\n"
+"        <admst:when test=\"[lhs/datatypename='array']\">\n"
+"          <admst:variable name=\"lhs\" path=\"lhs/variable\"/>\n"
+"        </admst:when>\n"
+"        <admst:otherwise>\n"
+"          <admst:variable name=\"lhs\" path=\"lhs\"/>\n"
+"        </admst:otherwise>\n"
+"      </admst:choose>\n"
+"      <admst:choose>\n"
+"        <admst:when test=\"[$globalpartitionning='initial_model']\">\n"
+"          <admst:value-to select=\"$lhs/setinmodel\" string=\"yes\"/>\n"
+"        </admst:when>\n"
+"        <admst:when test=\"[$globalpartitionning='initial_instance']\">\n"
+"          <admst:value-to select=\"$lhs/setininstance\" string=\"yes\"/>\n"
+"        </admst:when>\n"
+"        <admst:when test=\"[$globalpartitionning='initial_step']\">\n"
+"          <admst:value-to select=\"$lhs/setininitial_step\" string=\"yes\"/>\n"
+"        </admst:when>\n"
+"        <admst:when test=\"[$globalpartitionning='noise']\">\n"
+"          <admst:value-to select=\"$lhs/setinnoise\" string=\"yes\"/>\n"
+"        </admst:when>\n"
+"        <admst:when test=\"[$globalpartitionning='final_step']\">\n"
+"          <admst:value-to select=\"$lhs/setinfinal\" string=\"yes\"/>\n"
+"        </admst:when>\n"
+"        <admst:otherwise>\n"
+"          <admst:value-to select=\"$lhs/setinevaluate\" string=\"yes\"/>\n"
+"        </admst:otherwise>\n"
+"      </admst:choose>\n"
+"      <admst:variable name=\"globalassignment\" path=\".\"/>\n"
+"      <admst:apply-templates select=\"rhs\" match=\"e:dependency\"/>\n"
+"      <admst:variable name=\"globalassignment\"/>\n"
+"      <admst:push into=\"$lhs/variable\" select=\"rhs/variable\" onduplicate=\"ignore\"/>\n"
+"      <admst:value-to test=\"rhs/variable[TemperatureDependent='yes']\" select=\"$lhs/TemperatureDependent\" string=\"yes\"/>\n"
+"      <!--\n"
+"        d=rhs.d,d=(c and D)?np\n"
+"        l(l,r,$globalopdependent)\n"
+"        $globalopdependent='no'  $globalopdependent='yes'\n"
+"        c  np l  nl               np np l  nl\n"
+"        np np l  nl               np np l  nl\n"
+"        l  l  l  nl               l  l  l  nl\n"
+"        nl nl nl nl               nl nl nl nl\n"
+"      -->\n"
+"      <admst:value-to select=\"dependency\" path=\"rhs/dependency\"/>\n"
+"      <admst:choose>\n"
+"        <admst:when test=\"[$lhs/prototype/dependency='nonlinear' or rhs/dependency='nonlinear']\">\n"
+"          <admst:value-to select=\"$lhs/(.|prototype)/dependency\" string=\"nonlinear\"/>\n"
+"        </admst:when>\n"
+"        <admst:when test=\"[$lhs/prototype/dependency='linear' or rhs/dependency='linear']\">\n"
+"          <admst:value-to select=\"$lhs/(.|prototype)/dependency\" string=\"linear\"/>\n"
+"        </admst:when>\n"
+"        <admst:when test=\"[$globalopdependent='yes' or $lhs/prototype/dependency='noprobe' or rhs/dependency='noprobe']\">\n"
+"          <admst:value-to select=\"$lhs/(.|prototype)/dependency\" string=\"noprobe\"/>\n"
+"        </admst:when>\n"
+"        <admst:otherwise>\n"
+"          <admst:value-to select=\"$lhs/(.|prototype)/dependency\" string=\"constant\"/>\n"
+"        </admst:otherwise>\n"
+"      </admst:choose>      \n"
+"      <admst:push into=\"$lhs/probe\" select=\"rhs/probe\" onduplicate=\"ignore\"/>\n"
+"    </admst:when>\n"
+"    <admst:when test=\"[datatypename='block']\">\n"
+"      <admst:reverse select=\"item|variable\"/>\n"
+"      <admst:variable name=\"forcepartitionning\" string=\"yes\"/>\n"
+"      <admst:choose>\n"
+"        <admst:when test=\"[name='initial_model']\">\n"
+"          <admst:variable name=\"globalpartitionning\" string=\"initial_model\"/>\n"
+"        </admst:when>\n"
+"        <admst:when test=\"[name='initial_instance']\">\n"
+"          <admst:variable name=\"globalpartitionning\" string=\"initial_instance\"/>\n"
+"        </admst:when>\n"
+"        <admst:when test=\"[name='initial_step']\">\n"
+"          <admst:variable name=\"globalpartitionning\" string=\"initial_step\"/>\n"
+"        </admst:when>\n"
+"        <admst:when test=\"[name='noise']\">\n"
+"          <admst:variable name=\"globalpartitionning\" string=\"noise\"/>\n"
+"        </admst:when>\n"
+"        <admst:when test=\"[name='final_step']\">\n"
+"          <admst:variable name=\"globalpartitionning\" string=\"final_step\"/>\n"
+"        </admst:when>\n"
+"        <admst:otherwise>\n"
+"          <admst:variable name=\"forcepartitionning\" string=\"no\"/>\n"
+"        </admst:otherwise>\n"
+"      </admst:choose>\n"
+"      <admst:apply-templates select=\"item\" match=\"dependency\"/>\n"
+"      <admst:variable test=\"[$forcepartitionning='yes']\" name=\"globalpartitionning\"/>\n"
+"      <admst:choose>\n"
+"        <admst:when test=\"item[dependency='nonlinear']\">\n"
+"          <admst:value-to select=\"dependency\" string=\"nonlinear\"/>\n"
+"        </admst:when>\n"
+"        <admst:when test=\"item[dependency='linear']\">\n"
+"          <admst:value-to select=\"dependency\" string=\"linear\"/>\n"
+"        </admst:when>\n"
+"        <admst:when test=\"item[dependency='noprobe']\">\n"
+"          <admst:value-to select=\"dependency\" string=\"noprobe\"/>\n"
+"        </admst:when>\n"
+"        <admst:otherwise>\n"
+"          <admst:value-to select=\"dependency\" string=\"constant\"/>\n"
+"        </admst:otherwise>\n"
+"      </admst:choose>\n"
+"    </admst:when>\n"
+"    <admst:when test=\"[datatypename='nilled']\"/>\n"
+"    <admst:when test=\"[datatypename='blockvariable']\"/>\n"
+"    <admst:otherwise>\n"
+"      <admst:fatal format=\"%(datatypename): case not handled\\n\"/>\n"
+"    </admst:otherwise>\n"
+"  </admst:choose>\n"
+"</admst:template>\n"
+"\n"
+"<admst:template match=\"adms.implicit.xml.module\">\n"
+"  <admst:variable name=\"globalmodule\" path=\".\"/>\n"
+"  <admst:reverse select=\"analogfunction|analogfunction/variable|node|variable\n"
+"                         |instance|instance/terminal|contribution|forloop|whileloop|case|callfunction\"/>\n"
+"  <admst:value-to select=\"node[location='ground']/grounded\" string=\"yes\"/>\n"
+"  <admst:for-each select=\"branch\">\n"
+"    <admst:value-to select=\"discipline\" path=\"pnode/discipline\"/>\n"
+"    <admst:value-to select=\"[nnode/grounded='yes']/grounded\" string=\"yes\"/>\n"
+"    <!-- FIXME: check that pnode/nnode have same discipline -->\n"
+"  </admst:for-each>\n"
+"  <admst:for-each select=\"source|probe\">\n"
+"    <admst:value-to select=\"discipline\" path=\"branch/discipline\"/>\n"
+"    <admst:value-to select=\"[branch/grounded='yes']/grounded\" string=\"yes\"/>\n"
+"  </admst:for-each>\n"
+"  <admst:for-each select=\"instance\">\n"
+"    <admst:push into=\"module/instantiator\" select=\"..\" onduplicate=\"ignore\"/>\n"
+"    <admst:assert select=\"terminal\" test=\"nodefrommodule[location='external']\"\n"
+"                  format=\"%(../instantiator).%(nodefrommodule/name): is not terminal\\n\"/>\n"
+"    <admst:assert select=\"parameterset\" test=\"parameter[input='yes']\"\n"
+"                  format=\"%(../instantiator).%(parameter/name): is not input parameter\\n\"/>\n"
+"  </admst:for-each>\n"
+"  <admst:apply-templates select=\"(analogfunction/tree)|(analog/code)\" match=\"dependency\"/>\n"
+"  <admst:for-each select=\"variable\">\n"
+"    <admst:value-to select=\"[dependency!='constant']/OPdependent\" string=\"yes\"/>\n"
+"    <admst:value-to select=\"output\" path=\"input\"/>\n"
+"    <admst:for-each select=\"attribute\">\n"
+"      <admst:value-to select=\"[name='type' and value='instance']/../parametertype\" string=\"instance\"/>\n"
+"      <admst:value-to select=\"[name='ask' and value='yes']/../output\" string=\"yes\"/>\n"
+"      <admst:value-to select=\"[name='ask' and value='no']/../output\" string=\"no\"/>\n"
+"    </admst:for-each>\n"
+"    <admst:apply-templates select=\"default\" match=\"e:dependency\"/>\n"
+"    <admst:value-to\n"
+"       select=\"default[exists(tree[datatypename='mapply_unary' and name='minus' and arg1/datatypename='number' and arg1/value='1.0'])]/value\"\n"
+"       string=\"is_neg_one\"/>\n"
+"    <admst:value-to select=\"default[exists(tree[datatypename='number' and value='0.0'])]/value\" string=\"is_zero\"/>\n"
+"    <admst:value-to select=\"default[exists(tree[datatypename='number' and value='1.0'])]/value\" string=\"is_one\"/>\n"
+"    <admst:value-to select=\"scope\"\n"
+"      test=\"[(input='yes' and parametertype='model') or (input='no' and (setinmodel='yes' or usedinmodel='yes')\n"
+"        and (setininstance='yes' or setininitial_step='yes' or setinevaluate='yes' or setinnoise='yes' or setinfinal='yes'\n"
+"        or usedininstance='yes' or usedininitial_step='yes' or usedinevaluate='yes' or usedinnoise='yes' or usedinfinal='yes' or output='yes'))]\"\n"
+"      string=\"global_model\"/>\n"
+"    <admst:value-to select=\"scope\"\n"
+"      test=\"[(input='yes' and parametertype='instance') or\n"
+"      (input='no' and setinmodel='no' and usedinmodel='no' and\n"
+"        (((setininstance='yes' or usedininstance='yes') and (setininitial_step='yes' or setinevaluate='yes' or setinnoise='yes' or setinfinal='yes'\n"
+"        or usedininitial_step='yes' or usedinevaluate='yes' or usedinnoise='yes' or usedinfinal='yes' or output='yes'))\n"
+"        or ((setininitial_step='yes' or usedininitial_step='yes') and (setinevaluate='yes' or setinnoise='yes' or setinfinal='yes'\n"
+"        or usedinevaluate='yes' or usedinnoise='yes' or usedinfinal='yes' or output='yes'))\n"
+"        or ((setinevaluate='yes' or usedinevaluate='yes') and (setinnoise='yes' or setinfinal='yes'\n"
+"          or usedinnoise='yes' or usedinfinal='yes' or output='yes'))\n"
+"        or ((setinnoise='yes' or usedinnoise='yes') and (setinfinal='yes' or usedinfinal='yes' or output='yes'))\n"
+"        or ((setinfinal='yes' or usedinfinal='yes') and output='yes')\n"
+"        or (setinmodel='no' and setininstance='no' and setinevaluate='no' and setinnoise='no' and setinfinal='no' and\n"
+"            usedinmodel='no' and usedininstance='no' and usedinevaluate='no' and usedinnoise='no' and usedinfinal='no' and output='yes')\n"
+"      ))]\"\n"
+"      string=\"global_instance\"/>\n"
+"    <admst:value-to select=\"isstate\"\n"
+"      test=\"[input='no' and scope='global_instance' and setininitial_step='yes' and (setinevaluate='yes' or usedinevaluate='yes')]\"\n"
+"      string=\"yes\"/>\n"
+"  </admst:for-each>\n"
+"  <admst:template match=\"modify\">\n"
+"    <admst:choose>\n"
+"      <admst:when test=\"[datatypename='block']\">\n"
+"        <admst:apply-templates select=\"reverse(item)\" match=\"modify\"/>\n"
+"        <admst:value-to test=\"item[#modifys=1]\" select=\"#modifys\" path=\"1\"/>\n"
+"        <admst:value-to test=\"item[#modifyd=1]\" select=\"#modifyd\" path=\"1\"/>\n"
+"        <admst:value-to test=\"item[#modifyn=1]\" select=\"#modifyn\" path=\"1\"/>\n"
+"        <admst:value-to test=\"item[#modifyc=1]\" select=\"#modifyc\" path=\"1\"/>\n"
+"      </admst:when>\n"
+"      <admst:when test=\"[datatypename='conditional']\">\n"
+"        <admst:apply-templates select=\"else|then\" match=\"modify\"/>\n"
+"        <admst:value-to test=\"[then/#modifys=1 or else/#modifys=1]\" select=\"#modifys|if/#modifys|if/variable/#modifys\" path=\"1\"/>\n"
+"        <admst:value-to test=\"[then/#modifyd=1 or else/#modifyd=1]\" select=\"#modifyd|if/#modifyd|if/variable/#modifyd\" path=\"1\"/>\n"
+"        <admst:value-to test=\"[then/#modifyn=1 or else/#modifyn=1]\" select=\"#modifyn|if/#modifyn|if/variable/#modifyn\" path=\"1\"/>\n"
+"        <admst:value-to test=\"[then/#modifyc=1 or else/#modifyc=1]\" select=\"#modifyc|if/#modifyc|if/variable/#modifyc\" path=\"1\"/>\n"
+"      </admst:when>\n"
+"      <admst:when test=\"[datatypename='whileloop']\">\n"
+"        <admst:apply-templates select=\"whileblock\" match=\"modify\"/>\n"
+"        <admst:value-to test=\"[whileblock/#modifys=1]\" select=\"#modifys|while/#modifys|while/variable/#modifys\" path=\"1\"/>\n"
+"        <admst:value-to test=\"[whileblock/#modifyd=1]\" select=\"#modifyd|while/#modifyd|while/variable/#modifyd\" path=\"1\"/>\n"
+"        <admst:value-to test=\"[whileblock/#modifyn=1]\" select=\"#modifyn|while/#modifyn|while/variable/#modifyn\" path=\"1\"/>\n"
+"        <admst:value-to test=\"[whileblock/#modifyc=1]\" select=\"#modifyc|while/#modifyc|while/variable/#modifyc\" path=\"1\"/>\n"
+"        <admst:apply-templates select=\"whileblock\" match=\"modify\"/>\n"
+"      </admst:when>\n"
+"      <admst:when test=\"[datatypename='forloop']\">\n"
+"        <admst:choose>\n"
+"          <admst:when test=\"[update/lhs/datatypename='array']\">\n"
+"            <admst:variable name=\"lhs\" path=\"update/lhs/variable\"/>\n"
+"          </admst:when>\n"
+"          <admst:otherwise>\n"
+"            <admst:variable name=\"lhs\" path=\"update/lhs\"/>\n"
+"          </admst:otherwise>\n"
+"        </admst:choose>\n"
+"        <admst:apply-templates select=\"forblock\" match=\"modify\"/>\n"
+"        <admst:value-to test=\"[forblock/#modifys=1]\" select=\"#modifys|(condition|update)/#modifys|(condition|update/rhs)/($lhs|variable)/#modifys\" path=\"1\"/>\n"
+"        <admst:value-to test=\"[forblock/#modifyd=1]\" select=\"#modifyd|(condition|update)/#modifyd|(condition|update/rhs)/($lhs|variable)/#modifyd\" path=\"1\"/>\n"
+"        <admst:value-to test=\"[forblock/#modifyn=1]\" select=\"#modifyn|(condition|update)/#modifyn|(condition|update/rhs)/($lhs|variable)/#modifyn\" path=\"1\"/>\n"
+"        <admst:value-to test=\"[forblock/#modifyc=1]\" select=\"#modifyc|(condition|update)/#modifyc|(condition|update/rhs)/($lhs|variable)/#modifyc\" path=\"1\"/>\n"
+"        <admst:apply-templates select=\"forblock\" match=\"modify\"/>\n"
+"      </admst:when>\n"
+"      <admst:when test=\"[datatypename='case']\">\n"
+"        <admst:apply-templates select=\"caseitem/code\" match=\"modify\"/>\n"
+"        <admst:for-each select=\"caseitem\">\n"
+"          <admst:value-to test=\"[code/#modifys=1 and defaultcase='no']\" select=\"#modifys|condition/#modifys|condition/@variable/#modifys\" path=\"1\"/>\n"
+"          <admst:value-to test=\"[code/#modifyd=1 and defaultcase='no']\" select=\"#modifyd|condition/#modifyd|condition/@variable/#modifyd\" path=\"1\"/>\n"
+"          <admst:value-to test=\"[code/#modifyn=1 and defaultcase='no']\" select=\"#modifyn|condition/#modifyn|condition/@variable/#modifyn\" path=\"1\"/>\n"
+"          <admst:value-to test=\"[code/#modifyc=1 and defaultcase='no']\" select=\"#modifyc|condition/#modifyc|condition/@variable/#modifyc\" path=\"1\"/>\n"
+"        </admst:for-each>\n"
+"        <admst:value-to test=\"caseitem[#modifys=1]\" select=\"#modifys|case/@variable/#modifys\" path=\"1\"/>\n"
+"        <admst:value-to test=\"caseitem[#modifyd=1]\" select=\"#modifyd|case/@variable/#modifyd\" path=\"1\"/>\n"
+"        <admst:value-to test=\"caseitem[#modifyn=1]\" select=\"#modifyn|case/@variable/#modifyn\" path=\"1\"/>\n"
+"        <admst:value-to test=\"caseitem[#modifyc=1]\" select=\"#modifyc|case/@variable/#modifyc\" path=\"1\"/>\n"
+"      </admst:when>\n"
+"      <admst:when test=\"[datatypename='assignment']\">\n"
+"        <admst:choose>\n"
+"          <admst:when test=\"[lhs/datatypename='array']\">\n"
+"            <admst:variable name=\"lhs\" path=\"lhs/variable\"/>\n"
+"          </admst:when>\n"
+"          <admst:otherwise>\n"
+"            <admst:variable name=\"lhs\" path=\"lhs\"/>\n"
+"          </admst:otherwise>\n"
+"        </admst:choose>\n"
+"        <admst:value-to test=\"$lhs[exists(prototype/instance[#modifys=1])]\" select=\"#modifys|rhs/#modifys|rhs/variable/#modifys\" path=\"1\"/>\n"
+"        <admst:value-to test=\"$lhs[exists(prototype/instance[#modifyd=1])]\" select=\"#modifyd|rhs/#modifys|rhs/variable/#modifyd\" path=\"1\"/>\n"
+"        <admst:value-to test=\"$lhs[exists(prototype/instance[#modifyn=1])]\" select=\"#modifyn|rhs/#modifys|rhs/variable/#modifyn\" path=\"1\"/>\n"
+"        <admst:value-to test=\"$lhs[exists(prototype/instance[#modifyc=1])]\" select=\"#modifyc|rhs/#modifys|rhs/variable/#modifyc\" path=\"1\"/>\n"
+"        <admst:value-to test=\"$lhs/ddxprobe\" select=\"#ddxprobe\" string=\"yes\"/>\n"
+"        <admst:push into=\"rhs/variable/ddxprobe\" select=\"$lhs/ddxprobe\" onduplicate=\"ignore\"/>\n"
+"      </admst:when>\n"
+"      <admst:when test=\"[datatypename='contribution']\">\n"
+"        <admst:choose>\n"
+"          <admst:when test=\"[#fixmedynamic=1]\">\n"
+"            <admst:value-to select=\"#modifyd|(lhs|rhs|rhs/variable)/#modifyd\" path=\"1\"/>\n"
+"          </admst:when>\n"
+"          <admst:when test=\"[#fixmeflickernoise=1]\">\n"
+"            <admst:value-to select=\"flickernoise|lhs/flickernoise\" string=\"yes\"/>\n"
+"            <admst:value-to select=\"#modifyn|(lhs|rhs|rhs/variable)/#modifyn\" path=\"1\"/>\n"
+"          </admst:when>\n"
+"          <admst:when test=\"[#fixmewhitenoise=1]\">\n"
+"            <admst:value-to select=\"whitenoise|lhs/whitenoise\" string=\"yes\"/>\n"
+"            <admst:value-to select=\"#modifyn|(lhs|rhs|rhs/variable)/#modifyn\" path=\"1\"/>\n"
+"          </admst:when>\n"
+"          <admst:otherwise>\n"
+"            <admst:value-to select=\"#modifys|(lhs|rhs|rhs/variable)/#modifys\" path=\"1\"/>\n"
+"          </admst:otherwise>\n"
+"        </admst:choose>\n"
+"      </admst:when>\n"
+"      <admst:when test=\"[datatypename='blockvariable']\">\n"
+"      </admst:when>\n"
+"      <admst:when test=\"[datatypename='nilled']\"/>\n"
+"      <admst:when test=\"[datatypename='callfunction']\">\n"
+"        <admst:value-to select=\"#modifyc|function/arguments/variable/#modifyc\" path=\"1\"/>\n"
+"      </admst:when>\n"
+"      <admst:otherwise><admst:fatal format=\"%(datatypename): case not handled\\n\"/></admst:otherwise>\n"
+"    </admst:choose>\n"
+"  </admst:template>\n"
+"  <admst:apply-templates select=\"analog/code\" match=\"modify\"/>\n"
+"  <admst:push into=\"@analogitems\" select=\"assignment|assignment/rhs|contribution|contribution/rhs|block|forloop|whileloop|case|callfunction|conditional|conditional/if\"/>\n"
+"  <admst:value-to select=\"@analogitems[#modifys=1 or #modifyn=1 or #modifyc=1]/static\" string=\"yes\"/>\n"
+"  <admst:value-to select=\"@analogitems[#modifys!=1 and #modifyn!=1 and #modifyc!=1]/dynamic\" string=\"yes\"/>\n"
+"\n"
+"  <admst:value-to select=\"(.|blockvariable)/variable[exists(instance[#modifys=1])]/#modifys\" path=\"1\"/>\n"
+"  <admst:value-to select=\"(.|blockvariable)/variable[exists(instance[#modifyd=1])]/#modifyd\" path=\"1\"/>\n"
+"  <admst:value-to select=\"(.|blockvariable)/variable[exists(instance[#modifyn=1])]/#modifyn\" path=\"1\"/>\n"
+"  <admst:value-to select=\"(.|blockvariable)/variable[exists(instance[#modifyc=1])]/#modifyc\" path=\"1\"/>\n"
+"\n"
+"  <admst:value-to select=\"(.|blockvariable)/variable[exists(instance[#modifys=1 or #modifyd=1 or #modifyn=1])]/insource\" string=\"yes\"/>\n"
+"  <admst:value-to select=\"(.|blockvariable)/variable[exists(instance[#modifys=1 or #modifyn=1 or #modifyc=1])]/static\" string=\"yes\"/>\n"
+"  <admst:value-to select=\"(.|blockvariable)/variable[exists(instance[#modifyd=1])]/dynamic\" string=\"yes\"/>\n"
+"  <admst:value-to select=\"source[#modifys=1 or #modifyn=1]/static\" string=\"yes\"/>\n"
+"  <admst:value-to select=\"source[#modifyd=1]/dynamic\" string=\"yes\"/>\n"
+"\n"
+"  <!-- jacobian -->\n"
+"  <admst:for-each select=\"contribution\">\n"
+"    <admst:variable name=\"mycontribution\" path=\".\"/>\n"
+"    <admst:variable name=\"mysource\" path=\"lhs\"/>\n"
+"    <admst:push into=\"$mysource/attribute\" select=\"attribute\"/>\n"
+"    <!-- case I() <+ .V(). -->\n"
+"    <admst:for-each select=\"rhs/probe[(nature=discipline/potential)and($mysource/nature=$mysource/discipline/flow)]\">\n"
+"      <admst:new datatype=\"jacobian\" inputs=\"module,$mysource/branch/pnode,branch/pnode\">\n"
+"        <admst:push into=\"/@jacobian\" select=\".\" onduplicate=\"ignore\"/>\n"
+"      </admst:new>\n"
+"      <admst:new test=\"branch/nnode[grounded='no']\" datatype=\"jacobian\" inputs=\"module,$mysource/branch/pnode,branch/nnode\">\n"
+"        <admst:push into=\"/@jacobian\" select=\".\" onduplicate=\"ignore\"/>\n"
+"      </admst:new>\n"
+"      <admst:new test=\"$mysource/branch/nnode[grounded='no']\" datatype=\"jacobian\" inputs=\"module,$mysource/branch/nnode,branch/pnode\">\n"
+"        <admst:push into=\"/@jacobian\" select=\".\" onduplicate=\"ignore\"/>\n"
+"        <admst:new test=\"../branch/nnode[grounded='no']\" datatype=\"jacobian\" inputs=\"module,$mysource/branch/nnode,../branch/nnode\">\n"
+"          <admst:push into=\"/@jacobian\" select=\".\" onduplicate=\"ignore\"/>\n"
+"        </admst:new>\n"
+"      </admst:new>\n"
+"      <admst:for-each select=\"/reverse(@jacobian)\">\n"
+"        <admst:choose>\n"
+"          <admst:when test=\"module/jacobian[row=../../row and column=../../column]\">\n"
+"            <admst:variable name=\"jacobian\" path=\"module/jacobian[row=../../row and column=../../column]\"/>\n"
+"          </admst:when>\n"
+"          <admst:otherwise>\n"
+"            <admst:variable name=\"jacobian\" path=\".\"/>\n"
+"            <admst:value-to select=\"[row=column]/diagonal\" string=\"yes\"/>\n"
+"            <admst:push into=\"module/jacobian\" select=\".\"/>\n"
+"          </admst:otherwise>\n"
+"        </admst:choose>\n"
+"        <admst:value-to test=\"$mycontribution[dynamic='yes']\" select=\"$jacobian/dynamic\" string=\"yes\"/>\n"
+"        <admst:value-to test=\"$mycontribution[dynamic='no']\" select=\"$jacobian/static\" string=\"yes\"/>\n"
+"      </admst:for-each>\n"
+"      <admst:value-to select=\"/@jacobian\"/>\n"
+"    </admst:for-each>\n"
+"  </admst:for-each>\n"
+"  <admst:reverse select=\"jacobian\"/>\n"
+"</admst:template>\n"
+"\n"
+"<admst:template match=\"adms.implicit.xml.nature\">\n"
+"  <admst:reverse select=\"/argv|/discipline|/nature\"/>\n"
+"  <admst:for-each select=\"/nature\">\n"
+"    <admst:value-to select=\"ddt_nature\" path=\"/nature[name='%(../../ddt_name)']\"/>\n"
+"    <admst:value-to select=\"idt_nature\" path=\"/nature[name='%(../../idt_name)']\"/>\n"
+"  </admst:for-each>\n"
+"</admst:template>\n"
+"\n"
+"<admst:template match=\"adms.implicit.xml\">\n"
+"  <admst:apply-templates select=\".\" match=\"adms.implicit.xml.nature\"/>\n"
+"  <admst:apply-templates select=\"/module\" match=\"adms.implicit.xml.module\"/>\n"
+"</admst:template>\n"
+"\n"
+"<admst:apply-templates select=\".\" match=\"adms.implicit.xml\"/>\n"
+"\n"
+"<!--admst:sendmail>\n"
+"  <admst:subject>automatic mailing from %(/simulator/fullname)</admst:subject>\n"
+"  <admst:arguments recipient=\"%(/simulator/fullname)\"/>\n"
+"  <admst:to recipient=\"r29173 at freescale.com\"/>\n"
+"  <admst:message>\n"
+"  </admst:message>\n"
+"</admst:sendmail-->\n"
+"\n"
+"\n"
+"</admst>\n"
+;
diff --git a/admsXml/admsCheck.c b/admsXml/admsCheck.c
new file mode 100644
index 0000000..22326c9
--- /dev/null
+++ b/admsXml/admsCheck.c
@@ -0,0 +1,139 @@
+/*
+ * RCS Info
+ * $Id: admsCheck.c 1110 2008-10-14 13:40:29Z r29173 $
+ *
+ * Log
+ * $Log$
+ * Revision 1.17  2006/09/13 16:13:20  r29173
+ * started migration to www.antlr.org tools
+ *
+ * Revision 1.16  2006/08/04 17:15:32  r29173
+ * rearranged code partionning into files
+ *
+ * Revision 1.15  2006/06/16 12:24:25  r29173
+ * ton of changes: memory clean-up
+ *
+ * Revision 1.14  2006/06/09 16:49:34  r29173
+ * improved malloc management
+ *
+ * Revision 1.13  2006/01/26 13:39:25  r29173
+ * fixed core dump when running admsCheck
+ *
+ * Revision 1.12  2005/06/21 08:02:28  r29173
+ * renamed adms_set_admsmain to adms_valueto_admsmain
+ *
+ * Revision 1.11  2005/05/09 14:38:29  r29173
+ * cleaned-up source directory structure
+ *
+ * Revision 1.10  2005/05/03 09:35:14  r29173
+ * cleaned-up header file dependencies
+ *
+ * Revision 1.9  2005/05/03 07:58:19  r29173
+ * moved admsNS into admsFile
+ *
+ * Revision 1.8  2005/04/01 07:25:44  r29173
+ * renamed prefix .xsl to .xml
+ *
+ * Revision 1.7  2005/01/11 09:58:01  r29173
+ * tons of changes - simplify accessor names (valueof, valueto)
+ *
+ * Revision 1.6  2004/11/19 12:29:56  r29173
+ * renamed _C into CAT
+ * saved argc, argv into admsmain element
+ * added adms:setenv transform
+ *
+ * Revision 1.5  2004/11/11 13:45:03  r29173
+ * replaced g_message by adms_message_info
+ *
+ * Revision 1.4  2004/11/01 09:37:36  r29173
+ * changed messaging macros (avoid useless computation of arguments)
+ * improved the coding of transforms in xmlProgram.c
+ *
+ * Revision 1.3  2004/08/03 12:33:54  r29173
+ * import adms-1.21.0 from local CVS
+ *
+ * Revision 1.2  2004/08/03 10:05:46  r29173
+ * removed superelement from admsgui
+ * fixed some warnings issued during compilation
+ *
+ * Revision 1.1.1.1  2004/05/21 12:20:01  r29173
+ * recreated cvs data structure (crashed after revision 1.13.0!)
+ *
+ * Revision 1.10  2004/03/11 16:25:14  r29173
+ * started implementing code builder in yacc parser
+ *
+ * Revision 1.9  2004/03/08 13:58:05  r29173
+ * all code lower-cased
+ *
+ * Revision 1.8  2004/03/08 08:24:19  r29173
+ * started implementing code builder in yacc parser
+ *
+ * Revision 1.7  2004/01/13 11:25:51  r29173
+ * added adms_message_usage
+ *
+ * Revision 1.6  2004/01/09 16:23:45  r29173
+ * cleaned-up use of #include
+ *
+ * Revision 1.5  2004/01/08 10:28:49  r29173
+ * moved code to always.[ch]
+ *
+ * Revision 1.4  2004/01/05 11:07:51  r29173
+ * change the way admsCheck.xml is downloaded
+ *
+ * Revision 1.3  2003/10/22 15:11:36  r29173
+ * changed the way script "text2Ccode.pl" handles file name.
+ *
+ * Revision 1.2  2003/05/21 14:18:00  r29173
+ * add rcs info
+ *
+ */
+

+
+/*
+  admsCheck
+
+  Small program to perform a test run of adms using dummy.va and dummy.xml
+
+  Used by 'make check' in the adms build system
+
+*/
+
+#include "adms.h"
+/*constants*/
+#include "dummy.xml.c"
+#include "dummy.va.c"
+
+char*mydata[]={
+"dummy.va","dummy_va",
+"dummy.xml","dummy_xml",
+NULL
+};
+
+int main(const int argc, const char **argv)
+{
+  char**mydataptr;
+  rootnew(adms_admsmain_new("admsmain"));
+  adms_admsmain_valueto_filename(root(),adms_kclone("stdin"));
+  adms_message_info(("Create files:\n"));
+  for( mydataptr=mydata; *mydataptr; mydataptr++,mydataptr++)
+  {
+    char*savefilename=*mydataptr;
+    char*symbolname=*(mydataptr+1);
+    char* myfilename=strdup(savefilename);
+    FILE* ofh=fopen(myfilename,"w");
+    adms_message_info((" ... %s\n",myfilename));
+    free(myfilename);
+    if(!strcmp(symbolname,"dummy_va"))
+      fprintf(ofh,"%s",dummy_va);
+    else if(!strcmp(symbolname,"dummy_xml"))
+      fprintf(ofh,"%s",dummy_xml);
+    fclose(ofh);
+  }
+  adms_message_info(("How to use the example\n"));
+  adms_message_info(("  admsXml dummy.va -e dummy.xml\n"));
+  adms_message_info(("  This command parses file dummy.va\n"));
+  adms_message_info(("  and interprets control file dummy.xml\n"));
+  adms_message_info(("  File dummy.net is created.\n"));
+  adms_message_info(("  (file .dummy.va.adms is created in background.)\n"));
+  return 0;
+}
diff --git a/admsXml/admsPreprocessor.h b/admsXml/admsPreprocessor.h
new file mode 100644
index 0000000..dc0fb77
--- /dev/null
+++ b/admsXml/admsPreprocessor.h
@@ -0,0 +1,202 @@
+/*
+ * RCS Info
+ * $Id: admsPreprocessor.h 985 2008-04-23 18:04:08Z r29173 $
+ * 
+ * Log
+ * $Log$
+ * Revision 1.6  2005/11/08 14:07:59  r29173
+ * fixed bug (skipp_text becomes a list)
+ *
+ * Revision 1.5  2005/05/03 07:58:21  r29173
+ * moved admsNS into admsFile
+ *
+ * Revision 1.4  2004/11/19 12:29:56  r29173
+ * renamed _C into CAT
+ * saved argc, argv into admsmain element
+ * added adms:setenv transform
+ *
+ * Revision 1.3  2004/08/03 12:33:55  r29173
+ * import adms-1.21.0 from local CVS
+ *
+ * Revision 1.2  2004/05/26 13:02:48  r29173
+ * added default values to all enumerations
+ *
+ * Revision 1.1.1.1  2004/05/21 12:20:01  r29173
+ * recreated cvs data structure (crashed after revision 1.13.0!)
+ *
+ * Revision 1.11  2004/03/08 13:58:06  r29173
+ * all code lower-cased
+ *
+ * Revision 1.10  2004/02/06 09:06:03  r29173
+ * started implementing code builder in yacc parser
+ *
+ * Revision 1.9  2004/01/16 10:31:26  r29173
+ * added YYDEBUG=1
+ *
+ * Revision 1.8  2004/01/09 16:23:47  r29173
+ * cleaned-up use of #include
+ *
+ * Revision 1.7  2004/01/06 14:13:13  r29173
+ * fixed definition of win32_interface
+ *
+ * Revision 1.6  2004/01/06 12:35:28  r29173
+ * fixed the use of globals: input file and output file
+ *
+ * Revision 1.5  2004/01/05 11:38:48  r29173
+ * removed unused code
+ *
+ * Revision 1.4  2003/12/11 16:10:11  r29173
+ * changed usage of win32_interface
+ *
+ * Revision 1.3  2003/12/11 16:01:53  r29173
+ * changed prefix [epst]_adms_ to [epst]_
+ *
+ * Revision 1.2  2003/05/21 14:18:01  r29173
+ * add rcs info
+ *
+ */
+
+#ifndef _preprocessor_main_included
+#define _preprocessor_main_included
+
+#include "adms.h"
+
+#undef win32_interface
+#if defined(WIN32)
+#  if defined(insidePreprocessor)
+#    define win32_interface __declspec(dllexport)
+#  else
+#    define win32_interface __declspec(dllimport)
+#  endif
+#else
+#  define win32_interface extern
+#endif
+
+#define last_char(s)  s+(strlen(s)-1) 
+
+#define INT2ADMS(a) ((p_adms)(long)(a))
+
+win32_interface void adms_preprocessor_get_define_from_argv(const int argc,const char** argv);
+
+win32_interface int adms_preprocessor_getint_yydebug (void);
+win32_interface void adms_preprocessor_setint_yydebug (const int val);
+
+win32_interface int adms_preprocessor_registration_printf (const char *filename);
+
+typedef struct s_preprocessor t_preprocessor;
+typedef t_preprocessor*       p_preprocessor;
+struct s_preprocessor {
+  p_adms buffer;
+  char * filename;
+  FILE * fid;
+  int cur_line_position;
+  int cur_char_position;
+  char * cur_message;
+  p_slist cur_continuator_position;
+};
+
+typedef struct s_preprocessor_pragma_define_text t_preprocessor_pragma_define_text;
+typedef t_preprocessor_pragma_define_text*       p_preprocessor_pragma_define_text;
+struct s_preprocessor_pragma_define_text {
+  char * name;
+  int isArg;
+};
+
+typedef struct s_preprocessor_pragma_define t_preprocessor_pragma_define;
+typedef t_preprocessor_pragma_define*       p_preprocessor_pragma_define;
+struct s_preprocessor_pragma_define {
+  char * name;
+  int hasArg;
+  int isDefined;
+  p_slist arg;
+  p_slist text;
+};
+
+typedef struct s_preprocessor_substitutor t_preprocessor_substitutor;
+typedef t_preprocessor_substitutor*       p_preprocessor_substitutor;
+typedef struct s_preprocessor_text t_preprocessor_text;
+typedef t_preprocessor_text*       p_preprocessor_text;
+
+struct s_preprocessor_substitutor {
+  p_preprocessor_pragma_define _pragma;
+  p_slist _newarg;
+  p_slist _newtext;
+  p_preprocessor_text _cr_text;
+  p_slist _cr_newarg;
+};
+
+struct s_preprocessor_text {
+  int _isarg;
+  char * _str;
+};
+
+typedef struct s_preprocessor_main t_preprocessor_main;
+typedef t_preprocessor_main*       p_preprocessor_main;
+struct s_preprocessor_main {
+  p_preprocessor cr_scanner;
+  char * cr_filename;
+  p_slist Scanner;
+  p_slist Text;
+  p_slist Defined;
+  p_slist includePath;
+  p_slist skipp_text;
+  int error;
+};
+
+win32_interface p_preprocessor_main pproot (void);
+win32_interface void adms_preprocessor_valueto_main (p_preprocessor_main mypreprocessor_main);
+
+win32_interface void preprocessorerror (const char *s);
+win32_interface int preprocessorlex ();
+win32_interface int preprocessorparse ();
+
+typedef struct s_continuator t_continuator;
+typedef t_continuator*       p_continuator;
+struct s_continuator {
+  int line_position;
+  int char_position;
+};
+
+win32_interface int adms_preprocessor_get_char_position (p_preprocessor cr_preprocessor);
+win32_interface int adms_preprocessor_get_line_position (p_preprocessor cr_preprocessor, int char_pos);
+
+win32_interface int adms_preprocessor_add_message ( char *message);
+
+win32_interface p_preprocessor_pragma_define adms_preprocessor_define_add (char * name);
+win32_interface int adms_preprocessor_define_add_default (char * name);
+win32_interface int adms_preprocessor_define_add_default_with_text (char * name, char * value);
+win32_interface p_preprocessor_pragma_define adms_preprocessor_undefine_add (char * name);
+win32_interface p_preprocessor_pragma_define adms_preprocessor_define_add_with_text (char * name, p_slist text);
+win32_interface p_preprocessor_pragma_define adms_preprocessor_define_add_with_arg (char * name, p_slist arg);
+win32_interface p_preprocessor_pragma_define adms_preprocessor_define_add_with_arg_and_text (char * name, p_slist arg, p_slist text);
+
+win32_interface p_preprocessor_pragma_define adms_preprocessor_pragma_define_exists (char * name);
+
+win32_interface int adms_preprocessor_identifier_is_def (char * name);
+win32_interface int adms_preprocessor_identifier_is_ndef (char * name);
+
+win32_interface p_preprocessor_pragma_define adms_preprocessor_identifer_set_undef (char * name);
+
+win32_interface int adms_preprocessor_pragma_define_is_def (p_preprocessor_pragma_define pragma);
+win32_interface int adms_preprocessor_pragma_define_is_ndef (p_preprocessor_pragma_define pragma);
+win32_interface int adms_preprocessor_pragma_define_has_arg (p_preprocessor_pragma_define pragma);
+win32_interface int adms_preprocessor_pragma_define_has_noarg (p_preprocessor_pragma_define pragma);
+win32_interface int adms_preprocessor_pragma_define_has_nullarg (p_preprocessor_pragma_define pragma);
+win32_interface int adms_preprocessor_pragma_define_has_text (p_preprocessor_pragma_define pragma);
+win32_interface int adms_preprocessor_pragma_define_has_notext (p_preprocessor_pragma_define pragma);
+win32_interface int adms_preprocessor_pragma_define_has_noarg_and_notext (p_preprocessor_pragma_define pragma);
+win32_interface int adms_preprocessor_pragma_define_has_noarg_and_text (p_preprocessor_pragma_define pragma);
+win32_interface int adms_preprocessor_pragma_define_has_nullarg_and_notext (p_preprocessor_pragma_define pragma);
+win32_interface int adms_preprocessor_pragma_define_has_nullarg_and_text (p_preprocessor_pragma_define pragma);
+win32_interface int adms_preprocessor_pragma_define_has_arg_and_notext (p_preprocessor_pragma_define pragma);
+win32_interface int adms_preprocessor_pragma_define_has_arg_and_text (p_preprocessor_pragma_define pragma);
+
+win32_interface p_preprocessor_text adms_preprocessor_new_text_as_string(char *name);
+win32_interface p_slist adms_preprocessor_new_text_as_substitutor (p_preprocessor_pragma_define define, p_slist newarg);
+
+win32_interface void adms_preprocessor_setfile_input (FILE *ifile);
+win32_interface FILE *adms_preprocessor_getfile_input (void);
+win32_interface void adms_preprocessor_setfile_output (FILE *ifile);
+win32_interface FILE *adms_preprocessor_getfile_output (void);
+
+#endif /* _preprocessor_main_included */
diff --git a/admsXml/admsVeriloga.h b/admsXml/admsVeriloga.h
new file mode 100644
index 0000000..edb7f76
--- /dev/null
+++ b/admsXml/admsVeriloga.h
@@ -0,0 +1,81 @@
+/*
+ * RCS Info
+ * $Id: admsVeriloga.h 941 2008-03-27 17:38:57Z r29173 $
+ * 
+ * Log
+ * $Log$
+ * Revision 1.6  2006/07/27 13:51:26  r29173
+ * moved code from xmlParser to admsImplicitTransforms
+ * removed /simulator/function
+ *
+ * Revision 1.5  2006/01/13 10:07:04  r29173
+ * cleaned-up code style
+ *
+ * Revision 1.4  2005/05/09 14:38:31  r29173
+ * cleaned-up source directory structure
+ *
+ * Revision 1.3  2005/05/03 09:35:15  r29173
+ * cleaned-up header file dependencies
+ *
+ * Revision 1.2  2004/08/23 09:24:31  r29173
+ * removed unused function (adms_treedata_fatal)
+ *
+ * Revision 1.1  2004/08/03 12:33:55  r29173
+ * import adms-1.21.0 from local CVS
+ *
+ * Revision 1.3  2004/08/03 09:23:11  r29173
+ * renamed superelement into element
+ *
+ * Revision 1.2  2004/06/30 16:40:58  r29173
+ * renamed all admsObject files to object<Filename>
+ *
+ * Revision 1.1.1.1  2004/05/21 12:20:01  r29173
+ * recreated cvs data structure (crashed after revision 1.13.0!)
+ *
+ * Revision 1.8  2004/04/19 21:03:22  r29173
+ * removed subdir admsTree
+ *
+ * Revision 1.7  2004/03/08 13:58:06  r29173
+ * all code lower-cased
+ *
+ * Revision 1.6  2004/01/16 10:30:00  r29173
+ * added accessors to yyin, yyout
+ *
+ * Revision 1.5  2004/01/06 14:13:13  r29173
+ * fixed definition of win32_interface
+ *
+ * Revision 1.4  2004/01/06 12:35:40  r29173
+ * fixed the use of globals: input file and output file
+ *
+ * Revision 1.3  2003/12/11 16:10:11  r29173
+ * changed usage of win32_interface
+ *
+ * Revision 1.2  2003/05/21 14:18:02  r29173
+ * add rcs info
+ *
+ */
+
+#ifndef _admsveriloga_h
+#define _admsveriloga_h
+
+#include "adms.h"
+
+#undef win32_interface
+#if defined(WIN32)
+#  if defined(insideVeriloga)
+#    define win32_interface __declspec(dllexport)
+#  else
+#    define win32_interface __declspec(dllimport)
+#  endif
+#else
+#  define win32_interface extern
+#endif
+
+win32_interface void adms_veriloga_setint_yydebug (const int val);
+win32_interface void adms_veriloga_setfile_input (FILE *ifile);
+
+win32_interface void verilogaerror (const char *s);
+win32_interface int verilogalex ();
+win32_interface int verilogaparse ();
+
+#endif /* _admsveriloga_h */
diff --git a/admsXml/admsXml.1 b/admsXml/admsXml.1
new file mode 100644
index 0000000..5a46ce0
--- /dev/null
+++ b/admsXml/admsXml.1
@@ -0,0 +1,75 @@
+.TH admsXml "1" "September 2008" "Debian/GNU Linux" "User Commands"
+.SH NAME
+admsXml \- An automatic device model synthesizer.
+.SH SYNOPSIS
+.B admsXml
+source [\fIOPTIONS\fR] \fB\-e\fR script1 [\-e script2 ...]
+.SH DESCRIPTION
+admsXml is a code generator that converts electrical compact
+device models specified in high-level description language
+into ready-to-compile c code for the API of spice simulators.
+.SH FILES
+.TP
+\fR source
+verilog\-ams source code
+.TP
+\fR script1, ...
+admst scripts (xml format)
+.SH OPTIONS
+.TP
+\fB\-D\fR NAME
+predefine NAME as a macro, with definition `1'
+.TP
+\fB\-D\fR NAME=DEFINITION
+predefine NAME as a macro, with definition DEFINITION
+.TP
+\fB\-I\fR DIR
+Add directory DIR to search path for header files
+.TP
+\fB\-x\fR
+ignore file .adms.implicit.xml
+.TP
+\fB\-xv\fR
+do not check version number of scripts
+.TP
+\fB\-i\fR, \fB\-\-info\fR
+miscellaneous info (release, web site, mailing list)
+.TP
+\fB\-v\fR, \fB\-\-version\fR
+version number
+.TP
+\fB\-h\fR, \fB\-\-help\fR
+short help
+.SH ENVIRONMENT VARIABLES
+.TP
+\- adms_info="yes"|"no"
+print info [default=yes]
+.TP
+\- adms_usage="yes"|"no"
+print usage [default=yes]
+.TP
+\- adms_verbose="yes"|"no"
+print messages [default=no]
+.TP
+\- adms_debug="yes"|"no"
+print more messages [default=no]
+.TP
+\- adms_dbg_vla="yes"|"no"
+debug messages during vla parsing [default=no]
+.TP
+\- adms_dbg_xml="yes"|"no"
+debug messages during xml parsing [default=no]
+.TP
+\- adms_dbg_pre="yes"|"no"
+debug messages during vla preprocessing [default=no]
+.SH AVAILABILITY
+The latest version of admsXml can always be obtained from \fBmot-adms.sourceforge.net\fR
+.SH "REPORTING BUGS"
+Known bugs are documented within the BUGS file.  Report bugs to
+<r29173 at users.sourceforge.net>.
+.SH COPYRIGHT
+.PP
+This is free software; see the source for copying conditions.  There is NO
+warranty; not even for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.
+.SH AUTHORS
+Written by Laurent Lemaitre <r29173 at users.sourceforge.net>.
diff --git a/admsXml/admsXml.c b/admsXml/admsXml.c
new file mode 100644
index 0000000..77ecb94
--- /dev/null
+++ b/admsXml/admsXml.c
@@ -0,0 +1,2905 @@
+/* [nepasimprimer]
+ * RCS Info
+ * $Id: admsXml.c 1182 2009-09-28 16:19:24Z r29173 $
+ *
+ * Log
+ * $Log$
+ * Revision 1.12  2006/09/08 16:03:46  r29173
+ * set transform attributes as admsttext
+ *
+ * Revision 1.11  2006/08/30 02:57:12  r29173
+ * added %() support
+ *
+ * Revision 1.10  2006/08/18 17:10:50  r29173
+ * did lot of simplifications
+ * added element quark (character array)
+ *
+ * Revision 1.9  2006/08/10 11:31:47  r29173
+ * did lot of simplifications
+ *
+ * Revision 1.8  2006/08/04 17:15:31  r29173
+ * rearranged code partionning into files
+ *
+ * Revision 1.7  2006/08/02 16:45:52  r29173
+ * rearranged code partionning into files
+ *
+ * Revision 1.6  2005/04/25 12:29:33  r29173
+ * fixed few memory leak issues (introduced adms.._free)
+ *
+ * Revision 1.5  2004/11/19 12:29:56  r29173
+ * renamed _C into CAT
+ * saved argc, argv into admsmain element
+ * added adms:setenv transform
+ *
+ * Revision 1.4  2004/08/19 16:46:44  r29173
+ * cleaned-up i/o file handling
+ *
+ * Revision 1.3  2004/08/03 12:33:55  r29173
+ * import adms-1.21.0 from local CVS
+ *
+ * Revision 1.2  2004/05/26 13:02:47  r29173
+ * added default values to all enumerations
+ *
+ * Revision 1.1.1.1  2004/05/21 12:20:01  r29173
+ * recreated cvs data structure (crashed after revision 1.13.0!)
+ *
+ * Revision 1.67  2004/05/17 11:50:28  r29173
+ * simplified handling of variable attributes
+ *
+ * Revision 1.66  2004/05/13 07:34:50  r29173
+ * continue removing GNode* structure
+ *
+ * Revision 1.65  2004/05/05 10:19:30  r29173
+ * started removing GNode* structure
+ *
+ * Revision 1.64  2004/05/04 08:07:10  r29173
+ * added code for @final
+ * removed libltdl
+ *
+ * Revision 1.63  2004/04/19 16:24:31  r29173
+ * moved code of xparser to xmlParser
+ *
+ * Revision 1.62  2004/04/19 15:41:37  r29173
+ * moved code of treeValidate to xmlParser
+ *
+ * Revision 1.61  2004/04/19 14:52:19  r29173
+ * cleaned-up code
+ * added handling of \t in xml files
+ *
+ * Revision 1.60  2004/03/30 09:06:34  r29173
+ * added adms_message
+ * added statistics
+ * started noise implementation
+ *
+ * Revision 1.59  2004/03/25 20:14:49  r29173
+ * splitted variable->scope into small pieces
+ *
+ * Revision 1.58  2004/03/19 12:45:35  r29173
+ * started implementing code builder in yacc parser
+ *
+ * Revision 1.57  2004/03/19 10:24:58  r29173
+ * started implementing code builder in yacc parser
+ *
+ * Revision 1.56  2004/03/08 13:58:07  r29173
+ * all code lower-cased
+ *
+ * Revision 1.55  2004/03/08 08:24:20  r29173
+ * started implementing code builder in yacc parser
+ *
+ * Revision 1.54  2004/03/04 11:01:28  r29173
+ * started implementing code builder in yacc parser
+ *
+ * Revision 1.53  2004/03/02 13:42:00  r29173
+ * started implementing code builder in yacc parser
+ *
+ * Revision 1.52  2004/03/02 10:48:27  r29173
+ * start implementing support to new vla syntax
+ *
+ * Revision 1.51  2004/02/25 11:34:23  r29173
+ * start implementing support to new vla syntax
+ *
+ * Revision 1.50  2004/02/21 16:13:54  r29173
+ * start implementing support to new vla syntax
+ *
+ * Revision 1.49  2004/02/20 22:22:18  r29173
+ * start implementing support to new vla syntax
+ *
+ * Revision 1.48  2004/02/20 19:40:08  r29173
+ * start implementing support to new vla syntax
+ *
+ * Revision 1.47  2004/02/20 19:05:53  r29173
+ * start implementing support to new vla syntax
+ *
+ * Revision 1.46  2004/02/20 14:56:37  r29173
+ * start implementing support to new vla syntax
+ *
+ * Revision 1.45  2004/02/20 13:45:30  r29173
+ * start implementing support to new vla syntax
+ *
+ * Revision 1.44  2004/02/19 17:02:38  r29173
+ * started implementing code builder in yacc parser
+ *
+ * Revision 1.43  2004/02/19 01:25:11  r29173
+ * started implementing code builder in yacc parser
+ *
+ * Revision 1.42  2004/02/18 23:30:46  r29173
+ * started implementing code builder in yacc parser
+ *
+ * Revision 1.41  2004/02/18 00:43:01  r29173
+ * started implementing code builder in yacc parser
+ *
+ * Revision 1.40  2004/02/15 13:07:43  r29173
+ * started implementing code builder in yacc parser
+ *
+ * Revision 1.39  2004/02/14 22:46:49  r29173
+ * started implementing code builder in yacc parser
+ *
+ * Revision 1.38  2004/02/14 20:15:42  r29173
+ * started implementing code builder in yacc parser
+ *
+ * Revision 1.37  2004/02/13 14:28:39  r29173
+ * started implementing code builder in yacc parser
+ *
+ * Revision 1.36  2004/02/11 15:19:31  r29173
+ * release 1.5.1
+ *
+ * Revision 1.35  2004/02/11 14:20:11  r29173
+ * started implementing code builder in yacc parser
+ *
+ * Revision 1.34  2004/02/10 16:44:30  r29173
+ * started implementing code builder in yacc parser
+ *
+ * Revision 1.33  2004/02/10 10:48:24  r29173
+ * started implementing code builder in yacc parser
+ *
+ * Revision 1.32  2004/02/06 16:27:19  r29173
+ * started implementing code builder in yacc parser
+ *
+ * Revision 1.31  2004/02/06 14:52:08  r29173
+ * started implementing code builder in yacc parser
+ *
+ * Revision 1.30  2004/02/05 21:37:03  r29173
+ * started implementing code builder in yacc parser
+ *
+ * Revision 1.29  2004/02/05 14:02:30  r29173
+ * started implementing code builder in yacc parser
+ *
+ * Revision 1.28  2004/02/04 20:49:12  r29173
+ * started implementing code builder in yacc parser
+ *
+ * Revision 1.27  2004/02/04 20:34:24  r29173
+ * started implementing code builder in yacc parser
+ *
+ * Revision 1.26  2004/02/03 12:48:29  r29173
+ * started implementing code builder in yacc parser
+ *
+ * Revision 1.25  2004/01/29 15:49:08  r29173
+ * renamed accessors of xmlnodes
+ *
+ * Revision 1.24  2004/01/28 16:15:06  r29173
+ * started implementing code builder in yacc parser
+ *
+ * Revision 1.23  2004/01/28 10:37:29  r29173
+ * started implementing code builder in yacc parser
+ *
+ * Revision 1.22  2004/01/27 17:20:15  r29173
+ * started implementing code builder in yacc parser
+ *
+ * Revision 1.21  2004/01/27 13:46:14  r29173
+ * started implementing code builder in yacc parser
+ *
+ * Revision 1.20  2004/01/22 12:10:40  r29173
+ * started implementing code builder in yacc parser
+ *
+ * Revision 1.19  2004/01/21 14:35:45  r29173
+ * started implementing code builder in yacc parser
+ *
+ * Revision 1.18  2004/01/16 11:18:29  r29173
+ * removed use of adms_xml_load_scope
+ *
+ * Revision 1.17  2004/01/16 10:24:02  r29173
+ * added admsPreprocessor code
+ * added admsSimulator code
+ *
+ * Revision 1.16  2004/01/13 11:25:52  r29173
+ * added adms_message_usage
+ *
+ * Revision 1.15  2004/01/09 16:23:48  r29173
+ * cleaned-up use of #include
+ *
+ * Revision 1.14  2004/01/08 10:28:49  r29173
+ * moved code to always.[ch]
+ *
+ * Revision 1.13  2004/01/07 15:19:15  r29173
+ * added command line arguments -h and -v
+ *
+ * Revision 1.12  2004/01/06 12:35:01  r29173
+ * fixed the use of globals: input file and output file
+ *
+ * Revision 1.11  2004/01/05 11:40:14  r29173
+ * added message when no arg specified at command line
+ *
+ * Revision 1.10  2003/12/15 21:47:14  r29173
+ * started to create adms_object_new automatically
+ *
+ * Revision 1.9  2003/12/15 20:54:30  r29173
+ * started to create adms_object_new automatically
+ *
+ * Revision 1.8  2003/12/12 14:33:27  r29173
+ * changed construct (a!=NULL) into (a) or (a)?1:0
+ *
+ * Revision 1.7  2003/12/11 16:01:54  r29173
+ * changed prefix [epst]_adms_ to [epst]_
+ *
+ * Revision 1.6  2003/12/05 13:47:14  r29173
+ * used only one routine to create xml nodes
+ *
+ * Revision 1.5  2003/11/08 22:25:52  r29173
+ * re-organized code (removed file simulatorLoad.c)
+ *
+ * Revision 1.4  2003/11/08 17:46:10  r29173
+ * re-organized handling of xparsers
+ *
+ * Revision 1.3  2003/10/31 16:43:06  r29173
+ * clean-up the way xparser works
+ *
+ * Revision 1.2  2003/05/21 14:18:02  r29173
+ * add rcs info
+ *
+ */
+
 /*[nepasimprimer]*/
+#include "admstpathYacc.h"
+typedef void (*p_valueto) (p_adms myadms1,p_adms myadms2);
+typedef void (*p_valuetobasicenumeration) (p_adms myadms,admse myinteger);
+typedef void (*p_valuetobasicinteger) (p_adms myadms,int myinteger);
+typedef void (*p_valuetobasicreal) (p_adms myadms,double myreal);
+typedef void (*p_valuetobasicstring) (p_adms myadms,char *mystring);
+/* examples: /a/b => \0,a,b and a/b/ => a,b,\0*/
+static p_slist adms_split_new (const char* myname)
+{
+  p_slist mypath=NULL;
+  const char* sj=myname;
+  const char* si=myname;
+  while(*sj!='\0')
+  {
+    if((*sj=='/')||(*sj=='\\'))
+    {
+      if(si==sj)
+        adms_slist_push(&mypath,NULL);
+      else
+        adms_slist_push(&mypath,(p_adms)adms_m2nclone(si,sj));
+      si=sj+1;
+    }
+    sj++;
+  }
+  adms_slist_push(&mypath,(p_adms)adms_m2nclone(si,sj));
+  return adms_slist_reverse(mypath);
+}
+static void free_strlist (p_slist myli0)
+{
+  p_slist myli;
+  for(myli=myli0;myli;myli=myli->next)
+    free(myli->data);
+  adms_slist_free(myli0);
+}
+static char* dirname (const char* myname)
+{
+  p_slist myli0=adms_split_new(myname);
+  char* mydirname=NULL;
+  p_slist myli=myli0;
+  int first=1;
+#if defined(ADMS_OS_MS)
+  if((myli->data==NULL)&&myli->next&&(!strcmp((char*)(myli->next->data),"cygdrive")))
+  {
+    myli=myli->next->next;
+    if(myli)
+    {
+      adms_k2strconcat(&mydirname,(char*)(myli->data));
+      adms_k2strconcat(&mydirname,":/");
+      myli=myli->next;
+    }
+    else
+      adms_k2strconcat(&mydirname,ADMS_PATH_SEPARATOR);
+  }
+#endif
+  for(;myli;myli=myli->next,first=0)
+  {
+    if(myli->data==NULL)
+      adms_k2strconcat(&mydirname,ADMS_PATH_SEPARATOR);
+    else if(myli->next==NULL)
+    {
+      if(!strcmp((char*)(myli->data),".")||!strcmp((char*)(myli->data),".."))
+      {
+        if(!first)
+          adms_k2strconcat(&mydirname,ADMS_PATH_SEPARATOR);
+        adms_k2strconcat(&mydirname,(char*)(myli->data));
+      }
+    }
+    else
+    {
+      adms_k2strconcat(&mydirname,(char*)(myli->data));
+      if(myli->next->next)
+        adms_k2strconcat(&mydirname,ADMS_PATH_SEPARATOR);
+    }
+  }
+  free_strlist(myli0);
+  if(mydirname)
+    return mydirname;
+  else
+    return adms_kclone(".");
+}
+static char* basename (const char* myname)
+{
+  p_slist myli0=adms_split_new(myname);
+  char* mybasename=NULL;
+  p_slist myli=adms_slist_last(myli0);
+  if(!(!strcmp((char*)(myli->data),".")||!strcmp((char*)(myli->data),"..")))
+    adms_k2strconcat(&mybasename,(char*)(myli->data));
+  free_strlist(myli0);
+  return mybasename;
+}
+static char* filename (const char* myname)
+{
+  char* myfilename=NULL;
+  char* mybasename=basename(myname);
+  adms_strconcat(&myfilename,dirname(myname));
+  if(mybasename)
+  {
+    adms_k2strconcat(&myfilename,ADMS_PATH_SEPARATOR);
+    adms_strconcat(&myfilename,mybasename);
+  }
+  return myfilename;
+}
+/*',,' becomes '' '' ''*/
+/*'' becomes ''*/
+/*'a\' 'b\' 'c' becomes 'a,b,c' - note: 'a\\' becomes 'a\' - strlen(delimiter) should be 1 */
+static p_slist adms_strsplit (const char* string,const char* delimiter,int max_tokens)
+{
+  p_slist myl=NULL;
+  p_slist myslash=NULL;
+  p_slist myli0=NULL;
+  p_slist myli;
+  const char* myrem=string;
+  char* occurence=strstr(myrem,delimiter);
+  int dlen=strlen(delimiter);
+  int stringlen=strlen(myrem);
+  while(--max_tokens && occurence)
+  {
+    int len=(int)(occurence-myrem);
+    char* str=adms_knclone(myrem,len);
+    adms_slist_push(&myli0,(p_adms)str);
+    myrem+=len+dlen;
+    if(!*myrem)
+      adms_slist_push(&myli0,(p_adms)adms_kclone(""));
+    occurence=strstr(myrem,delimiter);
+  }
+  if(*myrem)
+    adms_slist_push(&myli0,(p_adms)adms_knclone(myrem,stringlen-(int)(myrem-string)));
+  if(stringlen==0)
+    adms_slist_push(&myli0,(p_adms)adms_kclone(""));
+  adms_slist_inreverse(&myli0);
+  for(myli=myli0;myli;myli=myli->next)
+  {
+    char*s=(char*)(myli->data);
+    int mylength=strlen(s);
+    if(myli->next&&mylength&&(*(s+mylength-1)=='\\'))
+    {
+      *(s+mylength-1)=*delimiter;
+      if(!myslash)
+        myslash=myli;
+    }
+    else
+    {
+      if(myslash)
+      {
+        p_slist mylj;
+        char* myconcat=NULL;
+        for(mylj=myslash;mylj!=myli->next;mylj=mylj->next)
+          adms_strconcat(&myconcat,(char*)(mylj->data));
+        adms_slist_push(&myl,(p_adms)myconcat);
+        myslash=NULL;
+      }
+      else
+        adms_slist_push(&myl,myli->data);
+    }
+  }
+  adms_slist_free(myli0);
+  return adms_slist_reverse(myl);
+}
+static void adms_push_admst (p_admst myadmst,p_transform mytransform)
+{
+  adms_slist_push(&root()->_valueof,(p_adms)myadmst);
+  adms_slist_push(&root()->_valueof,(p_adms)mytransform);
+  if(myadmst && myadmst->_refd) myadmst->_refd++;
+}
+
 /*[nepasimprimer]*/
+static void awrite (p_transform mytransform,p_admst mylhs,p_admst myrhsori)
+{
+  p_admst myrhs=aread(myrhsori);
+  if(mylhs->_pseudo==admse__croix)
+  {
+    ((p_attribute)mylhs->_item.p)->_value=(p_adms)myrhs;
+    if(myrhs) if(myrhs->_refd) myrhs->_refd++;
+  }
+  else if(!mylhs->_valueto)
+  {
+    adms_message_fatal_continue(("assignment not supported - lhs=%s rhs=%s\n",ns_etostr(mylhs->_pseudo),ns_etostr(myrhs->_pseudo)))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+  }
+  else if(!myrhs)
+  {
+    ((p_valueto)mylhs->_valueto)(mylhs->_previous->_item.p,NULL);
+  }
+  else if(mylhs->_pseudo==admse_basicenumeration)
+  {
+    char* myvalues=aprintf(mytransform,myrhs);
+    admse mye=ns_strtoe(myvalues);
+    ((p_valuetobasicenumeration)mylhs->_valueto)(mylhs->_previous->_item.p,mye);
+    free(myvalues);
+  }
+  else if(mylhs->_pseudo==admse_basicinteger)
+  {
+    char* myvalues=aprintf(mytransform,myrhs);
+    int myi=adms_strtol(mytransform,myvalues);
+    ((p_valuetobasicinteger)mylhs->_valueto)(mylhs->_previous->_item.p,myi);
+    free(myvalues);
+  }
+  else if(mylhs->_pseudo==admse_basicreal)
+  {
+    char* myvalues=aprintf(mytransform,myrhs);
+    double myr=adms_strtod(mytransform,myvalues);
+    ((p_valuetobasicreal)mylhs->_valueto)(mylhs->_previous->_item.p,myr);
+    free(myvalues);
+  }
+  else if(mylhs->_pseudo==admse_basicstring)
+  {
+    char* myvalues=aprintf(mytransform,myrhs);
+    ((p_valuetobasicstring)mylhs->_valueto)(mylhs->_previous->_item.p,myvalues);
+  }
+  else if(mylhs->_pseudo==myrhs->_pseudo)
+    ((p_valueto)mylhs->_valueto)(mylhs->_previous->_item.p,myrhs->_item.p);
+  else if(mylhs->_pseudo==admse_empty)
+  {
+    if((myrhs->_pseudo==admse_basicenumeration)
+    ||(myrhs->_pseudo==admse_basicinteger)
+    ||(myrhs->_pseudo==admse_basicreal)
+    ||(myrhs->_pseudo==admse_basicstring))
+    {
+      adms_message_fatal_continue(("assigment not supported - lhs=empty rhs=%s\n",ns_etostr(myrhs->_pseudo)))
+      adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    }
+    else
+      ((p_valueto)mylhs->_valueto)(mylhs->_previous->_item.p,myrhs->_item.p);
+  }
+  else if(mylhs->_pseudo!=admse_empty && myrhs->_pseudo!=admse_empty && mylhs->_pseudo!=myrhs->_pseudo)
+  {
+    adms_message_error_continue(("mismatch in assigment - lhs=%s rhs=%s\n",ns_etostr(mylhs->_pseudo),ns_etostr(myrhs->_pseudo)))
+    adms_message_error(("see %s\n",adms_transform_uid(mytransform)))
+    ((p_valueto)mylhs->_valueto)(mylhs->_previous->_item.p,myrhs->_item.p);
+  }
+  else
+  {
+    ((p_valueto)mylhs->_valueto)(mylhs->_previous->_item.p,myrhs->_item.p);
+  }
+}
+static void postx (p_transform mytransform)
+{
+  p_slist myli;
+  postxx(mytransform);
+  for(myli=mytransform->_templates;myli;myli=myli->next)
+    postx((p_transform)myli->data);
+  for(myli=mytransform->_children;myli;myli=myli->next)
+    postx((p_transform)myli->data);
+}
+
 /*[nepasimprimer]*/
+static p_slist getlist_from_argv (const int argc,const char* *argv,const char* opt,char* argtype)
+{
+  p_slist mylist=NULL;
+  int i;
+  for(i=1;i<argc;i++)
+  {
+    char* myargvalue=NULL;
+    const char* value=argv[i];
+    if((strlen(value)==2)&&!strcmp(value,"-x"))
+      adms_message_verbose(("command option %s: ignored\n",value))
+    else if((strlen(value)==3)&&!strcmp(value,"-xv"))
+      adms_message_verbose(("command option %s: ignored\n",value))
+    else
+    {
+      if(!strcmp(opt,"-f"))
+      {
+        if((strlen(value)>2)&&(value[0]=='-'))
+        {}
+        else if((strlen(value)==2)&&(value[0]=='-'))
+          i++;
+        else
+          myargvalue=adms_kclone(argv[i]);
+      }
+      if(!strcmp(opt,value))
+      {
+        if(i<argc-1)
+          myargvalue=adms_kclone(argv[++i]);
+        else
+          adms_message_fatal(("option %s: no value found - expecting %s\n",opt,argtype))
+      }
+      else if((strlen(value)>2)&&!strncmp(opt,value,2))
+        myargvalue=adms_knclone(value+2,strlen(value)-2);
+      if(myargvalue)
+      {
+        char* myunixpath=filename(myargvalue);
+        free(myargvalue);
+        if(!strcmp(argtype,"file"))
+        {
+          if(!adms_file_isregular(myunixpath))
+            adms_message_fatal(("option %s: cannot read file '%s'\n",opt,myunixpath))
+        }
+        else if(!strcmp(argtype,"directory"))
+        {
+          if(!adms_file_isdirectory(myunixpath))
+            adms_message_fatal(("option %s: cannot read directory '%s'\n",opt,myunixpath))
+        }
+        adms_slist_push(&mylist,(p_adms)myunixpath);
+        adms_message_verbose(("shift: %s %s\n",opt,myunixpath))
+      }
+    }
+  }
+  return adms_slist_reverse(mylist);
+}
+#include "admsPreprocessor.h"
+#include "admsVeriloga.h"
+
 /*[nepasimprimer]*/
+static void parseva (const int argc,const char** argv,char* myverilogamsfile)
+{
+  p_slist myli;
+  char* mytmpverilogamsfile=NULL;
+  adms_k2strconcat(&mytmpverilogamsfile,".");
+  adms_strconcat(&mytmpverilogamsfile,basename(myverilogamsfile));
+  adms_k2strconcat(&mytmpverilogamsfile,".adms");
+  root()->_filename=basename(myverilogamsfile);
+  root()->_fullfilename=adms_kclone(myverilogamsfile);
+  root()->_curfilename=adms_kclone(myverilogamsfile);
+  adms_message_info(("%sXml-%s (%s) %s %s\n",PACKAGE_NAME,PACKAGE_VERSION,SVN,__DATE__,__TIME__))
+  /* preprocess input file */
+  {
+    p_preprocessor mypreprocessor=(p_preprocessor)malloc(sizeof(t_preprocessor));
+    FILE* myverilogamsfilefh=adms_file_open_read(myverilogamsfile);
+    FILE* ofh=fopen(mytmpverilogamsfile,"wb");
+    if(!ofh)
+      adms_message_fatal(("%s: failed to open file [write mode]\n",mytmpverilogamsfile))
+    adms_preprocessor_setfile_input(myverilogamsfilefh);
+    mypreprocessor->cur_line_position=1;
+    mypreprocessor->cur_char_position=1;
+    mypreprocessor->cur_message=NULL;
+    mypreprocessor->fid=myverilogamsfilefh;
+    mypreprocessor->filename=adms_kclone(myverilogamsfile);
+    mypreprocessor->buffer=NULL;
+    mypreprocessor->cur_continuator_position=NULL;
+    adms_preprocessor_valueto_main((p_preprocessor_main)malloc(sizeof(t_preprocessor_main)));
+    pproot()->Defined=NULL;
+    pproot()->Scanner=NULL;
+    pproot()->Text=NULL;
+    pproot()->cr_filename=adms_kclone(myverilogamsfile);
+    pproot()->cr_scanner=mypreprocessor;
+    pproot()->error=0;
+    adms_slist_push(&pproot()->skipp_text,(p_adms)(long)(0));
+    pproot()->includePath=getlist_from_argv(argc,argv,"-I","directory");
+    adms_slist_push(&pproot()->includePath,(p_adms)".");
+    adms_preprocessor_get_define_from_argv(argc,argv);
+    adms_preprocessor_define_add_default("insideADMS");
+    adms_message_verbose(("create temporary file %s\n",mytmpverilogamsfile))
+    (int) preprocessorparse();
+    /* save preprocessed Verilog-AMS file */
+    fputs("# 1 \"",ofh);
+    fputs(pproot()->cr_scanner->filename,ofh);
+    fputs("\"\n",ofh);
+    adms_slist_inreverse(&pproot()->Text);
+    for(myli=pproot()->Text;myli;myli=myli->next)
+      fputs(((p_preprocessor_text)(myli->data))->_str,ofh);
+    fclose(ofh);
+    /* free preprocessor */
+    free(mypreprocessor->filename);
+    free(pproot()->cr_scanner);
+    fclose(myverilogamsfilefh);
+  }
+  /* parses temporary file */
+  {
+    FILE* ifh=adms_file_open_read(mytmpverilogamsfile);
+    if(root()->_dbg_vla==admse_yes)
+      adms_veriloga_setint_yydebug(1);
+    adms_veriloga_setfile_input(ifh);
+    if(verilogaparse(root()))
+      adms_message_fatal(("parse Verilog-AMS preprocessed file '%s' failed\n",mytmpverilogamsfile))
+    else
+      adms_message_verbose(("No error found during parsing\n"))
+    fclose(ifh);
+  }
+  for(myli=root()->_module;myli;myli=myli->next)
+    ((p_module)myli->data)->_evaluation=adms_evaluation_new();
+  free(mytmpverilogamsfile);
+  free(myverilogamsfile);
+}
+
 /*[nepasimprimer]*/
+static void Xattribute (p_transform mytransform,p_admst dot,p_admst dotdot)
+{
+}
+static void Xnotadmst (p_transform mytransform,p_admst dot,p_admst dotdot)
+{
+  p_slist myli;
+  p_slist Attribute=mytransform->_attribute;
+  fputs("<",OUT());
+  fputs(mytransform->_name,OUT());
+  while(Attribute)
+  {
+    p_attribute myattribute=(p_attribute)Attribute->data;
+    char* value=tsprintf(dot,(p_text)myattribute->_value);
+    fputs(" ",OUT());
+    fputs(myattribute->_name,OUT());
+    fputs("=\"",OUT());
+    fputs(value,OUT());
+    free(value);
+    fputs("\"",OUT());
+    Attribute=Attribute->next;
+  }
+  for(myli=mytransform->_children;myli;myli=myli->next)
+  {
+    p_transform mychild=(p_transform)myli->data;
+    if(mychild->_callback==(void*)Xattribute)
+    {
+      char* name=tsprintf(dot,mychild->_textname);
+      fputs(" ",OUT());
+      fputs(name,OUT());
+      free(name);
+      fputs("=\"",OUT());
+      xtraverse(mychild->_children,dot,dotdot);
+      fputs("\"",OUT());
+    }
+  }
+  fputs(">\n",OUT());
+  xtraverse(mytransform->_children,dot,dotdot);
+  fputs("</",OUT());
+  fputs(mytransform->_name,OUT());
+  fputs(">\n",OUT());
+}
+
 /*[nepasimprimer]*/
+static int myskipversion=0;
+static void Xadmst (p_transform mytransform,p_admst dot,p_admst dotdot)
+{
+  if(!myskipversion&&mytransform->_textversion)
+  {
+    char* installed=PACKAGE_VERSION;
+    p_slist Installed=adms_strsplit(installed,".",3);
+    int installed_major=strtol((char*)(Installed->data),(char* *)NULL,10);
+    int installed_minor=strtol((char*)(Installed->next->data),(char* *)NULL,10);
+    char* requested=tsprintf(dot,mytransform->_textversion);
+    p_slist Requested=adms_strsplit(requested,".",3);
+    int xmlrequested_major;
+    int xmlrequested_minor;
+    if(!(Requested&&Requested->next&&Requested->next->next))
+      adms_message_fatal(("%s: invalid version number\n",adms_transform_uid(mytransform)))
+    errno=0;
+    xmlrequested_major=strtol((char*)(Requested->data),(char* *)NULL,10);
+    xmlrequested_minor=strtol((char*)(Requested->next->data),(char* *)NULL,10);
+    if(errno)
+    {
+      adms_message_fatal_continue(("'%s' invalid number - expecting for instance %s\n",requested,installed))
+      adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    }
+    if((xmlrequested_major>installed_major)||(xmlrequested_major==installed_major && xmlrequested_minor>installed_minor))
+    {
+      adms_message_fatal_continue(("adms version too old (requested by xml control file: %s installed adms version: %s)\n",requested,installed))
+      adms_message_fatal_continue(("please install more recent version of adms\n"))
+      adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    }
+    if((xmlrequested_major<installed_major)||(xmlrequested_major==installed_major && xmlrequested_minor<installed_minor))
+    {
+      adms_message_warning(("adms version too recent (requested by xml control file: %s installed adms version: %s)\n",requested,installed))
+      adms_message_warning(("please upgrade your xml control files or use other version of adms\n"))
+      adms_message_warning(("see %s\n",adms_transform_uid(mytransform)))
+    }
+    free(requested);
+    free_strlist(Installed);
+    free_strlist(Requested);
+  }
+  xtraverse(mytransform->_children,dot,dotdot);
+}
+
 /*[nepasimprimer]*/
+static void Xforeach (p_transform mytransform,p_admst dot,p_admst dotdot)
+{
+  p_slist myli;
+  p_ptraverse pselect=bar(dot,mytransform->_pathselect);
+  for(myli=pselect->_admst;myli;myli=myli->next)
+    xtraverse(mytransform->_children,(p_admst)myli->data,dot);
+  free_ptraverse(pselect);
+}
+static void Xjoin (p_transform mytransform,p_admst dot,p_admst dotdot)
+{
+  p_slist myli;
+  char* separator=(mytransform->_textseparator)?tsprintf(dot,mytransform->_textseparator):NULL;
+  p_ptraverse pselect=bar(dot,mytransform->_pathselect);
+  for(myli=pselect->_admst;myli;myli=myli->next)
+  {
+    xtraverse(mytransform->_children,(p_admst)myli->data,dot);
+    if(myli->next&&separator)
+      fputs(separator,OUT());
+  }
+  free(separator);
+  free_ptraverse(pselect);
+}
+static void Xbreak (p_transform mytransform,p_admst dot,p_admst dotdot)
+{
+  break_found=1;
+}
+static void Xvalueof (p_transform mytransform,p_admst dot,p_admst dotdot)
+{
+  p_ptraverse pselect=bar(dot,mytransform->_pathselect);
+  if(pselect->_admst)
+  {
+    p_slist myli=pselect->_admst;
+    for(;myli;myli=myli->next)
+    {
+      p_admst myadmst=(p_admst)myli->data;
+      adms_push_admst(myadmst,mytransform);
+    }
+  }
+  else
+    adms_push_admst(NULL,mytransform);
+  free_ptraverse(pselect);
+}
+
 /*[nepasimprimer]*/
+/*bug: if-inside behaves like if-not-inside when 'select' is not a $var*/
+static int Find (p_slist l,p_kadms data)
+{
+  while(l)
+  {
+    if(l->data==data)
+      return 1;
+    l=l->next;
+  }
+  return 0;
+}
+static int Xifinsidep_isempty (p_transform mytransform,p_admst dot,p_admst dotdot)
+{
+  p_admst mystackinto=adms_pull_admst(mytransform);
+  if(mystackinto)
+  {
+    if(mystackinto->_pseudo==admse_basiclist)
+    {
+      adms_message_fatal_continue(("'into' is a dollar variable - this is not supported\n"))
+      adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    }
+    else if(mystackinto->_pseudo==admse__ladms)
+    {
+      p_slist into=(p_slist)mystackinto->_item.p;
+      p_ptraverse pselect=bar(dot,mytransform->_pathselect);
+      p_slist lselect;
+      for(lselect=pselect->_admst;lselect;lselect=lselect->next)
+      {
+        p_admst myadmst=(p_admst)lselect->data;
+        if(myadmst->_pseudo==admse_basiclist)
+        {
+          adms_message_fatal_continue(("'select' is a dollar variable - this is not supported\n"))
+          adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+        }
+        else if(myadmst->_pseudo==admse__ladms)
+        {
+          p_slist mylii;
+          for(mylii=(p_slist)myadmst->_item.p;mylii;mylii=mylii->next)
+            if(!Find(into,mylii->data))
+            {
+              free_ptraverse(pselect);
+              deref(mystackinto);
+              return 0;
+            }
+        }
+        else
+          if(Find(into,myadmst->_item.p))
+          {
+            free_ptraverse(pselect);
+            deref(mystackinto);
+            return 0;
+          }
+      }
+      free_ptraverse(pselect);
+    }
+    deref(mystackinto);
+  }
+  return 1;
+}
+
 /*[nepasimprimer]*/
+static void Xifinsidep (p_transform mytransform,p_admst dot,p_admst dotdot)
+{
+  if(!Xifinsidep_isempty(mytransform,dot,dotdot))
+    xtraverse(mytransform->_children,dot,dotdot);
+}
+static void Xifnotinsidep (p_transform mytransform,p_admst dot,p_admst dotdot)
+{
+  if(Xifinsidep_isempty(mytransform,dot,dotdot))
+    xtraverse(mytransform->_children,dot,dotdot);
+}
+
 /*[nepasimprimer]*/
+static int Xifinsidepath_isempty (p_transform mytransform,p_admst dot,p_admst dotdot)
+{
+  p_ptraverse plist=bar(dot,mytransform->_pathlist);
+  p_slist linto;
+  for(linto=plist->_admst;linto;linto=linto->next)
+  {
+    p_admst ainto=(p_admst)linto->data;
+    if(ainto->_pseudo==admse_basiclist)
+    {
+      adms_message_fatal_continue(("'into' is a dollar variable - this is not supported\n"))
+      adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    }
+    else if(ainto->_pseudo==admse__ladms)
+    {
+      p_slist into=(p_slist)ainto->_item.p;
+      if(into)
+      {
+        p_ptraverse pselect=bar(dot,mytransform->_pathselect);
+        p_slist lselect;
+        for(lselect=pselect->_admst;lselect;lselect=lselect->next)
+        {
+          p_admst aselect=(p_admst)lselect->data;
+          if(aselect->_pseudo==admse_basiclist)
+          {
+            adms_message_fatal_continue(("'select' is a dollar variable - this is not supported\n"))
+            adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+          }
+          else if(aselect->_pseudo==admse__ladms)
+          {
+            p_slist myli=(p_slist)aselect->_item.p;
+            for(;myli;myli=myli->next)
+              if(!Find(into,((p_admst)myli->data)->_item.p))
+              {
+                free_ptraverse(pselect);
+                free_ptraverse(plist);
+                return 0;
+              }
+          }
+          else if(Find(into,aselect->_item.p))
+          {
+            free_ptraverse(pselect);
+            free_ptraverse(plist);
+            return 0;
+          }
+        }
+        free_ptraverse(pselect);
+      }
+    }
+  }
+  free_ptraverse(plist);
+  return 1;
+}
+static void Xifinsidepath (p_transform mytransform,p_admst dot,p_admst dotdot)
+{
+  if(!Xifinsidepath_isempty(mytransform,dot,dotdot))
+    xtraverse(mytransform->_children,dot,dotdot);
+}
+static void Xifnotinsidepath (p_transform mytransform,p_admst dot,p_admst dotdot)
+{
+  if(Xifinsidepath_isempty(mytransform,dot,dotdot))
+    xtraverse(mytransform->_children,dot,dotdot);
+}
+
 /*[nepasimprimer]*/
+static void Xchoose (p_transform mytransform,p_admst dot,p_admst dotdot)
+{
+  p_slist myli;
+  for(myli=mytransform->_children;myli;myli=myli->next)
+  {
+    p_transform mychild=(p_transform)myli->data;
+    if(!strcmp(mychild->_name,"admst:when"))
+    {
+      p_ptraverse ptest=bar(dot,mychild->_pathtest);
+      if(ptest->_admst&&((p_admst)ptest->_admst->data)->_item.p)
+      {
+        xtraverse(mychild->_children,dot,dotdot);
+        free_ptraverse(ptest);
+        return;
+      }
+      free_ptraverse(ptest);
+    }
+    else if(!strcmp(mychild->_name,"admst:otherwise"))
+    {
+      xtraverse(mychild->_children,dot,dotdot);
+      return;
+    }
+    else
+      adms_message_fatal(("%s: %s admst transform unexpected",adms_transform_uid(mytransform),adms_transform_uid(mychild)))
+  }
+}
+
 /*[nepasimprimer]*/
+static void Xif (p_transform mytransform,p_admst dot,p_admst dotdot)
+{
+  xtraverse(mytransform->_children,dot,dotdot);
+}
+
 /*[nepasimprimer]*/
+static void Xreturn (p_transform mytransform,p_admst dot,p_admst dotdot)
+{
+  char* myname=tsprintf(dot,mytransform->_textname);
+  char* mystring=tsprintf(dot,mytransform->_textstring);
+  p_admst d=adms_admst_newpa(dot,dot,(p_adms)adms_return_new(myname,mystring));
+  d->_hasnewbasicstring=2;
+  free(myname);
+  free(mystring);
+  if(root()->_itransform)
+  {
+    d->_refd++;
+    adms_slist_push(&((p_itransform)root()->_itransform->data)->_return,(p_adms)d);
+    return;
+  }
+  adms_message_fatal_continue(("admst:return only allowed inside admst:template\n"))
+  adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+}
+
 /*[nepasimprimer]*/
+static void Xapplytemplates (p_transform mytransform,p_admst dot,p_admst dotdot)
+{
+  p_ptraverse pselect=bar(dot,mytransform->_pathselect);
+  p_slist myl0;
+  for(myl0=pselect->_admst;myl0;myl0=myl0->next)
+  {
+    p_slist myl1;
+    char* match=tsprintf((p_admst)myl0->data,mytransform->_textmatch);
+    p_itransform myitransform;
+    p_transform mytemplate=lookfortemplates(mytransform,match);
+    if(!mytemplate)
+    {
+      adms_message_fatal_continue(("Template not found:\n"))
+      adms_message_fatal_continue(("  <admst:template match=\"%s\"/>\n",match))
+      adms_message_fatal(("  see:  %s\n",adms_transform_uid(mytransform)))
+    }
+    free(match);
+    myitransform=adms_itransform_new(mytemplate);
+    myitransform->_originalcall=root()->_itransform?((p_itransform)root()->_itransform->data)->_originalcall:mytransform;
+    adms_slist_push(&root()->_itransform,(p_adms)myitransform);
+    if(((p_admst)myl0->data)->_item.p)
+    {
+      p_slist l;
+      xtraverse(mytemplate->_children,(p_admst)myl0->data,dot);
+      for(l=myitransform->_variable;l;l=l->next)
+      {
+        p_slist li;
+        for(li=((p_admstvariable)l->data)->_value;li;li=li->next)
+          deref((p_admst)li->data);
+        adms_admstvariable_free((p_admstvariable)l->data);
+      }
+    }
+    adms_slist_pull(&root()->_itransform);
+    adms_slist_push(&root()->_itransforminsideapplytemplate,(p_adms)myitransform);
+    xtraverse(mytransform->_children,(p_admst)myl0->data,dot);
+    break_found=0;
+    for(myl1=myitransform->_return;myl1;myl1=myl1->next)
+    {
+      p_admst myadmst=(p_admst)myl1->data;
+      myadmst->_refd--;
+      if(myadmst->_refd==1)
+      {
+        adms_return_free((p_return)myadmst->_item.p);
+        adms_admst_free(myadmst);
+      }
+    }
+    adms_itransform_free(myitransform);
+    adms_slist_pull(&root()->_itransforminsideapplytemplate);
+  }
+  free_ptraverse(pselect);
+}
+
 /*[nepasimprimer]*/
+static p_admstvariable getdollar (p_transform mytransform,p_admst dot)
+{
+  char* tname=tsprintf(dot,mytransform->_textname);
+  p_admstvariable mydollar=lookup_dollar(tname);
+  if(!mydollar)
+  {
+    mydollar=adms_admstvariable_new(tname);
+    if(root()->_itransform)
+    {
+      p_itransform myitransform=(p_itransform)root()->_itransform->data;
+      adms_slist_push(&myitransform->_variable,(p_adms)mydollar);
+    }
+    else
+      adms_slist_push(&root()->_variable,(p_adms)mydollar);
+  }
+  free(tname);
+  return mydollar;
+}
+static void derefprevious (p_slist v)
+{
+  p_slist l;
+  for(l=v;l;l=l->next)
+  {
+    ((p_admst)l->data)->_refd--;
+    deref((p_admst)l->data);
+  }
+  adms_slist_free(v);
+}
+static p_admstvariable getdollarnulled (p_transform mytransform,p_admst dot)
+{
+  p_admstvariable mydollar=getdollar(mytransform,dot);
+  derefprevious(mydollar->_value);
+  mydollar->_value=NULL;
+  return mydollar;
+}
+static void Xvariablenull (p_transform mytransform,p_admst dot,p_admst dotdot)
+{
+  (void)getdollarnulled(mytransform,dot);
+}
+static void Xvariablep (p_transform mytransform,p_admst dot,p_admst dotdot)
+{
+  adms_slist_push(&getdollarnulled(mytransform,dot)->_value,(p_adms)adms_pull_admst(mytransform));
+}
+static void Xvariableconstant (p_transform mytransform,p_admst dot,p_admst dotdot)
+{
+  adms_slist_push(&getdollarnulled(mytransform,dot)->_value,mytransform->_textstring->_token->data);
+}
+static void Xvariablestring (p_transform mytransform,p_admst dot,p_admst dotdot)
+{
+  p_admstvariable mydollar=getdollar(mytransform,dot);
+  p_slist mypreviousvalue=mydollar->_value;
+  p_admst myadmst=adms_admst_newns(dot,dot,tsprintf(dot,mytransform->_textstring));
+  mydollar->_value=NULL;
+  adms_slist_push(&mydollar->_value,(p_adms)myadmst);
+  if(myadmst->_refd) myadmst->_refd++;
+  derefprevious(mypreviousvalue);
+}
+static void Xvariable (p_transform mytransform,p_admst dot,p_admst dotdot)
+{
+  p_slist l;
+  p_slist ll;
+  p_admstvariable mydollar=getdollar(mytransform,dot);
+  p_slist mypreviousvalue=mydollar->_value;
+  p_ptraverse ppath=bar(dot,mytransform->_pathpath);
+  mydollar->_value=ppath->_admst;
+  for(l=mydollar->_value;l;l=l->next)
+  {
+    if(((p_admst)l->data)->_refd)
+     ((p_admst)l->data)->_refd++;
+    if(((p_admst)l->data)->_hasnewbasicstring!=2)
+      ((p_admst)l->data)->_refd++;
+  }
+  for(l=ppath->_alladmst;l;l=l->next)
+    for(ll=(p_slist)l->data;ll;ll=ll->next)
+      deref((p_admst)ll->data);
+  for(l=ppath->_aliasadmst;l;l=l->next)
+    deref((p_admst)l->data);
+  for(l=ppath->_admst;l;l=l->next)
+    deref((p_admst)l->data);
+  adms_slist_free(ppath->_alladmst);
+  adms_slist_free(ppath->_aliasadmst);
+  free(ppath);
+  derefprevious(mypreviousvalue);
+}
+
 /*[nepasimprimer]*/
+static void Xvaluetop (p_transform mytransform,p_admst dot,p_admst dotdot)
+{
+  p_ptraverse pselect=bar(dot,mytransform->_pathselect);
+  p_slist myli;
+  for(myli=pselect->_admst;myli;myli=myli->next)
+    awrite(mytransform,(p_admst)myli->data,adms_pull_admst(mytransform));
+  free_ptraverse(pselect);
+}
+static void Xvaluetopath (p_transform mytransform,p_admst dot,p_admst dotdot)
+{
+  p_ptraverse pselect=bar(dot,mytransform->_pathselect);
+  p_ptraverse ptstring=bar(dot,(p_path)mytransform->_textstring->_token->data);
+  p_slist myli=pselect->_admst;
+  p_slist mylii=ptstring->_admst;
+  for(;myli&&mylii;myli=myli->next,mylii=mylii->next?mylii->next:mylii)
+    awrite(mytransform,(p_admst)myli->data,(p_admst)mylii->data);
+  free_ptraverse(ptstring);
+  free_ptraverse(pselect);
+}
+static void Xvaluetonull (p_transform mytransform,p_admst dot,p_admst dotdot)
+{
+  p_ptraverse pselect=bar(dot,mytransform->_pathselect);
+  p_slist myli=pselect->_admst;
+  for(;myli;myli=myli->next)
+  {
+    p_admst myadmst=(p_admst)myli->data;
+    if(myadmst->_pseudo==admse_basiclist)
+      ((p_admstvariable)myadmst->_item.p)->_value=NULL;
+    else if(myadmst->_pseudo==admse__ladms || myadmst->_pseudo==admse__ladmst)
+      awrite(mytransform,(p_admst)myli->data,NULL);
+    else if(myadmst->_pseudo==admse__croix)
+    {
+      p_attribute myattribute=(p_attribute)myadmst->_item.p;
+      myattribute->_value=NULL;
+    }
+  }
+  free_ptraverse(pselect);
+}
+static void Xvaluetoconstant (p_transform mytransform,p_admst dot,p_admst dotdot)
+{
+  p_ptraverse pselect=bar(dot,mytransform->_pathselect);
+  p_slist myli;
+  p_admst myconstant=(p_admst)mytransform->_textstring->_token->data;
+  for(myli=pselect->_admst;myli;myli=myli->next)
+    awrite(mytransform,(p_admst)myli->data,myconstant);
+  free_ptraverse(pselect);
+}
+static void Xvaluetostring (p_transform mytransform,p_admst dot,p_admst dotdot)
+{
+  p_ptraverse pselect=bar(dot,mytransform->_pathselect);
+  p_slist l;
+  char* tvalue=tsprintf(dot,mytransform->_textstring);
+  p_admst myadmst=adms_admst_newns(dot,dot,tvalue);
+  for(l=pselect->_admst;l;l=l->next)
+    awrite(mytransform,(p_admst)l->data,myadmst);
+  deref(myadmst);
+  free_ptraverse(pselect);
+}
+static void Xvalueto (p_transform mytransform,p_admst dot,p_admst dotdot)
+{
+  p_ptraverse pselect=bar(dot,mytransform->_pathselect);
+  p_ptraverse ppath=bar(dot,mytransform->_pathpath);
+  p_slist myli;
+  p_slist mylii=ppath->_admst;
+  if(mylii)
+    for(myli=pselect->_admst;myli;myli=myli->next,mylii=mylii->next?mylii->next:mylii)
+      awrite(mytransform,(p_admst)myli->data,(p_admst)mylii->data);
+  else
+    for(myli=pselect->_admst;myli;myli=myli->next)
+      awrite(mytransform,(p_admst)myli->data,NULL);
+  free_ptraverse(ppath);
+  free_ptraverse(pselect);
+}
+
+
 /*[nepasimprimer]*/
+static void Xtext (p_transform mytransform,p_admst dot,p_admst dotdot)
+{
+  if(mytransform->_textformat==NULL)
+    return;
+  if(mytransform->_pathselect)
+  {
+    p_ptraverse pselect=bar(dot,mytransform->_pathselect);
+    p_slist myli;
+    for(myli=pselect->_admst;myli;myli=myli->next)
+      tprintf((p_admst)myli->data,mytransform->_textformat);
+    free_ptraverse(pselect);
+  }
+  else
+    tprintf(dot,mytransform->_textformat);
+}
+
 /*[nepasimprimer]*/
+static void Xmessage (p_transform mytransform,p_admst dot,p_admst dotdot)
+{
+  if(mytransform->_pathselect)
+  {
+    p_ptraverse pselect=bar(dot,mytransform->_pathselect);
+    p_slist myli;
+    for(myli=pselect->_admst;myli;myli=myli->next)
+    {
+      char* text=tsprintf((p_admst)myli->data,mytransform->_textformat);
+      adms_message_info(("%s",text))
+      free(text);
+    }
+    free_ptraverse(pselect);
+  }
+  else
+  {
+    char* text=tsprintf(dot,mytransform->_textformat);
+    adms_message_info(("%s",text))
+    free(text);
+  }
+}
+static void Xwarning (p_transform mytransform,p_admst dot,p_admst dotdot)
+{
+  if(mytransform->_pathselect)
+  {
+    p_ptraverse pselect=bar(dot,mytransform->_pathselect);
+    p_slist myli;
+    for(myli=pselect->_admst;myli;myli=myli->next)
+    {
+      char* text=tsprintf((p_admst)myli->data,mytransform->_textformat);
+      adms_message_warning(("%s",text))
+      free(text);
+    }
+    free_ptraverse(pselect);
+  }
+  else
+  {
+    char* text=tsprintf(dot,mytransform->_textformat);
+    adms_message_warning(("%s",text))
+    free(text);
+  }
+}
+static void Xerror (p_transform mytransform,p_admst dot,p_admst dotdot)
+{
+  if(mytransform->_pathselect)
+  {
+    p_ptraverse pselect=bar(dot,mytransform->_pathselect);
+    p_slist myli;
+    for(myli=pselect->_admst;myli;myli=myli->next)
+    {
+      char* text=tsprintf((p_admst)myli->data,mytransform->_textformat);
+      adms_message_error(("%s",text))
+      free(text);
+    }
+    free_ptraverse(pselect);
+  }
+  else
+  {
+    char* text=tsprintf(dot,mytransform->_textformat);
+    adms_message_error(("%s",text))
+    free(text);
+  }
+}
+static void Xfatal (p_transform mytransform,p_admst dot,p_admst dotdot)
+{
+  if(mytransform->_pathselect)
+  {
+    p_ptraverse pselect=bar(dot,mytransform->_pathselect);
+    p_slist myli;
+    for(myli=pselect->_admst;myli;myli=myli->next)
+    {
+      char* text=tsprintf((p_admst)myli->data,mytransform->_textformat);
+      adms_message_fatal_continue(("%s",text))
+      free(text);
+    }
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    free_ptraverse(pselect);
+  }
+  else
+  {
+    char* text=tsprintf(dot,mytransform->_textformat);
+    adms_message_fatal_continue(("%s",text))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    free(text);
+  }
+}
+
 /*[nepasimprimer]*/
+static void Xnewarguments (p_transform mytransform,p_admst dot,p_admst dotdot)
+{
+  p_admst myadmst;
+  int size=adms_slist_length(mytransform->_textarguments);
+  p_slist* Arguments=(p_slist*)calloc(size,sizeof(p_admst));
+  char* tdatatype=tsprintf(dot,mytransform->_textdatatype);
+  p_new new=!strcmp(tdatatype,"quark")?adms_admsxml_new(mytransform,"basicstring"):adms_admsxml_new(mytransform,tdatatype);
+  int i=0;
+  p_slist myli;
+  free(tdatatype);
+  for(myli=mytransform->_textarguments;myli;myli=myli->next)
+  {
+    p_text myargname=(p_text)myli->data;
+    if(myargname->_admse==admse__path)
+    {
+      p_ptraverse myptraverse=bar(dot,(p_path)myargname->_token->data);
+      Arguments[i]=myptraverse->_admst;
+      adms_slist_free(myptraverse->_alladmst);
+      free(myptraverse);
+    }
+    else if(myargname->_admse==admse__p)
+    {
+      Arguments[i]=NULL;
+      adms_slist_push(&Arguments[i],(p_adms)adms_pull_admst(mytransform));
+    }
+    else
+    {
+      p_admst mynewadmst=adms_admst_newns(dot,dot,tsprintf(dot,myargname));
+      Arguments[i]=NULL;
+      adms_slist_push(&Arguments[i],(p_adms)mynewadmst);
+    }
+    i++;
+  }
+  myadmst=new(mytransform,dot,Arguments,size);
+  xtraverse(mytransform->_children,myadmst,dot);
+  deref(myadmst);
+  free(Arguments);
+}
+static void Xnew (p_transform mytransform,p_admst dot,p_admst dotdot)
+{
+  p_admst myadmst;
+  int size=mytransform->_pathinputs?adms_slist_length(mytransform->_pathinputs):1;
+  p_slist* inputs=(p_slist*)calloc(size,sizeof(p_admst));
+  p_ptraverse* ptraverseinputs=(p_ptraverse*)calloc(size,sizeof(p_ptraverse));
+  char* tdatatype=tsprintf(dot,mytransform->_textdatatype);
+  p_new new=!strcmp(tdatatype,"quark")?adms_admsxml_new(mytransform,"basicstring"):adms_admsxml_new(mytransform,tdatatype);
+  int i=0;
+  p_slist myli;
+  free(tdatatype);
+  for(myli=mytransform->_pathinputs;myli;myli=myli->next)
+  {
+    p_ptraverse myptraverse=bar(dot,(p_path)myli->data);
+    inputs[i]=myptraverse->_admst;
+    ptraverseinputs[i]=myptraverse;
+    i++;
+  }
+  myadmst=new(mytransform,dot,inputs,size);
+  xtraverse(mytransform->_children,myadmst,dot);
+  deref(myadmst);
+  for(i=0;i<size;i++)
+    free_ptraverse(ptraverseinputs[i]);
+  free(inputs);
+}
+
 /*[nepasimprimer]*/
+static void Xpush (p_transform mytransform,p_admst dot,p_admst dotdot)
+{
+  p_slist myli;
+  p_slist mylii;
+  p_ptraverse pinto=bar(dot,mytransform->_pathinto);
+  p_ptraverse pselect=bar(dot,mytransform->_pathselect);
+  for(myli=pinto->_admst;myli;myli=myli->next)
+  {
+    p_admst myadmsti=(p_admst)myli->data;
+    if(myadmsti->_pseudo==admse_basiclist)
+    {
+      p_slist mylistinto=((p_admstvariable)myadmsti->_item.p)->_value;
+      for(mylii=pselect->_admst;mylii;mylii=mylii->next)
+      {
+        p_admst myadmstii=aread((p_admst)mylii->data);
+        adms_slist_push(&mylistinto,(p_adms)myadmstii);
+        if(myadmstii->_refd) myadmstii->_refd++;
+      }
+      ((p_admstvariable)myadmsti->_item.p)->_value=mylistinto;
+    }
+    else if(myadmsti->_pseudo==admse__ladmst)
+    {
+      p_slist mylistinto=(p_slist)myadmsti->_item.p;
+      for(mylii=pselect->_admst;mylii;mylii=mylii->next)
+      {
+        p_admst myadmstii=aread((p_admst)mylii->data);
+        adms_slist_push(&mylistinto,(p_adms)myadmstii);
+        if(myadmstii->_refd) myadmstii->_refd++;
+      }
+      ((p_valueto)myadmsti->_valueto)(myadmsti->_previous->_item.p,(p_adms)mylistinto);
+    }
+    else if(myadmsti->_pseudo==admse__ladms)
+    {
+      p_slist mylistinto=(p_slist)myadmsti->_item.p;
+      for(mylii=pselect->_admst;mylii;mylii=mylii->next)
+      {
+        p_admst myadmstii=aread((p_admst)mylii->data);
+        if(myadmstii->_pseudo==admse_basicstring)
+          adms_slist_push(&mylistinto,(p_adms)adms_kclone(myadmstii->_item.s));
+        else
+          adms_slist_push(&mylistinto,myadmstii->_item.p);
+      }
+      ((p_valueto)myadmsti->_valueto)(myadmsti->_previous->_item.p,(p_adms)mylistinto);
+    }
+  }
+  free_ptraverse(pselect);
+  free_ptraverse(pinto);
+}
+
+static void Xpushonduplicate (p_transform mytransform,p_admst dot,p_admst dotdot)
+{
+  p_slist myli;
+  p_slist mylii;
+  p_ptraverse pinto=bar(dot,mytransform->_pathinto);
+  p_ptraverse pselect=bar(dot,mytransform->_pathselect);
+  for(myli=pinto->_admst;myli;myli=myli->next)
+  {
+    p_admst myadmsti=(p_admst)myli->data;
+    if(myadmsti->_pseudo==admse_basiclist)
+    {
+      p_slist mylistinto=((p_admstvariable)myadmsti->_item.p)->_value;
+      for(mylii=pselect->_admst;mylii;mylii=mylii->next)
+      {
+        p_admst myadmstii=aread((p_admst)mylii->data);
+        admse mytype0=myadmstii->_pseudo;
+        int found=0;
+        p_adms myadms0=myadmstii->_item.p;
+        p_cmp mycmp=adms_admsxml_cmp(myadmstii);
+        p_slist myliii;
+        for(myliii=mylistinto;myliii&&!found;myliii=myliii->next)
+        {
+          p_admst myadmst1=(p_admst)myliii->data;
+          p_adms myadms1=myadmst1->_item.p;
+          admse mytype1=myadmst1->_pseudo;
+          found=(mytype0==mytype1)&&(!mycmp(myadms0,myadms1));
+        }
+        if(!found)
+        {
+          adms_slist_push(&mylistinto,(p_adms)myadmstii);
+          if(myadmstii->_refd) myadmstii->_refd++;
+        }
+      }
+      ((p_admstvariable)myadmsti->_item.p)->_value=mylistinto;
+    }
+    else if(myadmsti->_pseudo==admse__ladmst)
+    {
+      p_slist mylistinto=(p_slist)myadmsti->_item.p;
+      for(mylii=pselect->_admst;mylii;mylii=mylii->next)
+      {
+        p_admst myadmstii=aread((p_admst)mylii->data);
+        admse mytype0=myadmstii->_pseudo;
+        int found=0;
+        p_adms myadms0=myadmstii->_item.p;
+        p_cmp mycmp=adms_admsxml_cmp(myadmstii);
+        p_slist myliii;
+        for(myliii=mylistinto;myliii&&!found;myliii=myliii->next)
+        {
+          p_admst myadmst1=(p_admst)myliii->data;
+          p_adms myadms1=myadmst1->_item.p;
+          admse mytype1=myadmst1->_pseudo;
+          found=(mytype0==mytype1)&&(!mycmp(myadms0,myadms1));
+        }
+        if(!found)
+        {
+          adms_slist_push(&mylistinto,(p_adms)myadmstii);
+          if(myadmstii->_refd) myadmstii->_refd++;
+        }
+      }
+      ((p_valueto)myadmsti->_valueto)(myadmsti->_previous->_item.p,(p_adms)mylistinto);
+    }
+    else if(myadmsti->_pseudo==admse__ladms)
+    {
+      p_slist mylistinto=(p_slist)myadmsti->_item.p;
+      for(mylii=pselect->_admst;mylii;mylii=mylii->next)
+      {
+        p_admst myadmstii=aread((p_admst)mylii->data);
+        admse mytype0=myadmstii->_pseudo;
+        int found=0;
+        p_adms myadms0=myadmstii->_item.p;
+        p_cmp mycmp=adms_admsxml_cmp(myadmstii);
+        p_slist myliii;
+        for(myliii=mylistinto;myliii&&!found;myliii=myliii->next)
+        {
+          p_adms myadms1=myliii->data;
+          admse mytype1=myadms1?myadms1->_datatypename:admse_empty;
+          found=(mytype0==mytype1)&&(!mycmp(myadms0,myadms1));
+        }
+        if(!found)
+        {
+          if(myadmstii->_pseudo==admse_basicstring)
+            adms_slist_push(&mylistinto,(p_adms)adms_kclone(myadmstii->_item.s));
+          else
+            adms_slist_push(&mylistinto,myadmstii->_item.p);
+        }
+      }
+      ((p_valueto)myadmsti->_valueto)(myadmsti->_previous->_item.p,(p_adms)mylistinto);
+    }
+  }
+  free_ptraverse(pselect);
+  free_ptraverse(pinto);
+}
+
+static int oncompare (p_ptraverse poncompareinto0, p_ptraverse poncompareinto1)
+{
+  p_slist myl0=poncompareinto0->_admst;
+  p_slist myl1=poncompareinto1->_admst;
+  int allthesame=1;
+  if(adms_slist_length(myl0)!=adms_slist_length(myl1))
+    allthesame=0;
+  else
+  {
+    for(;myl0&&allthesame;myl0=myl0->next,myl1=myl1->next)
+    {
+      p_admst myadmstcmp0=(p_admst)myl0->data;
+      p_admst myadmstcmp1=(p_admst)myl1->data;
+      allthesame=(myadmstcmp0->_item.p==myadmstcmp1->_item.p);
+    }
+  }
+  free_ptraverse(poncompareinto1);
+  return allthesame;
+}
+static int oncompare1 (p_ptraverse poncompareinto0, p_admst ai)
+{
+  int found=0;
+  p_slist lii=(p_slist)ai->_item.p;
+  for(;lii&&!found;lii=lii->next)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,lii->data);
+    p_ptraverse poncompareinto1=bar(d,poncompareinto0->_transform->_pathoncompare);
+    found=oncompare(poncompareinto0,poncompareinto1);
+    adms_admst_free(d);
+  }
+  return found;
+}
+static void Xpushoncompare (p_transform mytransform,p_admst dot,p_admst dotdot)
+{
+  p_slist myli;
+  p_slist mylii;
+  p_ptraverse pinto=bar(dot,mytransform->_pathinto);
+  p_ptraverse pselect=bar(dot,mytransform->_pathselect);
+  for(myli=pinto->_admst;myli;myli=myli->next)
+  {
+    p_admst myadmsti=(p_admst)myli->data;
+    if(myadmsti->_pseudo==admse_basiclist)
+    {
+      p_slist mylistinto=((p_admstvariable)myadmsti->_item.p)->_value;
+        for(mylii=pselect->_admst;mylii;mylii=mylii->next)
+        {
+          p_admst myadmstii=aread((p_admst)mylii->data);
+          p_ptraverse poncompareinto0=bar(myadmstii,mytransform->_pathoncompare);
+          int found=0;
+          p_slist myliii;
+          for(myliii=mylistinto;myliii&&!found;myliii=myliii->next)
+            found=oncompare(poncompareinto0,bar((p_admst)myliii->data,mytransform->_pathoncompare));
+          if(!found)
+          {
+            adms_slist_push(&mylistinto,(p_adms)myadmstii);
+            if(myadmstii->_refd) myadmstii->_refd++;
+          }
+          free_ptraverse(poncompareinto0);
+        }
+      ((p_admstvariable)myadmsti->_item.p)->_value=mylistinto;
+    }
+    else if(myadmsti->_pseudo==admse__ladmst)
+    {
+      p_slist mylistinto=(p_slist)myadmsti->_item.p;
+        for(mylii=pselect->_admst;mylii;mylii=mylii->next)
+        {
+          p_admst myadmstii=aread((p_admst)mylii->data);
+          p_ptraverse poncompareinto0=bar(myadmstii,mytransform->_pathoncompare);
+          int found=0;
+          p_slist myliii;
+          for(myliii=mylistinto;myliii&&!found;myliii=myliii->next)
+            found=oncompare(poncompareinto0,bar((p_admst)myliii->data,mytransform->_pathoncompare));
+          if(!found)
+          {
+            adms_slist_push(&mylistinto,(p_adms)myadmstii);
+            if(myadmstii->_refd) myadmstii->_refd++;
+          }
+          free_ptraverse(poncompareinto0);
+        }
+      ((p_valueto)myadmsti->_valueto)(myadmsti->_previous->_item.p,(p_adms)mylistinto);
+    }
+    else if(myadmsti->_pseudo==admse__ladms)
+    {
+      p_slist mylistinto=(p_slist)myadmsti->_item.p;
+      for(mylii=pselect->_admst;mylii;mylii=mylii->next)
+      {
+        p_admst myadmstii=aread((p_admst)mylii->data);
+        p_ptraverse poncompareinto0=bar(myadmstii,mytransform->_pathoncompare);
+        int found=0;
+        found=oncompare1(poncompareinto0,myadmsti);
+        if(!found)
+        {
+          if(myadmstii->_pseudo==admse_basicstring)
+            adms_slist_push(&mylistinto,(p_adms)adms_kclone(myadmstii->_item.s));
+          else
+            adms_slist_push(&mylistinto,myadmstii->_item.p);
+        }
+        free_ptraverse(poncompareinto0);
+      }
+      ((p_valueto)myadmsti->_valueto)(myadmsti->_previous->_item.p,(p_adms)mylistinto);
+    }
+  }
+  free_ptraverse(pselect);
+  free_ptraverse(pinto);
+}
+
 /*[nepasimprimer]*/
+static void Xreset (p_transform mytransform,p_admst dot,p_admst dotdot)
+{
+  p_ptraverse pselect=bar(dot,mytransform->_pathselect);
+  p_slist myli=pselect->_admst;
+  for(;myli;myli=myli->next)
+  {
+    p_admst myadmst=(p_admst)myli->data;
+    if(myadmst->_pseudo==admse_basiclist)
+      ((p_admstvariable)myadmst->_item.p)->_value=NULL;
+    else if(myadmst->_pseudo==admse__ladms || myadmst->_pseudo==admse__ladmst)
+      awrite(mytransform,(p_admst)myli->data,NULL);
+  }
+  free_ptraverse(pselect);
+}
+
 /*[nepasimprimer]*/
+static void Xreverse (p_transform mytransform,p_admst dot,p_admst dotdot)
+{
+  p_ptraverse pselect=bar(dot,mytransform->_pathselect);
+  p_slist myli=pselect->_admst;
+  for(;myli;myli=myli->next)
+  {
+    p_admst myadmst=(p_admst)myli->data;
+    if(myadmst->_pseudo==admse_basiclist)
+      adms_slist_inreverse(&((p_admstvariable)myadmst->_item.p)->_value);
+    else if(myadmst->_pseudo==admse__ladms || myadmst->_pseudo==admse__ladmst)
+    {
+      p_slist mylist=(p_slist)myadmst->_item.p;
+      adms_slist_inreverse(&mylist);
+      ((p_valueto)myadmst->_valueto)(myadmst->_previous->_item.p,(p_adms)mylist);
+    }
+  }
+  free_ptraverse(pselect);
+}
+
 /*[nepasimprimer]*/
+static void Xread (p_transform mytransform,p_admst dot,p_admst dotdot)
+{
+  char* myfilename=tsprintf(dot,mytransform->_textfile);
+  parseva(1,NULL,myfilename);
+}
+
 /*[nepasimprimer]*/
+static void Xopen (p_transform mytransform,p_admst dot,p_admst dotdot)
+{
+  char* myfilename=tsprintf(dot,mytransform->_textfile);
+  FILE*ofh;
+  if(!(ofh=fopen(myfilename,"wb")))
+    adms_message_fatal(("%s: failed to open file [write mode]\n",myfilename))
+  if(ofh)
+    adms_slist_push(&globalfileoutputlist,(p_adms)ofh);
+  else
+   adms_message_fatal(("%s: cannot open file.\n",adms_transform_uid(mytransform)))
+  xtraverse(mytransform->_children,dot,dotdot);
+  fclose(OUT());
+  adms_slist_pull(&globalfileoutputlist);
+  free(myfilename);
+}
+
 /*[nepasimprimer]*/
+static void Xsetenv (p_transform mytransform,p_admst dot,p_admst dotdot)
+{
+  char* myname=tsprintf(dot,mytransform->_textname);
+  char* mystring=tsprintf(dot,mytransform->_textstring);
+  if(!adms_setenv(myname,mystring))
+  {
+    adms_message_fatal(("cannot set shell variable: '%s=%s'\n",myname,mystring))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+  }
+  free(myname);
+  free(mystring);
+}
+
 /*[nepasimprimer]*/
+static void Xcount (p_transform mytransform,p_admst dot,p_admst dotdot)
+{
+  p_ptraverse pselect=bar(dot,mytransform->_pathselect);
+  adms_push_admst(adms_admst_newbi(dot,dot,adms_slist_length(pselect->_admst)),mytransform);
+  free_ptraverse(pselect);
+}
+
 /*[nepasimprimer]*/
+static void Xgetenv (p_transform mytransform,p_admst dot,p_admst dotdot)
+{
+  char* myname=tsprintf(dot,mytransform->_textname);
+  const char* myenv=getenv(myname);
+  if(myenv==NULL)
+  {
+    adms_message_fatal_continue(("missing shell variable '%s'\n",myname))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+  }
+  adms_push_admst(adms_admst_newns(dot,dot,adms_kclone(myenv)),mytransform);
+  free(myname);
+}
+
 /*[nepasimprimer]*/
+static void Xcopy (p_transform mytransform,p_admst dot,p_admst dotdot)
+{
+  FILE*fromfh;
+  FILE*tofh;
+  char buf[1024];
+  char* tfrom=tsprintf(dot,mytransform->_textfrom);
+  char* tto=tsprintf(dot,mytransform->_textto);
+  char* myfromfile=filename(tfrom);
+  char* myfrombasename=basename(myfromfile);
+  char* myfromdirname=dirname(myfromfile);
+  char* mytopath=filename(tto);
+  char* mytofilename=NULL;
+  char* mytodirname=NULL;
+  free(tfrom);
+  free(tto);
+  if(!adms_file_isregular(myfromfile))
+    adms_message_fatal(("%s: from=`%s' file not found\n",adms_transform_uid(mytransform),myfromfile))
+  if(adms_file_isdirectory(mytopath))
+  {
+    adms_k2strconcat(&mytodirname,mytopath);
+    adms_k2strconcat(&mytofilename,mytopath);
+    adms_k2strconcat(&mytofilename,ADMS_PATH_SEPARATOR);
+    adms_k2strconcat(&mytofilename,myfrombasename);
+  }
+  else
+  {
+    mytodirname=dirname(mytopath);
+    if(adms_file_isdirectory(mytodirname))
+      adms_k2strconcat(&mytofilename,mytopath);
+    else
+      adms_message_fatal(("%s: to=`%s' invalid directory\n",adms_transform_uid(mytransform),mytodirname))
+  }
+  if(!strcmp(myfromdirname,mytodirname))
+    adms_message_fatal(("%s: file '%s' copied to itself!\n",adms_transform_uid(mytransform),myfromfile))
+  fromfh=fopen(myfromfile,"rb");
+  tofh=fopen(mytofilename,"wb");
+  if(!fromfh)
+    adms_message_fatal(("%s: from=`%s' cannot open file [read mode]\n",adms_transform_uid(mytransform),myfromfile))
+  if(!tofh)
+    adms_message_fatal(("%s: to=`%s' cannot open file [write mode]\n",adms_transform_uid(mytransform),mytopath))
+  while(!feof(fromfh))
+  {
+    size_t newbytes=fread(buf,sizeof(char),sizeof(buf),fromfh);
+    if(ferror(fromfh))
+      adms_message_fatal(("%s: from=`%s' cannot read file contents\n",adms_transform_uid(mytransform),myfromfile))
+    fwrite(buf,sizeof(char),newbytes,tofh);
+  }
+  fclose(tofh);
+  fclose(fromfh);
+  adms_message_verbose(("%s: copy file '%s' to '%s'\n",adms_transform_uid(mytransform),myfromfile,mytofilename))
+  free(myfromfile);
+  free(myfromdirname);
+  free(myfrombasename);
+  free(mytopath);
+  free(mytodirname);
+  free(mytofilename);
+}
+
 /*[nepasimprimer]*/
+struct sa {char *e1; char *nom; char *e2; /*=*/ char *e3; char *valeur; struct sa *X;};
+struct sb {char *nom; char* e; struct sa *a0; struct sa *a;};
+static void texttoxml (const char*s)
+{
+  adms_message_admstdbg_impl("%s",s);
+}
+static char* xmltotxt (char*s)
+{
+  char*s0=s;
+  char*s1=s;
+  while(*s)
+  {
+    if(!strncmp(s,"\r\n",2))
+      *s1='\n',s+=2;
+    else if(!strncmp(s,""",6))
+      *s1='\"',s+=6;
+    else if(!strncmp(s,"&",5))
+      *s1='&',s+=5;
+    else if(!strncmp(s,"<",4))
+      *s1='<',s+=4;
+    else if(!strncmp(s,">",4))
+      *s1='>',s+=4;
+    else if(!strncmp(s,"'",6))
+      *s1='\'',s+=6;
+    else
+      *s1=*s,s++;
+    s1++;
+  }
+  *s1='\0';
+  s0=realloc(s0,(s1-s0+1)*sizeof(char));
+  return s0;
+}
+static int xmlstrncpy (char*d,const char*o,int n)
+{
+  int l=0;
+  char*d0=d;
+  while(*o&&n)
+  {
+    if(!strncmp(o,"\r\n",2))
+      l++,*d='\n',o+=2,n-=2;
+    else if(!strncmp(o,"\n",1))
+      l++,*d='\n',o+=1,n-=1;
+    else
+      *d=*o,o++,n--;
+    d++;
+  }
+  *d='\0';
+  d0=realloc(d0,(d-d0+1)*sizeof(char));
+  return l;
+}
+static char* xmlmemcpy (char*d,const char*o,int n,int *nl)
+{
+  char*d0=d;
+  while(*o&&n)
+  {
+    if(!strncmp(o,"\r\n",2))
+      *nl=*nl+1,*d=*o,d++,o++,n--;
+    else if(!strncmp(o,"\n",1))
+      *nl=*nl+1;
+    *d=*o,d++,o++,n--;
+  }
+  *d='\0';
+  return d0;
+}
+static int xmlisspace (const char c,int* L)
+{
+  if(c=='\n')
+    {*L+=1;return 1;}
+  else
+    return isspace(c);
+}
+
 /*[nepasimprimer]*/
+#define FRfr_XMLFATAL0 "ben alors! ne commence pas par un nom\n"
+#define FRfr_XMLFATAL1 "ben alors! et le nom d'attribut devant le signe =.\n"
+#define FRfr_XMLFATAL2 "ben alors! le charactere = ?\n"
+#define FRfr_XMLFATAL3 "ben alors! le charactere \" ?\n"
+#define FRfr_XMLFATAL4 "ben alors! la valeur n'est pas terminee par le charactere \" ?\n"
+#define ANcn_XMLFATAL0 "%s:%i: tag doesn't start with a name\n",monfichier,*L
+#define ANcn_XMLFATAL1 "%s:%i: attribute name expected before character =\n",monfichier,*L
+#define ANcn_XMLFATAL2 "%s:%i: inside tag character \'=\' expected\n",monfichier,*L
+#define ANcn_XMLFATAL3 "%s:%i: inside tag character \'\"\' expected\n",monfichier,*L
+#define ANcn_XMLFATAL4 "%s:%i: unterminated attribute value - character \" expected\n",monfichier,*L
+#define XMLFATAL0 ANcn_XMLFATAL0
+#define XMLFATAL1 ANcn_XMLFATAL1
+#define XMLFATAL2 ANcn_XMLFATAL2
+#define XMLFATAL3 ANcn_XMLFATAL3
+#define XMLFATAL4 ANcn_XMLFATAL4
+struct sb* balisenouveau(const char **cp,int* L,const char *monfichier)
+{
+  struct sb* balisep=malloc(sizeof(struct sb));
+  struct sb balise;
+  const char*c=*cp;
+  const char*c0=c;
+  balise.nom=NULL;
+  balise.e=NULL;
+  balise.a0=NULL;
+  balise.a=NULL;
+  for(;*c&&!isspace(*c)&&strncmp(c,">",1)&&strncmp(c,"/>",2);c++);
+  if(c-c0)
+    balise.nom=malloc(sizeof(char)*(c-c0+1)),
+    balise.e=NULL,
+    balise.a0=NULL,
+    memcpy(balise.nom,c0,c-c0),balise.nom[c-c0]='\0';
+  else
+    adms_message_fatal((XMLFATAL0))
+  while(*c)
+  {
+    char*e1;
+    c0=c;for(;*c&&xmlisspace(*c,L);c++);
+    if(!strncmp(c,">",1)||!strncmp(c,"/>",2)||!*c)
+    {
+      balise.e=malloc(sizeof(char)*(c-c0+1)),
+      strncpy(balise.e,c0,c-c0),
+      balise.e[c-c0]='\0';
+      break;
+    }
+    e1=malloc(sizeof(char)*(c-c0+1));
+    strncpy(e1,c0,c-c0);
+    e1[c-c0]='\0';
+    c0=c;for(;*c&&!isspace(*c)&&(*c!='=');c++);
+    if(c==c0)
+      adms_message_fatal((XMLFATAL1))
+    if(balise.a0)
+      balise.a=balise.a->X=malloc(sizeof(struct sa)),
+      balise.a->X=NULL;
+    else
+      balise.a=balise.a0=malloc(sizeof(struct sa)),
+      balise.a->X=NULL;
+    balise.a->nom=malloc(sizeof(char)*(c-c0+1));
+    balise.a->e1=e1;
+    memcpy(balise.a->nom,c0,c-c0),balise.a->nom[c-c0]='\0';
+    c0=c;for(;*c&&xmlisspace(*c,L);c++);
+    balise.a->e2=malloc(sizeof(char)*(c-c0+1)),
+    strncpy(balise.a->e2,c0,c-c0),
+    balise.a->e2[c-c0]='\0';
+    if(*c!='=')
+      adms_message_fatal((XMLFATAL2))
+    c++;
+    c0=c;for(;*c&&xmlisspace(*c,L);c++);
+    balise.a->e3=malloc(sizeof(char)*(c-c0+1)),
+    strncpy(balise.a->e3,c0,c-c0),
+    balise.a->e3[c-c0]='\0';
+    if(*c!='"')
+      adms_message_fatal((XMLFATAL3))
+    c++;
+    c0=c;for(;*c&&(*c!='\"');c++);
+    if(*c!='\"')
+      adms_message_fatal((XMLFATAL4))
+    balise.a->valeur=malloc(sizeof(char)*(c-c0+1));
+    balise.a->valeur=xmlmemcpy(balise.a->valeur,c0,c-c0,L);
+    c++;
+  }
+  *balisep=balise;
+  *cp=c;
+  return balisep;
+}
+typedef struct sx* px;
+struct sx { int l; int c; const char* f; char *contenu; struct sb* bp;
+  px r; px x; px X; px y; px Y0; px Y;
+  void (*adms) (const px xp);
+  void (*dbg) (const px xp);
+};
+px noeud_courant=NULL;
+static void racinedbg (const px xp)
+{
+  px Y;
+  for(Y=xp->Y0;Y;Y=Y->X)
+    (Y->dbg)(Y);
+}
+static void instructiondbg (const px xp)
+{
+  adms_message_admstdbg_impl("<!--%s:%i--> <?",xp->f,xp->l);
+  texttoxml(xp->contenu);
+  adms_message_admstdbg_impl("?>");
+}
+static void declarationdbg (const px xp)
+{
+  adms_message_admstdbg_impl("<!--%s:%i--> <?was_xml ",xp->f,xp->l);
+  texttoxml(xp->contenu);
+  adms_message_admstdbg_impl("?>");
+}
+static void typededocumentdbg (const px xp)
+{
+  adms_message_admstdbg_impl("<!--%s:%i--> <?was_DOCTYPE !DOCTYPE",xp->f,xp->l);
+  texttoxml(xp->contenu);
+  adms_message_admstdbg_impl("?>");
+}
+static void commentairedbg (const px xp)
+{
+  adms_message_admstdbg_impl("<!--%s:%i--> <!--",xp->f,xp->l);
+  texttoxml(xp->contenu);
+  adms_message_admstdbg_impl("-->");
+}
+static void debutbalisedbg (const px xp)
+{
+  if(!strcmp(xp->bp->nom,"admst"))
+    adms_message_admstdbg_impl("<!--%s:%i--> <?was_admst_begin ?>",xp->f,xp->l);
+  else
+  {
+    adms_message_admstdbg_impl("<!--%s:%i--> <%s",xp->f,xp->l,xp->bp->nom);
+    for(xp->bp->a=xp->bp->a0;xp->bp->a;xp->bp->a=xp->bp->a->X)
+      adms_message_admstdbg_impl("%s",xp->bp->a->e1),
+      adms_message_admstdbg_impl("%s",xp->bp->a->nom),
+      adms_message_admstdbg_impl("%s",xp->bp->a->e2),
+      adms_message_admstdbg_impl("="),
+      adms_message_admstdbg_impl("%s",xp->bp->a->e3),
+      adms_message_admstdbg_impl("\""),
+      texttoxml(xp->bp->a->valeur),
+      adms_message_admstdbg_impl("\"");
+    adms_message_admstdbg_impl("%s",xp->bp->e);
+    adms_message_admstdbg_impl(">");
+  }
+  for(xp->Y=xp->Y0;xp->Y;xp->Y=xp->Y->X)
+    (xp->Y->dbg)(xp->Y);
+}
+static void balisefeuilledbg (const px xp)
+{
+  adms_message_admstdbg_impl("<!--%s:%i--> <%s",xp->f,xp->l,xp->bp->nom);
+  for(xp->bp->a=xp->bp->a0;xp->bp->a;xp->bp->a=xp->bp->a->X)
+    adms_message_admstdbg_impl("%s",xp->bp->a->e1),
+    adms_message_admstdbg_impl("%s",xp->bp->a->nom),
+    adms_message_admstdbg_impl("%s",xp->bp->a->e2),
+    adms_message_admstdbg_impl("="),
+    adms_message_admstdbg_impl("%s",xp->bp->a->e3),
+    adms_message_admstdbg_impl("\""),
+    texttoxml(xp->bp->a->valeur),
+    adms_message_admstdbg_impl("\"");
+  adms_message_admstdbg_impl("%s",xp->bp->e);
+  adms_message_admstdbg_impl("/>");
+}
+static void findebalisedbg (const px xp)
+{
+  if(!strcmp(xp->bp->nom,"admst"))
+    adms_message_admstdbg_impl("<!--%s:%i--> <?was_admst_end ?>",xp->f,xp->l);
+  else
+  {
+    adms_message_admstdbg_impl("<!--%s:%i--> </",xp->f,xp->l);
+    adms_message_admstdbg_impl("%s",xp->bp->nom);
+    adms_message_admstdbg_impl("%s",xp->bp->e);
+    adms_message_admstdbg_impl(">");
+  }
+}
+static void textedbg (const px xp)
+{
+  texttoxml(xp->contenu);
+}
+
+static void xmlhook_start (const px xp);
+static void xmlhook_end (const px xp);
+static void xmlhook_text (const px xp);
+
+static void racineadms (const px xp)
+{
+  px Y;
+  for(Y=xp->Y0;Y;Y=Y->X)
+    (Y->adms)(Y);
+}
+static void instructionadms (const px xp) {}
+static void declarationadms (const px xp) {}
+static void typededocumentadms (const px xp) {}
+static void commentaireadms (const px xp) {}
+static void debutbaliseadms (const px xp)
+{
+  xmlhook_start(xp);
+  for(xp->Y=xp->Y0;xp->Y;xp->Y=xp->Y->X)
+    (xp->Y->adms)(xp->Y);
+}
+static void balisefeuilleadms (const px xp)
+{
+  xmlhook_start(xp);
+  xmlhook_end(xp);
+}
+static void findebaliseadms (const px xp)
+{
+  xmlhook_end(xp);
+}
+static void texteadms (const px xp)
+{
+  xmlhook_text(xp);
+}
+struct sx racine={0,0,NULL,NULL,NULL,NULL,NULL,NULL,NULL,NULL,NULL,racineadms,racinedbg};
+#define noeuds n(instruction) n(declaration) n(typededocument) n(commentaire) n(debutbalise) n(balisefeuille) n(findebalise) n(texte)
+#undef n
+#define n(I) static px I##new (char* contenu,struct sb* bp,const char*monfichier,const px y,const int l,const int c) \
+{\
+  px xp=malloc(sizeof(struct sx)); \
+  xp->l=l; \
+  xp->c=c; \
+  xp->f=monfichier; \
+  xp->y=y; \
+  xp->Y0=NULL; \
+  xp->Y=NULL; \
+  xp->x=NULL; \
+  xp->X=NULL; \
+  xp->contenu=contenu; \
+  xp->bp=bp; \
+  xp->dbg= I## dbg; \
+  xp->adms= I## adms; \
+  if(y->Y0==NULL) y->Y=y->Y0=xp; \
+  else {y->Y=y->Y->X=xp;} \
+  return xp; \
+}
+noeuds
+#undef n
+
 /*[nepasimprimer]*/
+static void arbre (const char *s,const char*monfichier)
+{
+  int L=1;
+  noeud_courant=&racine;
+  for(;*s;s++)
+  {
+    if(0) {}
+    else if(!strncmp(s,"<?admst-skip-implicit?>",23))
+    {
+      const char*s0=s;
+      int l;
+      char*contenu;
+      s+=23;
+      l=s-s0;
+      contenu=malloc(sizeof(char)*(l+1));
+      declarationnew(contenu,NULL,monfichier,noeud_courant,L,s-s0);
+      L+=xmlstrncpy(contenu,s0,l);
+    }
+    else if(!strncmp(s,"<?xml",5))
+    {
+      const char*s0;
+      s+=5;
+      for(s0=s;*s&&!((s>s0+0)&&!strncmp(s-1,"?>",2));s++);
+      if(*s)
+      {
+        int l=s-s0-1;
+        char*contenu=malloc(sizeof(char)*(l+1));
+        declarationnew(contenu,NULL,monfichier,noeud_courant,L,s-s0);
+        L+=xmlstrncpy(contenu,s0,l);
+      }
+      else
+        adms_message_fatal(("%s:%i: xml declaration unterminated '%.20s'\n",monfichier,L,s0))
+    }
+    else if(!strncmp(s,"<?",2))
+    {
+      const char*s0;
+      s+=2;
+      for(s0=s;*s&&!((s>s0+0)&&!strncmp(s-1,"?>",2));s++);
+      if(*s)
+      {
+        int l=s-s0-1;
+        char*contenu=malloc(sizeof(char)*(l+1));
+        instructionnew(contenu,NULL,monfichier,noeud_courant,L,s-s0);
+        L+=xmlstrncpy(contenu,s0,l);
+      }
+      else
+        adms_message_fatal(("%s:%i: xml instruction declaration unterminated '%.20s'\n",monfichier,L,s0))
+    }
+    else if(!strncmp(s,"<!--",4))
+    {
+      const char*s0;
+      s+=4;
+      for(s0=s;*s&&!((s>s0+1)&&!strncmp(s-2,"-->",3));s++);
+      if(*s)
+      {
+        int l=s-s0-2;
+        char*contenu=malloc(sizeof(char)*(l+1));
+        commentairenew(contenu,NULL,monfichier,noeud_courant,L,s-s0);
+        L+=xmlstrncpy(contenu,s0,l);
+      }
+      else
+        adms_message_fatal(("%s:%i: xml comment declaration unterminated '%.20s'\n",monfichier,L,s0))
+    }
+    else if(!strncmp(s,"<!DOCTYPE",9))
+    {
+      const char*s0;
+      s+=9;
+      for(s0=s;*s&&*s!='>';s++);
+      if(*s)
+      {
+        int l=s-s0-0;
+        char*contenu=malloc(sizeof(char)*(l+1));
+        typededocumentnew(contenu,NULL,monfichier,noeud_courant,L,s-s0);
+        L+=xmlstrncpy(contenu,s0,l);
+      }
+      else
+        adms_message_fatal(("%s:%i: xml doctype declaration unterminated '%.20s'\n",monfichier,L,s0))
+    }
+    else if(!strncmp(s,"</",2))
+    {
+      struct sb* balisep=malloc(sizeof(struct sb));
+      int L0=L;
+      const char*s0;
+      s+=2;
+      for(s0=s;*s&&!isspace(*s)&&*s!='>';s++);
+      if(s-s0)
+        balisep->nom=malloc(sizeof(char)*(s-s0+1)),
+        balisep->e=NULL,
+        balisep->a0=NULL,
+        memcpy(balisep->nom,s0,s-s0),balisep->nom[s-s0]='\0';
+      else
+        adms_message_fatal(("%s:%i: end tag unterminated '%.20s'\n",monfichier,L0,s0))
+      s0=s;for(;*s&&xmlisspace(*s,&L);s++);
+      balisep->e=malloc(sizeof(char)*(s-s0+1)),
+      strncpy(balisep->e,s0,s-s0),
+      balisep->e[s-s0]='\0';
+      if(*s&&*s=='>')
+      {
+        findebalisenew(NULL,balisep,monfichier,noeud_courant,L0,s-s0);
+        if(!noeud_courant->bp)
+          adms_message_fatal(("%s:%i: end tag '%s' floating\n",monfichier,L0,balisep->nom))
+        if(strcmp(noeud_courant->bp->nom,balisep->nom))
+        {
+          adms_message_fatal_continue(("%s:%i: end tag mismatch '%s'\n",monfichier,L0,balisep->nom))
+          adms_message_fatal(("%s:%i: current open tag '%s'\n",monfichier,noeud_courant->l,noeud_courant->bp->nom))
+        }
+        noeud_courant=(noeud_courant&&(noeud_courant!=&racine))?noeud_courant->y:NULL;
+      }
+      else
+        adms_message_fatal(("%s:%i: end tag unterminated '%.20s'\n",monfichier,L0,s0))
+    }
+    else if(*s=='<')
+    {
+      int L0=L;
+      const char*s0=++s;
+      struct sb* bp=balisenouveau(&s,&L,monfichier);
+      if(!strncmp(s,"/>",2))
+        s++,
+        balisefeuillenew(NULL,bp,monfichier,noeud_courant,L0,s-s0);
+      else if(!strncmp(s,">",1))
+        noeud_courant=debutbalisenew(NULL,bp,monfichier,noeud_courant,L0,s-s0);
+      else
+        adms_message_fatal(("%s:%i: tag badly formed '%.20s'\n",monfichier,L0,s0))
+    }
+    else
+    {
+      const char*s0;
+      for(s0=s;*s&&*s!='<';s++);
+      if(s>s0)
+      {
+        char*contenu=malloc(sizeof(char)*(s-s0+1));
+        textenew(contenu,NULL,monfichier,noeud_courant,L,s-s0);
+        noeud_courant->Y->contenu=xmlmemcpy(contenu,s0,s-s0,&L);
+        s--;
+      }
+    }
+  }
+}
+
 /*[nepasimprimer]*/
+#undef T
+#define T(p) !strcmp(mytransform->_name,#p)
+static void setcallback (p_transform mytransform)
+{
+  if(T(admst:if-inside))
+  {
+    if(mytransform->_textlist->_admse==admse__p) mytransform->_callback=(void*)Xifinsidep,mytransform->_id="Xifinsidep";
+    else if(mytransform->_textlist->_admse==admse__path) mytransform->_callback=(void*)Xifinsidepath,mytransform->_id="Xifinsidepath";
+    else
+    {
+      adms_message_fatal_continue(("'list' should be exactly '%%p' or '%%(...)'\n"))
+      adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    }
+  }
+  else if(T(admst:if-not-inside))
+  {
+    if(mytransform->_textlist->_admse==admse__p) mytransform->_callback=(void*)Xifnotinsidep,mytransform->_id="Xifnotinsidep";
+    else if(mytransform->_textlist->_admse==admse__path) mytransform->_callback=(void*)Xifnotinsidepath,mytransform->_id="Xifnotinsidepath";
+    else
+    {
+      adms_message_fatal_continue(("'list' should be exactly '%%p' or '%%(...)'\n"))
+      adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    }
+  }
+  else if(T(admst:variable))
+  {
+
+    if(mytransform->_textselect)
+    {
+      if(mytransform->_textselect->_admse==admse__p)
+        mytransform->_callback=(void*)Xvariablep,
+        mytransform->_id="Xvariablep";
+      else if(mytransform->_textselect->_admse==admse__path)
+        mytransform->_callback=(void*)Xvariable,
+        mytransform->_id="Xvariable",
+        mytransform->_pathpath=(p_path)mytransform->_textselect->_token->data;
+      else if((adms_slist_length(mytransform->_textselect->_token)==1)&&(mytransform->_textselect->_token->data->_datatypename==admse_admst))
+        mytransform->_callback=(void*)Xvariableconstant,
+        mytransform->_id="Xvariableconstant",
+        mytransform->_textstring=mytransform->_textselect;
+      else
+        mytransform->_callback=(void*)Xvariablestring,
+        mytransform->_id="Xvariablestring",
+        mytransform->_textstring=mytransform->_textselect;
+    }
+    else if(mytransform->_textstring)
+    {
+      if((adms_slist_length(mytransform->_textstring->_token)==1)&&(mytransform->_textstring->_token->data->_datatypename==admse_admst))
+        mytransform->_callback=(void*)Xvariableconstant,
+        mytransform->_id="Xvariableconstant";
+      else
+        mytransform->_callback=(void*)Xvariablestring,
+        mytransform->_id="Xvariablestring";
+    }
+    else if(mytransform->_pathpath)
+      mytransform->_callback=(void*)Xvariable,
+      mytransform->_id="Xvariable";
+    else
+      mytransform->_callback=(void*)Xvariablenull,
+      mytransform->_id="Xvariablenull";
+  }
+  else if(T(admst:value-to))
+  {
+    if(mytransform->_textstring)
+    {
+      if(mytransform->_textstring->_admse==admse__p)
+        mytransform->_callback=(void*)Xvaluetop,
+        mytransform->_id="Xvaluetop";
+      else if(mytransform->_textstring->_admse==admse__path)
+        mytransform->_callback=(void*)Xvaluetopath,
+        mytransform->_id="Xvaluetopath";
+      else if((adms_slist_length(mytransform->_textstring->_token)==1)&&(mytransform->_textstring->_token->data->_datatypename==admse_admst))
+        mytransform->_callback=(void*)Xvaluetoconstant,
+        mytransform->_id="Xvaluetoconstant";
+      else
+        mytransform->_callback=(void*)Xvaluetostring,
+        mytransform->_id="Xvaluetostring";
+    }
+    else if(mytransform->_pathpath)
+      mytransform->_callback=(void*)Xvalueto,
+      mytransform->_id="Xvalueto";
+    else
+      mytransform->_callback=(void*)Xvaluetonull,
+      mytransform->_id="Xvaluetonull";
+  }
+  else if(T(admst:text)) mytransform->_callback=(void*)Xtext,mytransform->_id="Xtext";
+  else if(T(admst:new)&&((mytransform->_textarguments))) mytransform->_callback=(void*)Xnewarguments,mytransform->_id="Xnewarguments";
+  else if(T(admst:new)&&((mytransform->_pathinputs))) mytransform->_callback=(void*)Xnew,mytransform->_id="Xnew";
+  else if(T(admst)) mytransform->_callback=(void*)Xadmst,mytransform->_id="Xadmst";
+  else if(T(admst:for-each)) mytransform->_callback=(void*)Xforeach,mytransform->_id="Xforeach";
+  else if(T(admst:join)) mytransform->_callback=(void*)Xjoin,mytransform->_id="Xjoin";
+  else if(T(admst:break)) mytransform->_callback=(void*)Xbreak,mytransform->_id="Xbreak";
+  else if(T(admst:value-of)) mytransform->_callback=(void*)Xvalueof,mytransform->_id="Xvalueof";
+  else if(T(admst:choice)||T(admst:choose)) mytransform->_callback=(void*)Xchoose,mytransform->_id="Xchoose";
+  else if(T(admst:when)) {mytransform->_id="Xnull_when";}
+  else if(T(admst:otherwise)) {mytransform->_id="Xnull_otherwise";}
+  else if(T(admst:if)) mytransform->_callback=(void*)Xif,mytransform->_id="Xif";
+  else if(T(admst:templates)||T(admst:template)) {mytransform->_id="Xnull_template";}
+  else if(T(admst:apply-template)||T(admst:apply-templates)) mytransform->_callback=(void*)Xapplytemplates,mytransform->_id="Xapplytemplates";
+  else if(T(admst:return)) mytransform->_callback=(void*)Xreturn,mytransform->_id="Xreturn";
+  else if(T(admst:attribute)) mytransform->_callback=(void*)Xattribute,mytransform->_id="Xattribute";
+  else if(T(admst:push))
+  {
+    if(mytransform->_pathoncompare)
+      mytransform->_callback=(void*)Xpushoncompare,mytransform->_id="Xpushoncompare";
+    else if(mytransform->_textonduplicate&&!strcmp(tsprintf(NULL,mytransform->_textonduplicate),"ignore"))
+      mytransform->_callback=(void*)Xpushonduplicate,mytransform->_id="Xpushonduplicate";
+    else
+      mytransform->_callback=(void*)Xpush,mytransform->_id="Xpush";
+  }
+  else if(T(admst:reset)) mytransform->_callback=(void*)Xreset,mytransform->_id="Xreset";
+  else if(T(admst:count)) mytransform->_callback=(void*)Xcount,mytransform->_id="Xcount";
+  else if(T(admst:reverse)) mytransform->_callback=(void*)Xreverse,mytransform->_id="Xreverse";
+  else if(T(admst:read)) mytransform->_callback=(void*)Xread,mytransform->_id="Xread";
+  else if(T(admst:open)) mytransform->_callback=(void*)Xopen,mytransform->_id="Xopen";
+  else if(T(admst:setenv)) mytransform->_callback=(void*)Xsetenv,mytransform->_id="Xsetenv";
+  else if(T(admst:getenv)) mytransform->_callback=(void*)Xgetenv,mytransform->_id="Xgetenv";
+  else if(T(admst:copy)) mytransform->_callback=(void*)Xcopy,mytransform->_id="Xcopy";
+  else if(T(admst:assert)) mytransform->_callback=(void*)Xassert,mytransform->_id="Xassert";
+  else if(T(admst:message)) mytransform->_callback=(void*)Xmessage,mytransform->_id="Xmessage";
+  else if(T(admst:warning)) mytransform->_callback=(void*)Xwarning,mytransform->_id="Xwarning";
+  else if(T(admst:error)) mytransform->_callback=(void*)Xerror,mytransform->_id="Xerror";
+  else if(T(admst:fatal)) mytransform->_callback=(void*)Xfatal,mytransform->_id="Xfatal";
+  else adms_message_fatal(("%s: unknown transform\n",adms_transform_uid(mytransform)))
+}
+static void setkeeplist (p_transform mytransform)
+{
+  if((mytransform->_callback==(void*)Xvalueof)     || (mytransform->_callback==(void*)Xifinsidep) || (mytransform->_callback==(void*)Xifnotinsidep) ||
+     (mytransform->_callback==(void*)Xvaluetonull) || (mytransform->_callback==(void*)Xreset)     || (mytransform->_callback==(void*)Xreverse))
+    mytransform->_pathselect->_keeplist=1;
+  else if((mytransform->_callback==(void*)Xpush)||(mytransform->_callback==(void*)Xpushoncompare)||(mytransform->_callback==(void*)Xpushonduplicate))
+    mytransform->_pathinto->_keeplist=1;
+  else if((mytransform->_callback==(void*)Xifinsidepath) || (mytransform->_callback==(void*)Xifnotinsidepath))
+    mytransform->_pathlist->_keeplist=1;
+}
+
+static void xmlhook_start (const px xp)
+{
+  int l=xp->l;
+  const char* admstfile=xp->f;
+  char* mybasename=basename(admstfile);
+  p_slist Transform=root()->_transform;
+  p_transform mytransform;
+  struct sb* bp=xp->bp;
+  const char* xname=bp->nom;
+  if(!strcmp(xname,"admst"))
+    mytransform=adms_transform_new(admstfile,mybasename,l,xname,NULL);
+  else
+  {
+    p_transform parent=(p_transform)Transform->data;
+    mytransform=adms_transform_new(admstfile,mybasename,l,xname,parent);
+    if(T(admst:templates)||T(admst:template))
+      adms_slist_push(&parent->_templates,(p_adms)mytransform);
+    else
+      adms_slist_push(&parent->_children,(p_adms)mytransform);
+  }
+  free(mybasename);
+  adms_slist_push(&root()->_transform,(p_adms)mytransform);
+  if(is_admst(xname))
+  {
+    for(bp->a=bp->a0;bp->a;bp->a=bp->a->X)
+    {
+      const char* aname=bp->a->nom;
+      const char* avalue=xmltotxt(bp->a->valeur);
+      if(((strlen(aname)==5)&&!strncmp(aname,"xmlns",5))||((strlen(aname)>5)&&!strncmp(aname,"xmlns:",6)))
+      {}
+      else if(!strcmp(aname,"arguments"))
+      {
+        p_slist myli=adms_strsplit(avalue,",",1000);
+        p_slist myli0=myli;
+        for(;myli;myli=myli->next)
+          adms_slist_push(&mytransform->_textarguments,(p_adms)tparse(mytransform,aname,(char*)(myli->data)));
+        adms_slist_inreverse(&mytransform->_textarguments);
+        free_strlist(myli0);
+      }
+      else if(!strcmp(aname,"inputs"))
+      {
+        p_slist myli=adms_strsplit(avalue,",",1000);
+        p_slist myli0=myli;
+        for(;myli;myli=myli->next)
+          adms_slist_push(&mytransform->_pathinputs,(p_adms)pparse(mytransform,aname,(char*)(myli->data)));
+        adms_slist_inreverse(&mytransform->_pathinputs);
+        free_strlist(myli0);
+      }
+      else if(!strcmp(aname,"oncompare"))
+        mytransform->_pathoncompare=pparse(mytransform,aname,avalue);
+      else if(!strcmp(aname,"test"))
+        mytransform->_pathtest=pparse(mytransform,aname,avalue);
+      else if(!strcmp(aname,"path"))
+        mytransform->_pathpath=pparse(mytransform,aname,avalue);
+      else if(!strcmp(aname,"into"))
+        mytransform->_pathinto=pparse(mytransform,aname,avalue);
+      else if(!strcmp(aname,"select"))
+      {
+        if(!strcmp(xname,"admst:variable"))
+          mytransform->_textselect=tparse(mytransform,aname,avalue);
+        else
+          mytransform->_pathselect=pparse(mytransform,aname,avalue);
+      }
+      else if(!strcmp(aname,"string")||!strcmp(aname,"value"))
+        mytransform->_textstring=tparse(mytransform,aname,avalue);
+      else if(!strcmp(aname,"match"))
+        mytransform->_textmatch=tparse(mytransform,aname,avalue);
+      else if(!strcmp(aname,"required"))
+        mytransform->_textrequired=tparse(mytransform,aname,avalue);
+      else if(!strcmp(aname,"separator"))
+        mytransform->_textseparator=tparse(mytransform,aname,avalue);
+      else if(!strcmp(aname,"from"))
+        mytransform->_textfrom=tparse(mytransform,aname,avalue);
+      else if(!strcmp(aname,"to"))
+        mytransform->_textto=tparse(mytransform,aname,avalue);
+      else if(!strcmp(aname,"list"))
+      {
+        mytransform->_textlist=tparse(mytransform,aname,avalue);
+        mytransform->_pathlist=(p_path)mytransform->_textlist->_token->data;
+      }
+      else if(!strcmp(aname,"name"))
+        mytransform->_textname=tparse(mytransform,aname,avalue);
+      else if(!strcmp(aname,"format"))
+        mytransform->_textformat=tparse(mytransform,aname,avalue);
+      else if(!strcmp(aname,"onduplicate"))
+        mytransform->_textonduplicate=tparse(mytransform,aname,avalue);
+      else if(!strcmp(aname,"file"))
+        mytransform->_textfile=tparse(mytransform,aname,avalue);
+      else if(!strcmp(aname,"version"))
+        mytransform->_textversion=tparse(mytransform,aname,avalue);
+      else if(!strcmp(aname,"datatype"))
+        mytransform->_textdatatype=tparse(mytransform,aname,avalue);
+      else if(!strcmp(aname,"mode"))
+        adms_message_obsolete(("%s:%i: attribute %s=\"%s\" is ignored\n",admstfile,l,aname,avalue))
+      else
+        adms_message_fatal(("%s:%i: unknown attribute %s=\"%s\"\n",admstfile,l,aname,avalue))
+    }
+    setcallback(mytransform);
+    return;
+  }
+  else
+    mytransform->_callback=(void*)Xnotadmst,mytransform->_id="Xnotadmst";
+  for(bp->a=bp->a0;bp->a;bp->a=bp->a->X)
+  {
+    const char* aname=bp->a->nom;
+    const char* avalue=bp->a->valeur;
+    p_attribute myattribute=adms_attribute_new(aname);
+    myattribute->_value=(p_adms)tparse(mytransform,aname,avalue);
+    adms_slist_push(&mytransform->_attribute,(p_adms)myattribute);
+  }
+  adms_slist_inreverse(&mytransform->_attribute);
+}
+static void xmlhook_end (const px xp)
+{
+  p_slist Transform=root()->_transform;
+  p_transform mytransform=Transform?(p_transform)Transform->data:NULL;
+  sanityxx (mytransform);
+  if(is_admst(mytransform->_name))
+    setkeeplist(mytransform);
+  if(!T(admst))
+    adms_slist_pull(&root()->_transform);
+  adms_slist_inreverse(&mytransform->_children);
+}
+
 /*[nepasimprimer]*/
+static void dbx (p_transform mytransform)
+{
+  p_slist myli;
+  adms_message_admstdbg_impl("<%s file=\"%s\">\n",mytransform->_id,adms_transform_uid(mytransform));
+  dbxx(mytransform);
+  for(myli=mytransform->_templates;myli;myli=myli->next)
+    dbx((p_transform)myli->data);
+  for(myli=mytransform->_children;myli;myli=myli->next)
+    dbx((p_transform)myli->data);
+  adms_message_admstdbg_impl("</%s>\n",mytransform->_id);
+}
+/*SNT becomes T
+static const char* text_excluding_leadingspaces (const char* text)
+{
+  const char* cPtr=text;
+  while(*cPtr&&isspace(*cPtr))
+    cPtr++;
+  if(*cPtr&&(*cPtr=='\n' || *cPtr=='\r'))
+    cPtr++;
+  return cPtr;
+}
+*/
+/*[TNS]NS becomes [TNS]N */
+static char* text_excluding_trailingspaces (const char* text)
+{
+  const char* cPtr=text;
+  const char* nl=NULL;
+  int t=0;
+  int space=0;
+  while(*cPtr)
+  {
+    if(!isspace(*cPtr))
+    {t=1; space=0;}
+    if(t&&(*cPtr=='\n' || *cPtr=='\r'))
+    {nl=cPtr; space=0;}
+    if(nl&&(*cPtr=='\n' || *cPtr=='\r'))
+      space=1;
+    cPtr++;
+  }
+  if(t&&space)
+    return adms_knclone(text,nl-text);
+  else if(t)
+    return adms_kclone(text);
+  else
+    return NULL;
+}
+static void xmlhook_text (const px xp)
+{
+  const char* text=xmltotxt(xp->contenu);
+  char* newtext=text_excluding_trailingspaces(text);
+  if(root()->_transform&&newtext)
+  {
+    p_transform parent=(p_transform)root()->_transform->data;
+    char* mybasename=basename(xp->f);
+    p_transform mytransform=adms_transform_new(xp->f,mybasename,parent->_l,"admst:text",parent);
+    free(mybasename);
+    mytransform->_textformat=tparse(mytransform,"text()",newtext);
+    mytransform->_callback=(void*)Xtext,mytransform->_id="Xtext";
+    adms_slist_push(&parent->_children,(p_adms)mytransform);
+  }
+  free(newtext);
+}
+
 /*[nepasimprimer]*/
+static void creearbrex(const char*myadmstfile)
+{
+    char* xdata0;
+#if defined(STANDALONE)
+    char* xfile=adms_kclone(myadmstfile);
+    char* xdata=adms_kclone(xcode);
+#else
+/*read xfile*/
+    char* xfile=filename(myadmstfile);
+    FILE*xxfh=fopen(xfile,"rb");
+    char buf[1024];
+    char *xdata=NULL;
+    size_t readbytes=0;
+    if(!xxfh)
+      adms_message_fatal(("%s: failed to open file [read mode]\n",xfile))
+    if(!adms_file_isregular(xfile))
+      adms_message_fatal(("%s: cannot read file - perhaps binary file\n",xfile))
+    while(!feof(xxfh))
+    {
+      size_t newreadbytes=fread(buf,sizeof(char),sizeof(buf),xxfh);
+      if(ferror(xxfh))
+        adms_message_fatal(("%s: failed to read file\n",xfile))
+      if(!(xdata=(char*)realloc(xdata,readbytes+newreadbytes)))
+        adms_message_fatal(("%s: not enough memory available to read the file\n",xfile))
+      memcpy(xdata+readbytes,buf,newreadbytes);
+      readbytes+=newreadbytes;
+    }
+    fclose(xxfh);
+    xdata=(char*)realloc(xdata,readbytes+1);
+    *(xdata+readbytes)='\0';
+#endif
+    xdata0=xdata;
+    racine.Y0=NULL;
+    racine.Y=NULL;
+    arbre(xdata,myadmstfile);
+    free(xdata);
+    free(xfile);
+}
+#if defined(STANDALONE)
+int admsmain (const char*xcode,const char*xflag,const char*vafile)
+{
+  int argc=3;
+  const char**argv=malloc(sizeof(char*)*argc);
+#else
+static void xbackup (const char* xfile,FILE*xfh)
+{
+  FILE*xxfh=fopen(xfile,"rb");
+  char buf[1024];
+  char *firstbyte=NULL;
+  size_t readbytes=0;
+  if(!xxfh)
+    adms_message_fatal(("%s: failed to open file [read mode]\n",xfile))
+  if(!adms_file_isregular(xfile))
+    adms_message_fatal(("%s: cannot read file - perhaps binary file\n",xfile))
+  while(!feof(xxfh))
+  {
+    size_t newreadbytes=fread(buf,sizeof(char),sizeof(buf),xxfh);
+    if(ferror(xxfh))
+      adms_message_fatal(("%s: failed to read file\n",xfile))
+    if(!(firstbyte=(char*)realloc(firstbyte,readbytes+newreadbytes)))
+      adms_message_fatal(("%s: not enough memory available to read the file\n",xfile))
+    memcpy(firstbyte+readbytes,buf,newreadbytes);
+    readbytes+=newreadbytes;
+  }
+  fclose(xxfh);
+  firstbyte=(char*)realloc(firstbyte,readbytes+1);
+  *(firstbyte+readbytes)='\0';
+  fwrite(firstbyte,sizeof(char),readbytes,xfh);
+  fflush(xfh);
+  free(firstbyte);
+}
+int main (const int argc,const char**argv)
+{
+#endif
+  char* myadmsimplicitxmlfile;
+  char* mygetenv=getenv("adms_implicit_transforms");
+  int myskipxmli=0;
+  time_t mytime=time(NULL);
+  p_slist myxargs;
+  p_slist myli;
+  FILE*xfh;
+  char* xheader=NULL;
+  const char* xinterface=".interface.xml";
+#if defined(STANDALONE)
+  argv[0]=adms_kclone("admsXml");
+  argv[1]=adms_kclone(xflag);
+  argv[2]=adms_kclone(vafile);
+#endif
+  /*NAN*/
+  adms_NAN=adms_dzero/adms_dzero;
+  /*admsmain*/
+  rootnew(adms_admsmain_new("admsmain"));
+  /*argc,argv*/
+  {
+    int i;
+    root()->_argc=argc;
+    root()->_argv=NULL;
+    for(i=0;i<argc;i++)
+    {
+      if((strlen(argv[i])==2)&&!strcmp(argv[i],"-x"))
+      {
+        myskipxmli=1;
+        adms_message_info(("-x: skipping any implicit xml scripts\n"))
+      }
+      else if((strlen(argv[i])==3)&&!strcmp(argv[i],"-xv"))
+      {
+        myskipversion=1;
+        adms_message_info(("-xv: skipping version check\n"))
+      }
+      if((strlen(argv[i])==8)&&!strcmp(argv[i],"-compat2"))
+        adms_message_warning(("backward compat ignored\n"))
+      adms_slist_push(&root()->_argv,(p_adms)adms_kclone(argv[i]));
+    }
+  }
+
 /*[nepasimprimer]*/
+/*main.simulator*/
+  {
+    char* sfullname=NULL;
+    adms_k2strconcat(&sfullname,PACKAGE_NAME);
+    adms_k2strconcat(&sfullname,"Xml-");
+    if(getenv("adms_check"))
+      adms_k2strconcat(&sfullname,"0.0.0 (shell variable 'adms_check' is set!)");
+    else
+      adms_k2strconcat(&sfullname,PACKAGE_VERSION);
+    root()->_simulator=adms_simulator_new(sfullname);
+    root()->_simulator->_fullname=adms_kclone(sfullname);
+  }
+
 /*[nepasimprimer]*/
+/*main.simulator.developer*/
+  if(getenv("adms_check"))
+    root()->_simulator->_developer=adms_kclone("0.0.0 (shell variable 'adms_check' is set)");
+  else
+  {
+    char* sdeveloper=NULL;
+    adms_k2strconcat(&sdeveloper,PACKAGE_NAME);
+    adms_k2strconcat(&sdeveloper,"Xml");
+    adms_k2strconcat(&sdeveloper,"-");
+    adms_k2strconcat(&sdeveloper,PACKAGE_VERSION);
+    adms_k2strconcat(&sdeveloper," (");
+    adms_k2strconcat(&sdeveloper,__DATE__);
+    adms_k2strconcat(&sdeveloper,"-");
+    adms_k2strconcat(&sdeveloper,__TIME__);
+    adms_k2strconcat(&sdeveloper,")");
+    root()->_simulator->_developer=sdeveloper;
+  }
+
 /*[nepasimprimer]*/
+/*main.simulator.currentdate*/
+  if(getenv("adms_check"))
+    root()->_simulator->_currentdate=adms_kclone("0.0.0 (shell variable 'adms_check' is set)\n");
+  else
+  {
+    char mybuffer[100];
+    struct tm* mylocaltime=localtime(&mytime);
+    strftime (mybuffer,100,"%a, %d %b %Y %H:%M:%S",mylocaltime);
+    root()->_simulator->_currentdate=adms_kclone(mybuffer);
+  }
+
 /*[nepasimprimer]*/
+/*shell*/
+  if(getenv("adms_dbg_pre"))
+    adms_preprocessor_setint_yydebug(1);
+  else
+    adms_preprocessor_setint_yydebug(0);
+  if(getenv("adms_info")    && !strcmp(getenv("adms_info"),    "no"))  root()->_info=admse_no;
+  if(getenv("adms_usage")   && !strcmp(getenv("adms_usage"),   "no"))  root()->_usage=admse_no;
+  if(getenv("adms_obsolete")&& !strcmp(getenv("adms_obsolete"),"no"))  root()->_obsolete=admse_no;
+  if(getenv("adms_hint")    && !strcmp(getenv("adms_hint"),    "yes")) root()->_hint=admse_yes;
+  if(getenv("adms_verbose") && !strcmp(getenv("adms_verbose"), "yes")) root()->_verbose=admse_yes;
+  if(getenv("adms_debug")   && !strcmp(getenv("adms_debug"),   "yes")) root()->_debug=admse_yes;
+  if(getenv("adms_dbg_vla") && !strcmp(getenv("adms_dbg_vla"), "yes")) root()->_dbg_vla=admse_yes;
+  if(getenv("adms_dbg_xml") && !strcmp(getenv("adms_dbg_xml"), "yes")) root()->_dbg_xml=admse_yes;
+
 /*[nepasimprimer]*/
+  if(
+    (argc==1)
+    ||
+    ((argc==2)&&!strcmp(argv[1],"-h"))
+    ||
+    ((argc==2)&&!strcmp(argv[1],"--help"))
+    ||
+    ((argc==3)&&!strcmp(argv[1],"--")&&!strcmp(argv[2],"help"))
+  )
+  {
+    adms_message_usage(("%sXml-%s (%s) ",PACKAGE_NAME,PACKAGE_VERSION,SVN))
+    adms_message_usage_continue(("%s %s (os=%s compiler=%s)\n",__DATE__,__TIME__,ADMS_OS,ADMS_COMPILER))
+    adms_message_usage(("%sXml source [options] -e script1 [-e script2 ...]\n",PACKAGE_NAME))
+    adms_message_usage(("files:\n"))
+    adms_message_usage(("  source: verilog-ams source code\n"))
+    adms_message_usage(("  script1, ...: admst scripts (xml format)\n"))
+    adms_message_usage(("options:\n"))
+    adms_message_usage(("  -D NAME: predefine NAME as a macro, with definition `1'\n"))
+    adms_message_usage(("  -D NAME=DEFINITION: predefine NAME as a macro, with definition DEFINITION\n"))
+    adms_message_usage(("  -I DIR: Add directory DIR to search path for header files\n"))
+    adms_message_usage(("  -x : ignore file .adms.implicit.xml\n"))
+    adms_message_usage(("  -xv: do not check version number of scripts\n"))
+    adms_message_usage(("shell variables:\n"))
+    adms_message_usage(("- adms_info=\"yes\"|\"no\": print info [default=yes]\n"))
+    adms_message_usage(("- adms_usage=\"yes\"|\"no\": print usage [default=yes]\n"))
+    adms_message_usage(("- adms_verbose=\"yes\"|\"no\": print messages [default=no]\n"))
+    adms_message_usage(("- adms_debug=\"yes\"|\"no\": print more messages [default=no]\n"))
+    adms_message_usage(("- adms_dbg_vla=\"yes\"|\"no\": debug messages during vla parsing [default=no]\n"))
+    adms_message_usage(("- adms_dbg_xml=\"yes\"|\"no\": debug messages during xml parsing [default=no]\n"))
+    adms_message_usage(("- adms_dbg_pre=\"yes\"|\"no\": debug messages during vla preprocessing [default=no]\n"))
+    adms_message_usage(("-i or --info: miscellaneous info (release, web site, mailing list)\n"))
+    adms_message_usage(("-v or --version: version number\n"))
+    adms_message_usage(("-h or --help: short help\n"))
+  }
+  else if(
+    ((argc==2)&&!strcmp(argv[1],"-v"))
+    ||
+    ((argc==2)&&!strcmp(argv[1],"--version"))
+    ||
+    ((argc==3)&&!strcmp(argv[1],"--")&&!strcmp (argv[2],"version"))
+  )
+  {
+    adms_message_usage(("<release name=\"%sXml\" version=\"%s\" date=\"%s\" time=\"%s\"/>\n",PACKAGE_NAME,PACKAGE_VERSION,__DATE__,__TIME__))
+    return 0;
+  }
+  else if(
+    ((argc==2)&&!strcmp(argv[1],"-i"))
+    ||
+    ((argc==2)&&!strcmp(argv[1],"--info"))
+    ||
+    ((argc==3)&&!strcmp(argv[1],"--")&&!strcmp(argv[2],"info"))
+  )
+  {
+    adms_message_usage(("<info\n",PACKAGE_BUGREPORT))
+    adms_message_usage(("  author=\"laurent lemaitre\"\n",PACKAGE_BUGREPORT))
+    adms_message_usage(("  bug-report=\"%s\"\n",PACKAGE_BUGREPORT))
+    adms_message_usage(("  home-page=\"http://mot-adms.sourceforge.net\"\n"))
+    adms_message_usage(("  mailing-list=\"mot-adms-users at lists.sourceforge.net\">\n"))
+    adms_message_usage(("  <release name=\"%s\" version=\"%s\" ",PACKAGE_NAME,PACKAGE_VERSION))
+    adms_message_usage_continue(("svn=\"%s\" date=\"%s\" time=\"%s\"/>\n",SVN,__DATE__,__TIME__))
+    adms_message_usage(("</info>\n"))
+    return 0;
+  }
+
/*create implicit admst script*/
+  {
+    if(mygetenv)
+    {
+      myadmsimplicitxmlfile=filename(mygetenv);
+      adms_message_info(("loading implicit xml script %s\n",mygetenv))
+      adms_message_info(("(shell variable 'adms_implicit_transforms' has been set)\n"))
+    }
+    else
+    {
+#include "adms.implicit.xml.c"
+      FILE*ofh;
+      myadmsimplicitxmlfile=adms_kclone(".adms.implicit.xml");
+      if(!(ofh=fopen(myadmsimplicitxmlfile,"wb")))
+        adms_message_fatal(("%s: failed to open file [write mode]\n",myadmsimplicitxmlfile))
+      fputs(adms_implicit_xml,ofh);
+      fclose(ofh);
+    }
+  }
+  if(
+    (argc==1)
+    ||
+    ((argc==2)&&!strcmp(argv[1],"-h"))
+    ||
+    ((argc==2)&&!strcmp(argv[1],"--help"))
+    ||
+    ((argc==3)&&!strcmp(argv[1],"--")&&!strcmp(argv[2],"help"))
+  )
+    return 0;
+
 /*[nepasimprimer]*/
+/*parse verilogams list*/
+  {
+    p_slist l=getlist_from_argv(argc,argv,"-f","file");
+    for(myli=l;myli;myli=myli->next)
+      parseva(argc,argv,(char*)(myli->data));
+    adms_slist_free(l);
+  }
+/*add implicit admst script*/
+  myxargs=getlist_from_argv(argc,argv,"-e","file");
+#if defined(STANDALONE)
+  adms_slist_push(&myxargs,(p_adms)adms_kclone("stdin"));
+#endif
+  adms_slist_push(&myxargs,(p_adms)myadmsimplicitxmlfile);
+
 /*[nepasimprimer]*/
+/*traverse scripts*/
+  if(!(xfh=fopen(xinterface,"wb")))
+    adms_message_fatal(("%s: failed to open file [write mode]\n",xinterface))
+  adms_k2strconcat(&xheader,"<!--\n");
+  adms_k2strconcat(&xheader,"  File automatically created\n");
+  adms_k2strconcat(&xheader,"  Command used:\n");
+  adms_k2strconcat(&xheader,"  # release: ");
+  adms_k2strconcat(&xheader,PACKAGE_VERSION);
+  adms_k2strconcat(&xheader," ");
+  adms_k2strconcat(&xheader,__DATE__);
+  adms_k2strconcat(&xheader,"-");
+  adms_k2strconcat(&xheader,__TIME__);
+  adms_k2strconcat(&xheader,"\n");
+  adms_k2strconcat(&xheader,"  ");
+  adms_k2strconcat(&xheader,PACKAGE_NAME);
+  adms_k2strconcat(&xheader,"Xml");
+  adms_k2strconcat(&xheader," \\\n");
+  if(myskipxmli)
+    adms_k2strconcat(&xheader,"   -x \\\n");
+  for(myli=myxargs;myli;myli=myli->next)
+    if(myli!=myxargs)
+    {
+      const char* myadmstfile=(char*)(myli->data);
+      adms_k2strconcat(&xheader,"   -e ");
+      adms_k2strconcat(&xheader,myadmstfile);
+      if(myli->next)
+        adms_k2strconcat(&xheader," \\");
+      adms_k2strconcat(&xheader,"\n");
+    }
+  adms_k2strconcat(&xheader,"-->\n\n");
+  fwrite(xheader,sizeof(char),strlen(xheader)*sizeof(char),xfh);
+#if defined(STANDALONE)
+  fwrite(xcode,sizeof(char),strlen(xcode)*sizeof(char),xfh);
+#endif
+  fflush(xfh);
+  free(xheader);
+#if defined(STANDALONE)
+#else
+  for(myli=myxargs;myli;myli=myli->next)
+    if(!myskipxmli || myli!=myxargs)
+    {
+      const char* myadmstfile=(char*)(myli->data);
+      char* xfile=filename(myadmstfile);
+      char* xlocalheader=NULL;
+      adms_k2strconcat(&xlocalheader,"\n");
+      adms_k2strconcat(&xlocalheader,"<?escript name=\"");
+      adms_k2strconcat(&xlocalheader,myadmstfile);
+      adms_k2strconcat(&xlocalheader,"\" ?>\n");
+      fwrite(xlocalheader,sizeof(char),strlen(xlocalheader)*sizeof(char),xfh);
+      fflush(xfh);
+      free(xlocalheader);
+      xbackup(xfile,xfh);
+      free(xfile);
+    }
+#endif
+  fclose(xfh);
+/*db*/
+    if(root()->_dbg_xml==admse_yes)
+    {
+      p_slist l;
+      char*mydbgfile1=".admst1.xml";
+      char*mydbgfile2=".admst2.xml";
+      const char*admstdtduri="http://mot-adms.svn.sourceforge.net/viewvc/mot-adms/trunk/adms/admst.dtd";
+      creearbrex(".interface.xml");
+      if(!(stdadmstdbgimpl=fopen(mydbgfile1,"wb")))
+        adms_message_fatal(("%s: failed to open file [write mode]\n",mydbgfile1))
+      adms_message_admstdbg_impl("<?xml version=\"1.0\" encoding=\"ISO-8859-1\"?>\n");
+      adms_message_admstdbg_impl("<!DOCTYPE admst PUBLIC \"-//adms//DTD admst 2.0//-\" \"%s\">\n",admstdtduri);
+      adms_message_admstdbg_impl("<!-- xmllint -valid -noout %s -->\n",mydbgfile1);
+      adms_message_admstdbg_impl("<admst xmlns:admst=\"%s\">\n",admstdtduri);
+      racine.dbg(&racine);
+      adms_message_admstdbg_impl("</admst>\n");
+      fclose(stdadmstdbg);
+      stdadmstdbgimpl=NULL;
+      if(!(stdadmstdbgimpl=fopen(mydbgfile2,"wb")))
+        adms_message_fatal(("%s: failed to open file [write mode]\n",mydbgfile2))
+      adms_message_admstdbg_impl("<admst>\n");
+      for(l=root()->_transform;l;l=l->next)
+        dbx((p_transform)l->data);
+      adms_message_admstdbg_impl("</admst>\n");
+      fclose(stdadmstdbg);
+      stdadmstdbgimpl=NULL;
+      adms_message_info(("%s, %s: debug files created (note: shell variable 'adms_dbg_xml' is set)\n",mydbgfile1,mydbgfile2))
+    }
+
 /*[nepasimprimer]*/
+  for(myli=myxargs;myli;myli=myli->next)
+    if(!myskipxmli || myli!=myxargs)
+    {
+      p_slist l;
+      creearbrex((char*)myli->data);
+      adms_message_verbose(("-e file: %s\n",(char*)myli->data))
+      racine.adms(&racine);
+      if(root()->_dbg_xml==admse_yes)
+      {
+        char*mydbgfile=NULL;
+        char* mybasename=basename((char*)myli->data);
+        adms_k2strconcat(&mydbgfile,".");
+        adms_k2strconcat(&mydbgfile,mybasename);
+        if(!(stdadmstdbgimpl=fopen(mydbgfile,"wb")))
+          adms_message_fatal(("%s: failed to open file [write mode]\n",mydbgfile))
+        racine.dbg(&racine);
+        free(mydbgfile);
+        free(mybasename);
+      }
+      adms_message_verbose(("traverse: %s\n",(char*)myli->data))
+      adms_slist_inreverse(&root()->_transform);
+  /*postx*/
+      for(l=root()->_transform;l;l=l->next)
+        postx((p_transform)l->data);
+  /*traverse*/
+      for(l=root()->_transform;l;l=l->next)
+        adms_slist_push(&root()->_invtransform,l->data);
+      for(l=root()->_transform;l;l=l->next)
+      {
+        p_transform mytransform=(p_transform)l->data;
+        p_admst myadmst=adms_admst_newpa(NULL,NULL,(p_adms)root());
+        Xadmst(mytransform,myadmst,NULL);
+        deref(myadmst);
+      }
+      if(root()->_valueof)
+      {
+        p_transform mytransform=(p_transform)root()->_valueof->data;
+        adms_message_fatal_continue(("stack '%%s' is not empty! (%i element(s) left)\n",adms_slist_length(root()->_valueof)/2))
+        adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+      }
+      adms_slist_free(root()->_transform);
+      root()->_transform=NULL;
+      free(myli->data);
+    }
+  adms_slist_free(root()->_invtransform);
+  adms_message_verbose(("%s: file created (all -e files in one file)\n",xinterface))
+  for(myli=root()->_variable;myli;myli=myli->next)
+  {
+    p_admstvariable dollar=(p_admstvariable)myli->data;
+    p_slist l;
+    for(l=dollar->_value;l;l=l->next)
+    {
+      ((p_admst)l->data)->_refd--;
+      deref((p_admst)l->data);
+    }
+    adms_admstvariable_free(dollar);
+  }
+  adms_slist_free(myxargs);
+
 /*[nepasimprimer]*/
+  adms_message_info(("elapsed time: %g (second)\n",difftime(time(NULL),mytime)))
+  adms_message_info(("admst iterations: %i (%i freed)\n",adms_global_nbadmstnew(),adms_global_nbadmstdestroy()))
+  return 0;
+}
+#if defined (STANDALONE) && defined (APATHMAIN)
+int main (int argc,char **argv)
+{
+  return apath_main (argc,argv);
+}
+#endif
diff --git a/admsXml/admstpathYacc.c b/admsXml/admstpathYacc.c
new file mode 100644
index 0000000..81f83a2
--- /dev/null
+++ b/admsXml/admstpathYacc.c
@@ -0,0 +1,16854 @@
+
+/* A Bison parser, made by GNU Bison 2.4.1.  */
+
+/* Skeleton implementation for Bison's Yacc-like parsers in C
+   
+      Copyright (C) 1984, 1989, 1990, 2000, 2001, 2002, 2003, 2004, 2005, 2006
+   Free Software Foundation, Inc.
+   
+   This program is free software: you can redistribute it and/or modify
+   it under the terms of the GNU General Public License as published by
+   the Free Software Foundation, either version 3 of the License, or
+   (at your option) any later version.
+   
+   This program is distributed in the hope that it will be useful,
+   but WITHOUT ANY WARRANTY; without even the implied warranty of
+   MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+   GNU General Public License for more details.
+   
+   You should have received a copy of the GNU General Public License
+   along with this program.  If not, see <http://www.gnu.org/licenses/>.  */
+
+/* As a special exception, you may create a larger work that contains
+   part or all of the Bison parser skeleton and distribute that work
+   under terms of your choice, so long as that work isn't itself a
+   parser generator using the skeleton or a modified version thereof
+   as a parser skeleton.  Alternatively, if you modify or redistribute
+   the parser skeleton itself, you may (at your option) remove this
+   special exception, which will cause the skeleton and the resulting
+   Bison output files to be licensed under the GNU General Public
+   License without this special exception.
+   
+   This special exception was added by the Free Software Foundation in
+   version 2.2 of Bison.  */
+
+/* C LALR(1) parser skeleton written by Richard Stallman, by
+   simplifying the original so-called "semantic" parser.  */
+
+/* All symbols defined below should begin with yy or YY, to avoid
+   infringing on user name space.  This should be done even for local
+   variables, as they might otherwise be expanded by user macros.
+   There are some unavoidable exceptions within include files to
+   define necessary library symbols; they are noted "INFRINGES ON
+   USER NAME SPACE" below.  */
+
+/* Identify Bison output.  */
+#define YYBISON 1
+
+/* Bison version.  */
+#define YYBISON_VERSION "2.4.1"
+
+/* Skeleton name.  */
+#define YYSKELETON_NAME "yacc.c"
+
+/* Pure parsers.  */
+#define YYPURE 0
+
+/* Push parsers.  */
+#define YYPUSH 0
+
+/* Pull parsers.  */
+#define YYPULL 1
+
+/* Using locations.  */
+#define YYLSP_NEEDED 0
+
+/* Substitute the variable and function names.  */
+#define yyparse         admstpathparse
+#define yylex           admstpathlex
+#define yyerror         admstpatherror
+#define yylval          admstpathlval
+#define yychar          admstpathchar
+#define yydebug         admstpathdebug
+#define yynerrs         admstpathnerrs
+
+
+/* Copy the first part of user declarations.  */
+
+/* Line 189 of yacc.c  */
+#line 5 "./admstpathYacc.y"
+
+#include "admstpathYacc.h"
+
+static int admstpathlex (p_pparse mypparse);
+static void dbpath (p_path mypath);
+static void dbtext (p_text mytext);
+static void postpath (p_path mypath);
+static void posttext (p_text mytext)
+{
+  p_slist li;
+  for(li=mytext->_token;li;li=li->next)
+  {
+    p_adms mytoken=li->data;
+    if(mytoken->_datatypename==admse_path)
+    {
+      ((p_path)mytoken)->_aname=adms_kclone("//");
+      adms_k2strconcat(&((p_path)mytoken)->_aname,mytext->_aname);
+      postpath((p_path)mytoken);
+    }
+    else if(mytoken->_datatypename==admse_text)
+    {
+      ((p_text)mytoken)->_aname=adms_kclone("//");
+      adms_k2strconcat(&((p_text)mytoken)->_aname,mytext->_aname);
+      posttext((p_text)mytoken);
+    }
+  }
+}
+void dbxx (const p_transform mytransform)
+{
+  if(!is_admst(mytransform->_name))
+  {
+    p_slist l=mytransform->_attribute;
+    for(;l;l=l->next)
+      dbtext((p_text)((p_attribute)l->data)->_value);
+  }
+  if(!strcmp(mytransform->_name,"admst")&&mytransform->_textversion) dbtext(mytransform->_textversion);
+  if(!strcmp(mytransform->_name,"admst:for-each")&&mytransform->_pathselect) dbpath(mytransform->_pathselect);
+  if(!strcmp(mytransform->_name,"admst:for-each")&&mytransform->_pathpath) dbpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:for-each")&&mytransform->_pathtest) dbpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:join")&&mytransform->_pathselect) dbpath(mytransform->_pathselect);
+  if(!strcmp(mytransform->_name,"admst:join")&&mytransform->_pathpath) dbpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:join")&&mytransform->_pathtest) dbpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:join")&&mytransform->_textseparator) dbtext(mytransform->_textseparator);
+  if(!strcmp(mytransform->_name,"admst:break")&&mytransform->_pathpath) dbpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:break")&&mytransform->_pathtest) dbpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:value-of")&&mytransform->_pathselect) dbpath(mytransform->_pathselect);
+  if(!strcmp(mytransform->_name,"admst:value-of")&&mytransform->_pathpath) dbpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:value-of")&&mytransform->_pathtest) dbpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:if-inside")&&mytransform->_textlist) dbtext(mytransform->_textlist);
+  if(!strcmp(mytransform->_name,"admst:if-inside")&&mytransform->_pathselect) dbpath(mytransform->_pathselect);
+  if(!strcmp(mytransform->_name,"admst:if-inside")&&mytransform->_pathpath) dbpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:if-inside")&&mytransform->_pathtest) dbpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:if-not-inside")&&mytransform->_textlist) dbtext(mytransform->_textlist);
+  if(!strcmp(mytransform->_name,"admst:if-not-inside")&&mytransform->_pathselect) dbpath(mytransform->_pathselect);
+  if(!strcmp(mytransform->_name,"admst:if-not-inside")&&mytransform->_pathpath) dbpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:if-not-inside")&&mytransform->_pathtest) dbpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:choose")&&mytransform->_pathpath) dbpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:choose")&&mytransform->_pathtest) dbpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:when")&&mytransform->_pathtest) dbpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:when")&&mytransform->_pathpath) dbpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:otherwise")&&mytransform->_pathpath) dbpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:otherwise")&&mytransform->_pathtest) dbpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:if")&&mytransform->_pathtest) dbpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:if")&&mytransform->_pathpath) dbpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:template")&&mytransform->_textmatch) dbtext(mytransform->_textmatch);
+  if(!strcmp(mytransform->_name,"admst:template")&&mytransform->_pathpath) dbpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:template")&&mytransform->_pathtest) dbpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:apply-templates")&&mytransform->_pathselect) dbpath(mytransform->_pathselect);
+  if(!strcmp(mytransform->_name,"admst:apply-templates")&&mytransform->_textmatch) dbtext(mytransform->_textmatch);
+  if(!strcmp(mytransform->_name,"admst:apply-templates")&&mytransform->_pathpath) dbpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:apply-templates")&&mytransform->_pathtest) dbpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:apply-templates")&&mytransform->_textrequired) dbtext(mytransform->_textrequired);
+  if(!strcmp(mytransform->_name,"admst:return")&&mytransform->_textname) dbtext(mytransform->_textname);
+  if(!strcmp(mytransform->_name,"admst:return")&&mytransform->_textstring) dbtext(mytransform->_textstring);
+  if(!strcmp(mytransform->_name,"admst:return")&&mytransform->_pathpath) dbpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:return")&&mytransform->_pathtest) dbpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:attribute")&&mytransform->_textname) dbtext(mytransform->_textname);
+  if(!strcmp(mytransform->_name,"admst:attribute")&&mytransform->_pathpath) dbpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:attribute")&&mytransform->_pathtest) dbpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:attribute")&&mytransform->_pathselect) dbpath(mytransform->_pathselect);
+  if(!strcmp(mytransform->_name,"admst:variable")&&mytransform->_textname) dbtext(mytransform->_textname);
+  if(!strcmp(mytransform->_name,"admst:variable")&&mytransform->_textstring) dbtext(mytransform->_textstring);
+  if(!strcmp(mytransform->_name,"admst:variable")&&mytransform->_textselect) dbtext(mytransform->_textselect);
+  if(!strcmp(mytransform->_name,"admst:variable")&&mytransform->_pathpath) dbpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:variable")&&mytransform->_pathtest) dbpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:value-to")&&mytransform->_pathselect) dbpath(mytransform->_pathselect);
+  if(!strcmp(mytransform->_name,"admst:value-to")&&mytransform->_textstring) dbtext(mytransform->_textstring);
+  if(!strcmp(mytransform->_name,"admst:value-to")&&mytransform->_pathpath) dbpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:value-to")&&mytransform->_pathtest) dbpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:text")&&mytransform->_textformat) dbtext(mytransform->_textformat);
+  if(!strcmp(mytransform->_name,"admst:text")&&mytransform->_pathpath) dbpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:text")&&mytransform->_pathtest) dbpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:text")&&mytransform->_pathselect) dbpath(mytransform->_pathselect);
+  if(!strcmp(mytransform->_name,"admst:new")&&mytransform->_textdatatype) dbtext(mytransform->_textdatatype);
+  if(!strcmp(mytransform->_name,"admst:new")&&mytransform->_pathpath) dbpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:new")&&mytransform->_pathtest) dbpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:new")&&mytransform->_textarguments)
+  {
+    p_slist l=mytransform->_textarguments;
+    for(;l;l=l->next)
+      dbtext((p_text)l->data);
+  }
+  if(!strcmp(mytransform->_name,"admst:new")&&mytransform->_pathinputs)
+  {
+    p_slist l=mytransform->_pathinputs;
+    for(;l;l=l->next)
+      dbpath((p_path)l->data);
+  }
+  if(!strcmp(mytransform->_name,"admst:push")&&mytransform->_pathselect) dbpath(mytransform->_pathselect);
+  if(!strcmp(mytransform->_name,"admst:push")&&mytransform->_pathinto) dbpath(mytransform->_pathinto);
+  if(!strcmp(mytransform->_name,"admst:push")&&mytransform->_textonduplicate) dbtext(mytransform->_textonduplicate);
+  if(!strcmp(mytransform->_name,"admst:push")&&mytransform->_pathoncompare) dbpath(mytransform->_pathoncompare);
+  if(!strcmp(mytransform->_name,"admst:push")&&mytransform->_pathpath) dbpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:push")&&mytransform->_pathtest) dbpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:reset")&&mytransform->_pathselect) dbpath(mytransform->_pathselect);
+  if(!strcmp(mytransform->_name,"admst:reset")&&mytransform->_pathpath) dbpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:reset")&&mytransform->_pathtest) dbpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:count")&&mytransform->_pathselect) dbpath(mytransform->_pathselect);
+  if(!strcmp(mytransform->_name,"admst:count")&&mytransform->_pathpath) dbpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:count")&&mytransform->_pathtest) dbpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:reverse")&&mytransform->_pathselect) dbpath(mytransform->_pathselect);
+  if(!strcmp(mytransform->_name,"admst:reverse")&&mytransform->_pathpath) dbpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:reverse")&&mytransform->_pathtest) dbpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:read")&&mytransform->_textfile) dbtext(mytransform->_textfile);
+  if(!strcmp(mytransform->_name,"admst:read")&&mytransform->_pathpath) dbpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:read")&&mytransform->_pathtest) dbpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:open")&&mytransform->_textfile) dbtext(mytransform->_textfile);
+  if(!strcmp(mytransform->_name,"admst:open")&&mytransform->_pathpath) dbpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:open")&&mytransform->_pathtest) dbpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:setenv")&&mytransform->_textname) dbtext(mytransform->_textname);
+  if(!strcmp(mytransform->_name,"admst:setenv")&&mytransform->_pathpath) dbpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:setenv")&&mytransform->_textstring) dbtext(mytransform->_textstring);
+  if(!strcmp(mytransform->_name,"admst:setenv")&&mytransform->_pathtest) dbpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:getenv")&&mytransform->_textname) dbtext(mytransform->_textname);
+  if(!strcmp(mytransform->_name,"admst:getenv")&&mytransform->_pathpath) dbpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:getenv")&&mytransform->_pathtest) dbpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:copy")&&mytransform->_textfrom) dbtext(mytransform->_textfrom);
+  if(!strcmp(mytransform->_name,"admst:copy")&&mytransform->_textto) dbtext(mytransform->_textto);
+  if(!strcmp(mytransform->_name,"admst:copy")&&mytransform->_pathpath) dbpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:copy")&&mytransform->_pathtest) dbpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:assert")&&mytransform->_textformat) dbtext(mytransform->_textformat);
+  if(!strcmp(mytransform->_name,"admst:assert")&&mytransform->_pathtest) dbpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:assert")&&mytransform->_pathpath) dbpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:assert")&&mytransform->_pathselect) dbpath(mytransform->_pathselect);
+  if(!strcmp(mytransform->_name,"admst:message")&&mytransform->_textformat) dbtext(mytransform->_textformat);
+  if(!strcmp(mytransform->_name,"admst:message")&&mytransform->_pathpath) dbpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:message")&&mytransform->_pathtest) dbpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:message")&&mytransform->_pathselect) dbpath(mytransform->_pathselect);
+  if(!strcmp(mytransform->_name,"admst:warning")&&mytransform->_textformat) dbtext(mytransform->_textformat);
+  if(!strcmp(mytransform->_name,"admst:warning")&&mytransform->_pathpath) dbpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:warning")&&mytransform->_pathtest) dbpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:warning")&&mytransform->_pathselect) dbpath(mytransform->_pathselect);
+  if(!strcmp(mytransform->_name,"admst:error")&&mytransform->_textformat) dbtext(mytransform->_textformat);
+  if(!strcmp(mytransform->_name,"admst:error")&&mytransform->_pathpath) dbpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:error")&&mytransform->_pathtest) dbpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:error")&&mytransform->_pathselect) dbpath(mytransform->_pathselect);
+  if(!strcmp(mytransform->_name,"admst:fatal")&&mytransform->_textformat) dbtext(mytransform->_textformat);
+  if(!strcmp(mytransform->_name,"admst:fatal")&&mytransform->_pathpath) dbpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:fatal")&&mytransform->_pathtest) dbpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:fatal")&&mytransform->_pathselect) dbpath(mytransform->_pathselect);
+}
+void postxx (p_transform mytransform)
+{
+  if(!is_admst(mytransform->_name))
+  {
+    p_slist l=mytransform->_attribute;
+    for(;l;l=l->next)
+      posttext((p_text)((p_attribute)l->data)->_value);
+  }
+  if(!strcmp(mytransform->_name,"admst")&&mytransform->_textversion) posttext(mytransform->_textversion);
+  if(!strcmp(mytransform->_name,"admst:for-each")&&mytransform->_pathselect) postpath(mytransform->_pathselect);
+  if(!strcmp(mytransform->_name,"admst:for-each")&&mytransform->_pathpath) postpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:for-each")&&mytransform->_pathtest) postpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:join")&&mytransform->_pathselect) postpath(mytransform->_pathselect);
+  if(!strcmp(mytransform->_name,"admst:join")&&mytransform->_pathpath) postpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:join")&&mytransform->_pathtest) postpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:join")&&mytransform->_textseparator) posttext(mytransform->_textseparator);
+  if(!strcmp(mytransform->_name,"admst:break")&&mytransform->_pathpath) postpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:break")&&mytransform->_pathtest) postpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:value-of")&&mytransform->_pathselect) postpath(mytransform->_pathselect);
+  if(!strcmp(mytransform->_name,"admst:value-of")&&mytransform->_pathpath) postpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:value-of")&&mytransform->_pathtest) postpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:if-inside")&&mytransform->_textlist) posttext(mytransform->_textlist);
+  if(!strcmp(mytransform->_name,"admst:if-inside")&&mytransform->_pathselect) postpath(mytransform->_pathselect);
+  if(!strcmp(mytransform->_name,"admst:if-inside")&&mytransform->_pathpath) postpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:if-inside")&&mytransform->_pathtest) postpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:if-not-inside")&&mytransform->_textlist) posttext(mytransform->_textlist);
+  if(!strcmp(mytransform->_name,"admst:if-not-inside")&&mytransform->_pathselect) postpath(mytransform->_pathselect);
+  if(!strcmp(mytransform->_name,"admst:if-not-inside")&&mytransform->_pathpath) postpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:if-not-inside")&&mytransform->_pathtest) postpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:choose")&&mytransform->_pathpath) postpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:choose")&&mytransform->_pathtest) postpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:when")&&mytransform->_pathtest) postpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:when")&&mytransform->_pathpath) postpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:otherwise")&&mytransform->_pathpath) postpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:otherwise")&&mytransform->_pathtest) postpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:if")&&mytransform->_pathtest) postpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:if")&&mytransform->_pathpath) postpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:template")&&mytransform->_textmatch) posttext(mytransform->_textmatch);
+  if(!strcmp(mytransform->_name,"admst:template")&&mytransform->_pathpath) postpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:template")&&mytransform->_pathtest) postpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:apply-templates")&&mytransform->_pathselect) postpath(mytransform->_pathselect);
+  if(!strcmp(mytransform->_name,"admst:apply-templates")&&mytransform->_textmatch) posttext(mytransform->_textmatch);
+  if(!strcmp(mytransform->_name,"admst:apply-templates")&&mytransform->_pathpath) postpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:apply-templates")&&mytransform->_pathtest) postpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:apply-templates")&&mytransform->_textrequired) posttext(mytransform->_textrequired);
+  if(!strcmp(mytransform->_name,"admst:return")&&mytransform->_textname) posttext(mytransform->_textname);
+  if(!strcmp(mytransform->_name,"admst:return")&&mytransform->_textstring) posttext(mytransform->_textstring);
+  if(!strcmp(mytransform->_name,"admst:return")&&mytransform->_pathpath) postpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:return")&&mytransform->_pathtest) postpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:attribute")&&mytransform->_textname) posttext(mytransform->_textname);
+  if(!strcmp(mytransform->_name,"admst:attribute")&&mytransform->_pathpath) postpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:attribute")&&mytransform->_pathtest) postpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:attribute")&&mytransform->_pathselect) postpath(mytransform->_pathselect);
+  if(!strcmp(mytransform->_name,"admst:variable")&&mytransform->_textname) posttext(mytransform->_textname);
+  if(!strcmp(mytransform->_name,"admst:variable")&&mytransform->_textstring) posttext(mytransform->_textstring);
+  if(!strcmp(mytransform->_name,"admst:variable")&&mytransform->_textselect) posttext(mytransform->_textselect);
+  if(!strcmp(mytransform->_name,"admst:variable")&&mytransform->_pathpath) postpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:variable")&&mytransform->_pathtest) postpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:value-to")&&mytransform->_pathselect) postpath(mytransform->_pathselect);
+  if(!strcmp(mytransform->_name,"admst:value-to")&&mytransform->_textstring) posttext(mytransform->_textstring);
+  if(!strcmp(mytransform->_name,"admst:value-to")&&mytransform->_pathpath) postpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:value-to")&&mytransform->_pathtest) postpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:text")&&mytransform->_textformat) posttext(mytransform->_textformat);
+  if(!strcmp(mytransform->_name,"admst:text")&&mytransform->_pathpath) postpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:text")&&mytransform->_pathtest) postpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:text")&&mytransform->_pathselect) postpath(mytransform->_pathselect);
+  if(!strcmp(mytransform->_name,"admst:new")&&mytransform->_textdatatype) posttext(mytransform->_textdatatype);
+  if(!strcmp(mytransform->_name,"admst:new")&&mytransform->_pathpath) postpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:new")&&mytransform->_pathtest) postpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:new")&&mytransform->_textarguments)
+  {
+    p_slist l=mytransform->_textarguments;
+    for(;l;l=l->next)
+      posttext((p_text)l->data);
+  }
+  if(!strcmp(mytransform->_name,"admst:new")&&mytransform->_pathinputs)
+  {
+    p_slist l=mytransform->_pathinputs;
+    for(;l;l=l->next)
+      postpath((p_path)l->data);
+  }
+  if(!strcmp(mytransform->_name,"admst:push")&&mytransform->_pathselect) postpath(mytransform->_pathselect);
+  if(!strcmp(mytransform->_name,"admst:push")&&mytransform->_pathinto) postpath(mytransform->_pathinto);
+  if(!strcmp(mytransform->_name,"admst:push")&&mytransform->_textonduplicate) posttext(mytransform->_textonduplicate);
+  if(!strcmp(mytransform->_name,"admst:push")&&mytransform->_pathoncompare) postpath(mytransform->_pathoncompare);
+  if(!strcmp(mytransform->_name,"admst:push")&&mytransform->_pathpath) postpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:push")&&mytransform->_pathtest) postpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:reset")&&mytransform->_pathselect) postpath(mytransform->_pathselect);
+  if(!strcmp(mytransform->_name,"admst:reset")&&mytransform->_pathpath) postpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:reset")&&mytransform->_pathtest) postpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:count")&&mytransform->_pathselect) postpath(mytransform->_pathselect);
+  if(!strcmp(mytransform->_name,"admst:count")&&mytransform->_pathpath) postpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:count")&&mytransform->_pathtest) postpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:reverse")&&mytransform->_pathselect) postpath(mytransform->_pathselect);
+  if(!strcmp(mytransform->_name,"admst:reverse")&&mytransform->_pathpath) postpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:reverse")&&mytransform->_pathtest) postpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:read")&&mytransform->_textfile) posttext(mytransform->_textfile);
+  if(!strcmp(mytransform->_name,"admst:read")&&mytransform->_pathpath) postpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:read")&&mytransform->_pathtest) postpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:open")&&mytransform->_textfile) posttext(mytransform->_textfile);
+  if(!strcmp(mytransform->_name,"admst:open")&&mytransform->_pathpath) postpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:open")&&mytransform->_pathtest) postpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:setenv")&&mytransform->_textname) posttext(mytransform->_textname);
+  if(!strcmp(mytransform->_name,"admst:setenv")&&mytransform->_pathpath) postpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:setenv")&&mytransform->_textstring) posttext(mytransform->_textstring);
+  if(!strcmp(mytransform->_name,"admst:setenv")&&mytransform->_pathtest) postpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:getenv")&&mytransform->_textname) posttext(mytransform->_textname);
+  if(!strcmp(mytransform->_name,"admst:getenv")&&mytransform->_pathpath) postpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:getenv")&&mytransform->_pathtest) postpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:copy")&&mytransform->_textfrom) posttext(mytransform->_textfrom);
+  if(!strcmp(mytransform->_name,"admst:copy")&&mytransform->_textto) posttext(mytransform->_textto);
+  if(!strcmp(mytransform->_name,"admst:copy")&&mytransform->_pathpath) postpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:copy")&&mytransform->_pathtest) postpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:assert")&&mytransform->_textformat) posttext(mytransform->_textformat);
+  if(!strcmp(mytransform->_name,"admst:assert")&&mytransform->_pathtest) postpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:assert")&&mytransform->_pathpath) postpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:assert")&&mytransform->_pathselect) postpath(mytransform->_pathselect);
+  if(!strcmp(mytransform->_name,"admst:message")&&mytransform->_textformat) posttext(mytransform->_textformat);
+  if(!strcmp(mytransform->_name,"admst:message")&&mytransform->_pathpath) postpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:message")&&mytransform->_pathtest) postpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:message")&&mytransform->_pathselect) postpath(mytransform->_pathselect);
+  if(!strcmp(mytransform->_name,"admst:warning")&&mytransform->_textformat) posttext(mytransform->_textformat);
+  if(!strcmp(mytransform->_name,"admst:warning")&&mytransform->_pathpath) postpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:warning")&&mytransform->_pathtest) postpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:warning")&&mytransform->_pathselect) postpath(mytransform->_pathselect);
+  if(!strcmp(mytransform->_name,"admst:error")&&mytransform->_textformat) posttext(mytransform->_textformat);
+  if(!strcmp(mytransform->_name,"admst:error")&&mytransform->_pathpath) postpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:error")&&mytransform->_pathtest) postpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:error")&&mytransform->_pathselect) postpath(mytransform->_pathselect);
+  if(!strcmp(mytransform->_name,"admst:fatal")&&mytransform->_textformat) posttext(mytransform->_textformat);
+  if(!strcmp(mytransform->_name,"admst:fatal")&&mytransform->_pathpath) postpath(mytransform->_pathpath);
+  if(!strcmp(mytransform->_name,"admst:fatal")&&mytransform->_pathtest) postpath(mytransform->_pathtest);
+  if(!strcmp(mytransform->_name,"admst:fatal")&&mytransform->_pathselect) postpath(mytransform->_pathselect);
+}
+void sanityxx (p_transform mytransform)
+{
+  if(!is_admst(mytransform->_name)) { }
+  else if(!strcmp(mytransform->_name,"admst"))
+  {
+  }
+  else if(!strcmp(mytransform->_name,"admst:for-each"))
+  {
+    if(!mytransform->_pathselect) adms_message_fatal(("transform requires attribute 'select' - see %s\n",adms_transform_uid(mytransform)))
+    if(mytransform->_pathtest) adms_message_fatal(("transform does not support attribute 'test' - see %s\n",adms_transform_uid(mytransform)))
+  }
+  else if(!strcmp(mytransform->_name,"admst:join"))
+  {
+    if(!mytransform->_pathselect) adms_message_fatal(("transform requires attribute 'select' - see %s\n",adms_transform_uid(mytransform)))
+    if(mytransform->_pathtest) adms_message_fatal(("transform does not support attribute 'test' - see %s\n",adms_transform_uid(mytransform)))
+  }
+  else if(!strcmp(mytransform->_name,"admst:break"))
+  {
+    if(mytransform->_pathselect) adms_message_fatal(("transform does not support attribute 'select' - see %s\n",adms_transform_uid(mytransform)))
+  }
+  else if(!strcmp(mytransform->_name,"admst:value-of"))
+  {
+    if(mytransform->_children) adms_message_fatal(("transform does not support children - see %s\n",adms_transform_uid(mytransform)))
+    if(!mytransform->_pathselect) adms_message_fatal(("transform requires attribute 'select' - see %s\n",adms_transform_uid(mytransform)))
+  }
+  else if(!strcmp(mytransform->_name,"admst:if-inside"))
+  {
+    if(!mytransform->_children) adms_message_fatal(("transform requires children - see %s\n",adms_transform_uid(mytransform)))
+    if(!mytransform->_textlist) adms_message_fatal(("transform requires attribute 'list' - see %s\n",adms_transform_uid(mytransform)))
+    if(!mytransform->_pathselect) adms_message_fatal(("transform requires attribute 'select' - see %s\n",adms_transform_uid(mytransform)))
+  }
+  else if(!strcmp(mytransform->_name,"admst:if-not-inside"))
+  {
+    if(!mytransform->_children) adms_message_fatal(("transform requires children - see %s\n",adms_transform_uid(mytransform)))
+    if(!mytransform->_textlist) adms_message_fatal(("transform requires attribute 'list' - see %s\n",adms_transform_uid(mytransform)))
+    if(!mytransform->_pathselect) adms_message_fatal(("transform requires attribute 'select' - see %s\n",adms_transform_uid(mytransform)))
+  }
+  else if(!strcmp(mytransform->_name,"admst:choice")||!strcmp(mytransform->_name,"admst:choose"))
+  {
+    if(!strcmp(mytransform->_name,"admst:choice")) adms_message_obsolete(("%s: please use 'admst:choose' instead\n",adms_transform_uid(mytransform)))
+    if(mytransform->_pathselect) adms_message_fatal(("transform does not support attribute 'select' - see %s\n",adms_transform_uid(mytransform)))
+    if(mytransform->_pathtest) adms_message_fatal(("transform does not support attribute 'test' - see %s\n",adms_transform_uid(mytransform)))
+  }
+  else if(!strcmp(mytransform->_name,"admst:when"))
+  {
+    if(!mytransform->_pathtest) adms_message_fatal(("transform requires attribute 'test' - see %s\n",adms_transform_uid(mytransform)))
+    if(mytransform->_pathselect) adms_message_fatal(("transform does not support attribute 'select' - see %s\n",adms_transform_uid(mytransform)))
+  }
+  else if(!strcmp(mytransform->_name,"admst:otherwise"))
+  {
+    if(mytransform->_pathselect) adms_message_fatal(("transform does not support attribute 'select' - see %s\n",adms_transform_uid(mytransform)))
+    if(mytransform->_pathtest) adms_message_fatal(("transform does not support attribute 'test' - see %s\n",adms_transform_uid(mytransform)))
+  }
+  else if(!strcmp(mytransform->_name,"admst:if"))
+  {
+    if(!mytransform->_children) adms_message_fatal(("transform requires children - see %s\n",adms_transform_uid(mytransform)))
+    if(!mytransform->_pathtest) adms_message_fatal(("transform requires attribute 'test' - see %s\n",adms_transform_uid(mytransform)))
+    if(mytransform->_pathselect) adms_message_fatal(("transform does not support attribute 'select' - see %s\n",adms_transform_uid(mytransform)))
+  }
+  else if(!strcmp(mytransform->_name,"admst:templates")||!strcmp(mytransform->_name,"admst:template"))
+  {
+    if(!strcmp(mytransform->_name,"admst:templates")) adms_message_obsolete(("%s: please use 'admst:template' instead\n",adms_transform_uid(mytransform)))
+    if(!mytransform->_textmatch) adms_message_fatal(("transform requires attribute 'match' - see %s\n",adms_transform_uid(mytransform)))
+    if(mytransform->_pathselect) adms_message_fatal(("transform does not support attribute 'select' - see %s\n",adms_transform_uid(mytransform)))
+  }
+  else if(!strcmp(mytransform->_name,"admst:apply-template")||!strcmp(mytransform->_name,"admst:apply-templates"))
+  {
+    if(!strcmp(mytransform->_name,"admst:apply-template")) adms_message_obsolete(("%s: please use 'admst:apply-templates' instead\n",adms_transform_uid(mytransform)))
+    if(!mytransform->_pathselect) adms_message_fatal(("transform requires attribute 'select' - see %s\n",adms_transform_uid(mytransform)))
+    if(!mytransform->_textmatch) adms_message_fatal(("transform requires attribute 'match' - see %s\n",adms_transform_uid(mytransform)))
+  }
+  else if(!strcmp(mytransform->_name,"admst:return"))
+  {
+    if(mytransform->_children) adms_message_fatal(("transform does not support children - see %s\n",adms_transform_uid(mytransform)))
+    if(!mytransform->_textname) adms_message_fatal(("transform requires attribute 'name' - see %s\n",adms_transform_uid(mytransform)))
+    if(!mytransform->_textstring) adms_message_fatal(("transform requires attribute 'string' - see %s\n",adms_transform_uid(mytransform)))
+  }
+  else if(!strcmp(mytransform->_name,"admst:attribute"))
+  {
+    if(!mytransform->_children) adms_message_fatal(("transform requires children - see %s\n",adms_transform_uid(mytransform)))
+    if(!mytransform->_textname) adms_message_fatal(("transform requires attribute 'name' - see %s\n",adms_transform_uid(mytransform)))
+    if(!mytransform->_pathselect) adms_message_fatal(("transform requires attribute 'select' - see %s\n",adms_transform_uid(mytransform)))
+  }
+  else if(!strcmp(mytransform->_name,"admst:variable"))
+  {
+    if(mytransform->_children) adms_message_fatal(("transform does not support children - see %s\n",adms_transform_uid(mytransform)))
+    if(!mytransform->_textname) adms_message_fatal(("transform requires attribute 'name' - see %s\n",adms_transform_uid(mytransform)))
+  }
+  else if(!strcmp(mytransform->_name,"admst:value-to"))
+  {
+    if(mytransform->_children) adms_message_fatal(("transform does not support children - see %s\n",adms_transform_uid(mytransform)))
+    if(!mytransform->_pathselect) adms_message_fatal(("transform requires attribute 'select' - see %s\n",adms_transform_uid(mytransform)))
+  }
+  else if(!strcmp(mytransform->_name,"admst:text"))
+  {
+    if(mytransform->_children) adms_message_fatal(("transform does not support children - see %s\n",adms_transform_uid(mytransform)))
+  }
+  else if(!strcmp(mytransform->_name,"admst:new"))
+  {
+    if(!mytransform->_textdatatype) adms_message_fatal(("transform requires attribute 'datatype' - see %s\n",adms_transform_uid(mytransform)))
+    if(mytransform->_pathselect) adms_message_fatal(("transform does not support attribute 'select' - see %s\n",adms_transform_uid(mytransform)))
+  }
+  else if(!strcmp(mytransform->_name,"admst:push"))
+  {
+    if(mytransform->_children) adms_message_fatal(("transform does not support children - see %s\n",adms_transform_uid(mytransform)))
+    if(!mytransform->_pathselect) adms_message_fatal(("transform requires attribute 'select' - see %s\n",adms_transform_uid(mytransform)))
+    if(!mytransform->_pathinto) adms_message_fatal(("transform requires attribute 'into' - see %s\n",adms_transform_uid(mytransform)))
+  }
+  else if(!strcmp(mytransform->_name,"admst:reset"))
+  {
+    if(mytransform->_children) adms_message_fatal(("transform does not support children - see %s\n",adms_transform_uid(mytransform)))
+    if(!mytransform->_pathselect) adms_message_fatal(("transform requires attribute 'select' - see %s\n",adms_transform_uid(mytransform)))
+  }
+  else if(!strcmp(mytransform->_name,"admst:count"))
+  {
+    if(!mytransform->_pathselect) adms_message_fatal(("transform requires attribute 'select' - see %s\n",adms_transform_uid(mytransform)))
+  }
+  else if(!strcmp(mytransform->_name,"admst:reverse"))
+  {
+    if(!mytransform->_pathselect) adms_message_fatal(("transform requires attribute 'select' - see %s\n",adms_transform_uid(mytransform)))
+  }
+  else if(!strcmp(mytransform->_name,"admst:read"))
+  {
+    if(!mytransform->_textfile) adms_message_fatal(("transform requires attribute 'file' - see %s\n",adms_transform_uid(mytransform)))
+    if(mytransform->_pathselect) adms_message_fatal(("transform does not support attribute 'select' - see %s\n",adms_transform_uid(mytransform)))
+  }
+  else if(!strcmp(mytransform->_name,"admst:open"))
+  {
+    if(!mytransform->_textfile) adms_message_fatal(("transform requires attribute 'file' - see %s\n",adms_transform_uid(mytransform)))
+    if(mytransform->_pathselect) adms_message_fatal(("transform does not support attribute 'select' - see %s\n",adms_transform_uid(mytransform)))
+  }
+  else if(!strcmp(mytransform->_name,"admst:setenv"))
+  {
+    if(mytransform->_children) adms_message_fatal(("transform does not support children - see %s\n",adms_transform_uid(mytransform)))
+    if(!mytransform->_textname) adms_message_fatal(("transform requires attribute 'name' - see %s\n",adms_transform_uid(mytransform)))
+    if(mytransform->_pathselect) adms_message_fatal(("transform does not support attribute 'select' - see %s\n",adms_transform_uid(mytransform)))
+  }
+  else if(!strcmp(mytransform->_name,"admst:getenv"))
+  {
+    if(mytransform->_children) adms_message_fatal(("transform does not support children - see %s\n",adms_transform_uid(mytransform)))
+    if(!mytransform->_textname) adms_message_fatal(("transform requires attribute 'name' - see %s\n",adms_transform_uid(mytransform)))
+    if(mytransform->_pathselect) adms_message_fatal(("transform does not support attribute 'select' - see %s\n",adms_transform_uid(mytransform)))
+  }
+  else if(!strcmp(mytransform->_name,"admst:copy"))
+  {
+    if(mytransform->_children) adms_message_fatal(("transform does not support children - see %s\n",adms_transform_uid(mytransform)))
+    if(!mytransform->_textfrom) adms_message_fatal(("transform requires attribute 'from' - see %s\n",adms_transform_uid(mytransform)))
+    if(!mytransform->_textto) adms_message_fatal(("transform requires attribute 'to' - see %s\n",adms_transform_uid(mytransform)))
+    if(mytransform->_pathselect) adms_message_fatal(("transform does not support attribute 'select' - see %s\n",adms_transform_uid(mytransform)))
+  }
+  else if(!strcmp(mytransform->_name,"admst:assert"))
+  {
+    if(mytransform->_children) adms_message_fatal(("transform does not support children - see %s\n",adms_transform_uid(mytransform)))
+    if(!mytransform->_pathtest) adms_message_fatal(("transform requires attribute 'test' - see %s\n",adms_transform_uid(mytransform)))
+  }
+  else if(!strcmp(mytransform->_name,"admst:message"))
+  {
+    if(mytransform->_children) adms_message_fatal(("transform does not support children - see %s\n",adms_transform_uid(mytransform)))
+    if(!mytransform->_textformat) adms_message_fatal(("transform requires attribute 'format' - see %s\n",adms_transform_uid(mytransform)))
+  }
+  else if(!strcmp(mytransform->_name,"admst:warning"))
+  {
+    if(mytransform->_children) adms_message_fatal(("transform does not support children - see %s\n",adms_transform_uid(mytransform)))
+    if(!mytransform->_textformat) adms_message_fatal(("transform requires attribute 'format' - see %s\n",adms_transform_uid(mytransform)))
+  }
+  else if(!strcmp(mytransform->_name,"admst:error"))
+  {
+    if(mytransform->_children) adms_message_fatal(("transform does not support children - see %s\n",adms_transform_uid(mytransform)))
+    if(!mytransform->_textformat) adms_message_fatal(("transform requires attribute 'format' - see %s\n",adms_transform_uid(mytransform)))
+  }
+  else if(!strcmp(mytransform->_name,"admst:fatal"))
+  {
+    if(mytransform->_children) adms_message_fatal(("transform does not support children - see %s\n",adms_transform_uid(mytransform)))
+    if(!mytransform->_textformat) adms_message_fatal(("transform requires attribute 'format' - see %s\n",adms_transform_uid(mytransform)))
+  }
+  else adms_message_fatal(("%s: unknown transform\n",adms_transform_uid(mytransform)))
+}
+static void location030adms(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_simulator)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_admsmain)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_list)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_nature)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_discipline)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_module)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_analogfunction)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_nodealias)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_node)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_branchalias)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_branch)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_source)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_range)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_jacobian)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_analog)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_math)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_string)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_subexpression)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_mapply_unary)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_mapply_binary)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_mapply_ternary)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_number)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_function)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_variable)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_array)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_probe)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_expression)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_instance)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_instanceparameter)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_instancenode)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_nilled)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_assignment)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_contribution)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_conditional)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_forloop)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_whileloop)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_case)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_caseitem)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_blockvariable)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_block)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_callfunction)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_evaluation)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_attribute)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_lexval)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'adms' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030math(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_string)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_math); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_subexpression)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_math); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_mapply_unary)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_math); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_mapply_binary)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_math); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_mapply_ternary)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_math); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_number)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_math); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_function)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_math); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_variable)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_math); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_array)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_math); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_probe)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_math); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_expression)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_math); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_instance)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_math); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_instanceparameter)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_math); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_instancenode)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_math); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_nilled)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_math); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_assignment)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_math); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_contribution)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_math); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_conditional)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_math); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_forloop)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_math); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_whileloop)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_math); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_case)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_math); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_caseitem)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_math); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_blockvariable)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_math); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_block)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_math); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_callfunction)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_math); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'math' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030subexpression(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_mapply_unary)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_subexpression); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_mapply_binary)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_subexpression); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_mapply_ternary)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_subexpression); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_number)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_subexpression); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_function)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_subexpression); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_variable)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_subexpression); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_array)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_subexpression); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_probe)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_subexpression); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'subexpression' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030forblock(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_forloop)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_forloop)(ai->_item.p))->_forblock); d->_valueto=(void*)adms_forloop_valueto_forblock;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'forblock' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030verbose(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_admsmain)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_admsmain)ai->_item.p)->_verbose); d->_valueto=(void*)adms_admsmain_valueto_verbose;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'verbose' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030sr1(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_simulator)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_simulator)(ai->_item.p))->_sr1); d->_valueto=(void*)adms_simulator_valueto_sr1;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'sr1' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030maxsize(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB0*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB0*/ p_admst d1=adms_admst_newpa(d0,ai,(p_adms)((p_variableprototype)d0->_item.p)->_maxsize);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_maxsize;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_variableprototype)(ai->_item.p))->_maxsize); d->_valueto=(void*)adms_variableprototype_valueto_maxsize;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'maxsize' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030tmp(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_simulator)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_simulator)ai->_item.p)->_tmp); d->_valueto=(void*)adms_simulator_valueto_tmp;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'tmp' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030if(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_conditional)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_conditional)(ai->_item.p))->_if); d->_valueto=(void*)adms_conditional_valueto_if;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'if' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030jacobian(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_module)
+  {
+    p_slist lii=((p_module)ai->_item.p)->_jacobian;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'jacobian' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030currentdate(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_simulator)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_simulator)ai->_item.p)->_currentdate); d->_valueto=(void*)adms_simulator_valueto_currentdate;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'currentdate' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030flow(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_discipline)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_discipline)(ai->_item.p))->_flow); d->_valueto=(void*)adms_discipline_valueto_flow;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'flow' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030hasspecialnumber(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_expression)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_expression)ai->_item.p)->_hasspecialnumber); d->_valueto=(void*)adms_expression_valueto_hasspecialnumber;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'hasspecialnumber' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030code(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_analog)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_analog)(ai->_item.p))->_code); d->_valueto=(void*)adms_analog_valueto_code;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_caseitem)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_caseitem)(ai->_item.p))->_code); d->_valueto=(void*)adms_caseitem_valueto_code;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'code' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030arg1(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_mapply_unary)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_mapply_unary)(ai->_item.p))->_arg1); d->_valueto=(void*)adms_mapply_unary_valueto_arg1;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_mapply_binary)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_mapply_binary)(ai->_item.p))->_arg1); d->_valueto=(void*)adms_mapply_binary_valueto_arg1;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_mapply_ternary)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_mapply_ternary)(ai->_item.p))->_arg1); d->_valueto=(void*)adms_mapply_ternary_valueto_arg1;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'arg1' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030grounded(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_node)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_node)ai->_item.p)->_grounded); d->_valueto=(void*)adms_node_valueto_grounded;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_branch)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_branch)ai->_item.p)->_grounded); d->_valueto=(void*)adms_branch_valueto_grounded;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_source)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_source)ai->_item.p)->_grounded); d->_valueto=(void*)adms_source_valueto_grounded;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_probe)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_probe)ai->_item.p)->_grounded); d->_valueto=(void*)adms_probe_valueto_grounded;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'grounded' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030diagonal(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_jacobian)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_jacobian)ai->_item.p)->_diagonal); d->_valueto=(void*)adms_jacobian_valueto_diagonal;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'diagonal' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030scalingunit(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_number)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_number)ai->_item.p)->_scalingunit); d->_valueto=(void*)adms_number_valueto_scalingunit;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'scalingunit' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030dynamic(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  else if(e==admse_expression)
+    e=admse_math;
+  else if(e==admse_nilled)
+    e=admse_math;
+  else if(e==admse_assignment)
+    e=admse_math;
+  else if(e==admse_contribution)
+    e=admse_math;
+  else if(e==admse_conditional)
+    e=admse_math;
+  else if(e==admse_forloop)
+    e=admse_math;
+  else if(e==admse_whileloop)
+    e=admse_math;
+  else if(e==admse_case)
+    e=admse_math;
+  else if(e==admse_blockvariable)
+    e=admse_math;
+  else if(e==admse_block)
+    e=admse_math;
+  else if(e==admse_callfunction)
+    e=admse_math;
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB0*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB0*/ p_admst d1=adms_admst_newbe(d0,ai,((p_variableprototype)d0->_item.p)->_dynamic);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_dynamic;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_variableprototype)ai->_item.p)->_dynamic); d->_valueto=(void*)adms_variableprototype_valueto_dynamic;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_source)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_source)ai->_item.p)->_dynamic); d->_valueto=(void*)adms_source_valueto_dynamic;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_jacobian)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_jacobian)ai->_item.p)->_dynamic); d->_valueto=(void*)adms_jacobian_valueto_dynamic;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_math)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_math)ai->_item.p)->_dynamic); d->_valueto=(void*)adms_math_valueto_dynamic;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'dynamic' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030name(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_analogfunction)
+  {
+    /*BBBB0*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_analogfunction)ai->_item.p)->_lexval); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_analogfunction_valueto_lexval;
+    /*BBBB0*/ p_admst d1=adms_admst_newbs(d0,ai,((p_lexval)d0->_item.p)->_string);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_lexval_valueto_string;
+  }
+  else if(e==admse_variableprototype)
+  {
+    /*BBBB0*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variableprototype)ai->_item.p)->_lexval); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variableprototype_valueto_lexval;
+    /*BBBB0*/ p_admst d1=adms_admst_newbs(d0,ai,((p_lexval)d0->_item.p)->_string);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_lexval_valueto_string;
+  }
+  else if(e==admse_function)
+  {
+    /*BBBB0*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_function)ai->_item.p)->_lexval); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_function_valueto_lexval;
+    /*BBBB0*/ p_admst d1=adms_admst_newbs(d0,ai,((p_lexval)d0->_item.p)->_string);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_lexval_valueto_string;
+  }
+  else if(e==admse_variable)
+  {
+    /*BBBB0*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB0*/ p_admst d1=adms_admst_newpa(d0,ai,(p_adms)((p_variableprototype)d0->_item.p)->_lexval); adms_slist_push(&p->_aliasadmst,(p_adms)d1); d1->_valueto=(void*)adms_variableprototype_valueto_lexval;
+    /*BBBB0*/ p_admst d2=adms_admst_newbs(d1,ai,((p_lexval)d1->_item.p)->_string);if(d2->_preva) d2->_preva->_nexta=d2->_nexta,d2->_preva=NULL; if(d2->_nexta) d2->_nexta->_preva=d2->_preva,d2->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d2; else p->_an->_nexta=d2,d2->_preva=p->_an,p->_an=d2;d2->_position=++p->_position;d2->_valueto=(void*)adms_lexval_valueto_string;
+  }
+  else if(e==admse_block)
+  {
+    /*BBBB0*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_block)ai->_item.p)->_lexval); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_block_valueto_lexval;
+    /*BBBB0*/ p_admst d1=adms_admst_newbs(d0,ai,((p_lexval)d0->_item.p)->_string);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_lexval_valueto_string;
+  }
+  else if(e==admse_simulator)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_simulator)ai->_item.p)->_name); d->_valueto=(void*)adms_simulator_valueto_name;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_admsmain)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_admsmain)ai->_item.p)->_name); d->_valueto=(void*)adms_admsmain_valueto_name;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_nature)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_nature)ai->_item.p)->_name); d->_valueto=(void*)adms_nature_valueto_name;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_discipline)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_discipline)ai->_item.p)->_name); d->_valueto=(void*)adms_discipline_valueto_name;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_module)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_module)ai->_item.p)->_name); d->_valueto=(void*)adms_module_valueto_name;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_nodealias)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_nodealias)ai->_item.p)->_name); d->_valueto=(void*)adms_nodealias_valueto_name;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_node)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_node)ai->_item.p)->_name); d->_valueto=(void*)adms_node_valueto_name;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_branchalias)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_branchalias)ai->_item.p)->_name); d->_valueto=(void*)adms_branchalias_valueto_name;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_range)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_range)ai->_item.p)->_name); d->_valueto=(void*)adms_range_valueto_name;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_math)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_math)ai->_item.p)->_name); d->_valueto=(void*)adms_math_valueto_name;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_mapply_unary)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_mapply_unary)ai->_item.p)->_name); d->_valueto=(void*)adms_mapply_unary_valueto_name;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_mapply_binary)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_mapply_binary)ai->_item.p)->_name); d->_valueto=(void*)adms_mapply_binary_valueto_name;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_mapply_ternary)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_mapply_ternary)ai->_item.p)->_name); d->_valueto=(void*)adms_mapply_ternary_valueto_name;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_instance)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_instance)ai->_item.p)->_name); d->_valueto=(void*)adms_instance_valueto_name;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_nilled)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_nilled)ai->_item.p)->_name); d->_valueto=(void*)adms_nilled_valueto_name;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_assignment)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_assignment)ai->_item.p)->_name); d->_valueto=(void*)adms_assignment_valueto_name;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_contribution)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_contribution)ai->_item.p)->_name); d->_valueto=(void*)adms_contribution_valueto_name;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_conditional)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_conditional)ai->_item.p)->_name); d->_valueto=(void*)adms_conditional_valueto_name;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_forloop)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_forloop)ai->_item.p)->_name); d->_valueto=(void*)adms_forloop_valueto_name;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_whileloop)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_whileloop)ai->_item.p)->_name); d->_valueto=(void*)adms_whileloop_valueto_name;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_case)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_case)ai->_item.p)->_name); d->_valueto=(void*)adms_case_valueto_name;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_return)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_return)ai->_item.p)->_name); d->_valueto=(void*)adms_return_valueto_name;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_attribute)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_attribute)ai->_item.p)->_name); d->_valueto=(void*)adms_attribute_valueto_name;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'name' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030instance(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_module)
+  {
+    p_slist lii=((p_module)ai->_item.p)->_instance;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_slist lii=((p_variableprototype)ai->_item.p)->_instance;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'instance' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030defaultcase(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_caseitem)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_caseitem)ai->_item.p)->_defaultcase); d->_valueto=(void*)adms_caseitem_valueto_defaultcase;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'defaultcase' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030obsolete(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_admsmain)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_admsmain)ai->_item.p)->_obsolete); d->_valueto=(void*)adms_admsmain_valueto_obsolete;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'obsolete' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030setinfinal(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB0*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB0*/ p_admst d1=adms_admst_newbe(d0,ai,((p_variableprototype)d0->_item.p)->_setinfinal);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_setinfinal;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_variableprototype)ai->_item.p)->_setinfinal); d->_valueto=(void*)adms_variableprototype_valueto_setinfinal;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'setinfinal' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030dbg_vla(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_admsmain)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_admsmain)ai->_item.p)->_dbg_vla); d->_valueto=(void*)adms_admsmain_valueto_dbg_vla;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'dbg_vla' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030insource(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB0*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB0*/ p_admst d1=adms_admst_newbe(d0,ai,((p_variableprototype)d0->_item.p)->_insource);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_insource;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_variableprototype)ai->_item.p)->_insource); d->_valueto=(void*)adms_variableprototype_valueto_insource;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'insource' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030hint(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_admsmain)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_admsmain)ai->_item.p)->_hint); d->_valueto=(void*)adms_admsmain_valueto_hint;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'hint' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030supexpr(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_range)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_range)(ai->_item.p))->_supexpr); d->_valueto=(void*)adms_range_valueto_supexpr;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'supexpr' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030setingetprev(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB0*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB0*/ p_admst d1=adms_admst_newbe(d0,ai,((p_variableprototype)d0->_item.p)->_setingetprev);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_setingetprev;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_variableprototype)ai->_item.p)->_setingetprev); d->_valueto=(void*)adms_variableprototype_valueto_setingetprev;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'setingetprev' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030minsize(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB0*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB0*/ p_admst d1=adms_admst_newpa(d0,ai,(p_adms)((p_variableprototype)d0->_item.p)->_minsize);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_minsize;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_variableprototype)(ai->_item.p))->_minsize); d->_valueto=(void*)adms_variableprototype_valueto_minsize;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'minsize' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030variable(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB0*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*AAAA0*/ p_slist l1=((p_variableprototype)d0->_item.p)->_variable;
+    for(;l1;l1=l1->next)
+    {
+      p_admst d1=adms_admst_newpa(d0,ai,l1->data);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;
+    }
+  }
+  else if(e==admse_admsmain)
+  {
+    p_slist lii=((p_admsmain)ai->_item.p)->_variable;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else if(e==admse_module)
+  {
+    p_slist lii=((p_module)ai->_item.p)->_variable;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else if(e==admse_analogfunction)
+  {
+    p_slist lii=((p_analogfunction)ai->_item.p)->_variable;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_slist lii=((p_variableprototype)ai->_item.p)->_variable;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else if(e==admse_array)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_array)(ai->_item.p))->_variable); d->_valueto=(void*)adms_array_valueto_variable;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_expression)
+  {
+    p_slist lii=((p_expression)ai->_item.p)->_variable;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else if(e==admse_blockvariable)
+  {
+    p_slist lii=((p_blockvariable)ai->_item.p)->_variable;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else if(e==admse_block)
+  {
+    p_slist lii=((p_block)ai->_item.p)->_variable;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else if(e==admse_evaluation)
+  {
+    p_slist lii=((p_evaluation)ai->_item.p)->_variable;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'variable' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030node(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_module)
+  {
+    p_slist lii=((p_module)ai->_item.p)->_node;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else if(e==admse_nodealias)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_nodealias)(ai->_item.p))->_node); d->_valueto=(void*)adms_nodealias_valueto_node;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'node' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030direction(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_node)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_node)ai->_item.p)->_direction); d->_valueto=(void*)adms_node_valueto_direction;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'direction' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030br1(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_simulator)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_simulator)(ai->_item.p))->_br1); d->_valueto=(void*)adms_simulator_valueto_br1;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'br1' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030usedininstance(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB0*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB0*/ p_admst d1=adms_admst_newbe(d0,ai,((p_variableprototype)d0->_item.p)->_usedininstance);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_usedininstance;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_variableprototype)ai->_item.p)->_usedininstance); d->_valueto=(void*)adms_variableprototype_valueto_usedininstance;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'usedininstance' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030instantiator(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_module)
+  {
+    p_slist lii=((p_module)ai->_item.p)->_instantiator;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else if(e==admse_instance)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_instance)(ai->_item.p))->_instantiator); d->_valueto=(void*)adms_instance_valueto_instantiator;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'instantiator' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030argc(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_admsmain)
+  {
+    p_admst d=adms_admst_newbi(ai,ai,((p_admsmain)ai->_item.p)->_argc); d->_valueto=(void*)adms_admsmain_valueto_argc;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'argc' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030transform(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_admsmain)
+  {
+    p_slist lii=((p_admsmain)ai->_item.p)->_transform;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'transform' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030access(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_nature)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_nature)ai->_item.p)->_access); d->_valueto=(void*)adms_nature_valueto_access;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'access' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030arg3(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_mapply_ternary)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_mapply_ternary)(ai->_item.p))->_arg3); d->_valueto=(void*)adms_mapply_ternary_valueto_arg3;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'arg3' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030branch(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_module)
+  {
+    p_slist lii=((p_module)ai->_item.p)->_branch;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else if(e==admse_branchalias)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_branchalias)(ai->_item.p))->_branch); d->_valueto=(void*)adms_branchalias_valueto_branch;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_source)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_source)(ai->_item.p))->_branch); d->_valueto=(void*)adms_source_valueto_branch;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_probe)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_probe)(ai->_item.p))->_branch); d->_valueto=(void*)adms_probe_valueto_branch;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'branch' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030index(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_array)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_array)(ai->_item.p))->_index); d->_valueto=(void*)adms_array_valueto_index;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'index' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030filename(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_admsmain)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_admsmain)ai->_item.p)->_filename); d->_valueto=(void*)adms_admsmain_valueto_filename;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'filename' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030fatal(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_admsmain)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_admsmain)ai->_item.p)->_fatal); d->_valueto=(void*)adms_admsmain_valueto_fatal;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'fatal' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030warning(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_admsmain)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_admsmain)ai->_item.p)->_warning); d->_valueto=(void*)adms_admsmain_valueto_warning;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'warning' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030update(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_forloop)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_forloop)(ai->_item.p))->_update); d->_valueto=(void*)adms_forloop_valueto_update;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'update' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030units(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_nature)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_nature)ai->_item.p)->_units); d->_valueto=(void*)adms_nature_valueto_units;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'units' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030dbg_xml(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_admsmain)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_admsmain)ai->_item.p)->_dbg_xml); d->_valueto=(void*)adms_admsmain_valueto_dbg_xml;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'dbg_xml' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030setinnoise(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB0*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB0*/ p_admst d1=adms_admst_newbe(d0,ai,((p_variableprototype)d0->_item.p)->_setinnoise);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_setinnoise;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_variableprototype)ai->_item.p)->_setinnoise); d->_valueto=(void*)adms_variableprototype_valueto_setinnoise;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'setinnoise' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030setininstance(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB0*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB0*/ p_admst d1=adms_admst_newbe(d0,ai,((p_variableprototype)d0->_item.p)->_setininstance);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_setininstance;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_variableprototype)ai->_item.p)->_setininstance); d->_valueto=(void*)adms_variableprototype_valueto_setininstance;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'setininstance' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030isstate(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB0*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB0*/ p_admst d1=adms_admst_newbe(d0,ai,((p_variableprototype)d0->_item.p)->_isstate);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_isstate;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_variableprototype)ai->_item.p)->_isstate); d->_valueto=(void*)adms_variableprototype_valueto_isstate;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'isstate' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030nodealias(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_module)
+  {
+    p_slist lii=((p_module)ai->_item.p)->_nodealias;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'nodealias' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030simulator(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_admsmain)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_admsmain)(ai->_item.p))->_simulator); d->_valueto=(void*)adms_admsmain_valueto_simulator;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'simulator' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030static(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  else if(e==admse_expression)
+    e=admse_math;
+  else if(e==admse_nilled)
+    e=admse_math;
+  else if(e==admse_assignment)
+    e=admse_math;
+  else if(e==admse_contribution)
+    e=admse_math;
+  else if(e==admse_conditional)
+    e=admse_math;
+  else if(e==admse_forloop)
+    e=admse_math;
+  else if(e==admse_whileloop)
+    e=admse_math;
+  else if(e==admse_case)
+    e=admse_math;
+  else if(e==admse_blockvariable)
+    e=admse_math;
+  else if(e==admse_block)
+    e=admse_math;
+  else if(e==admse_callfunction)
+    e=admse_math;
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB0*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB0*/ p_admst d1=adms_admst_newbe(d0,ai,((p_variableprototype)d0->_item.p)->_static);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_static;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_variableprototype)ai->_item.p)->_static); d->_valueto=(void*)adms_variableprototype_valueto_static;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_source)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_source)ai->_item.p)->_static); d->_valueto=(void*)adms_source_valueto_static;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_jacobian)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_jacobian)ai->_item.p)->_static); d->_valueto=(void*)adms_jacobian_valueto_static;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_math)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_math)ai->_item.p)->_static); d->_valueto=(void*)adms_math_valueto_static;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'static' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030discipline(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_admsmain)
+  {
+    p_slist lii=((p_admsmain)ai->_item.p)->_discipline;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else if(e==admse_node)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_node)(ai->_item.p))->_discipline); d->_valueto=(void*)adms_node_valueto_discipline;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_branch)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_branch)(ai->_item.p))->_discipline); d->_valueto=(void*)adms_branch_valueto_discipline;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_source)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_source)(ai->_item.p))->_discipline); d->_valueto=(void*)adms_source_valueto_discipline;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_probe)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_probe)(ai->_item.p))->_discipline); d->_valueto=(void*)adms_probe_valueto_discipline;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'discipline' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030analogfunction(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_module)
+  {
+    p_slist lii=((p_module)ai->_item.p)->_analogfunction;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'analogfunction' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030seen(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_probe)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_probe)ai->_item.p)->_seen); d->_valueto=(void*)adms_probe_valueto_seen;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'seen' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030debug(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_admsmain)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_admsmain)ai->_item.p)->_debug); d->_valueto=(void*)adms_admsmain_valueto_debug;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'debug' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030argv(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_admsmain)
+  {
+    p_slist lii=((p_admsmain)ai->_item.p)->_argv;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newbs(ai,ai,(char*)lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'argv' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030valueof(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_admsmain)
+  {
+    p_slist lii=((p_admsmain)ai->_item.p)->_valueof;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'valueof' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030contribution(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_module)
+  {
+    p_slist lii=((p_module)ai->_item.p)->_contribution;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'contribution' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030value(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_number)
+  {
+    /*BBBB0*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_number)ai->_item.p)->_lexval); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_number_valueto_lexval;
+    /*BBBB0*/ p_admst d1=adms_admst_newbs(d0,ai,((p_lexval)d0->_item.p)->_string);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_lexval_valueto_string;
+  }
+  else if(e==admse_math)
+  {
+    p_admst d=adms_admst_newbr(ai,ai,((p_math)ai->_item.p)->_value); d->_valueto=(void*)adms_math_valueto_value;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_string)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_string)ai->_item.p)->_value); d->_valueto=(void*)adms_string_valueto_value;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_expression)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_expression)ai->_item.p)->_value); d->_valueto=(void*)adms_expression_valueto_value;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_instanceparameter)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_instanceparameter)(ai->_item.p))->_value); d->_valueto=(void*)adms_instanceparameter_valueto_value;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_return)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_return)ai->_item.p)->_value); d->_valueto=(void*)adms_return_valueto_value;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_attribute)
+  {
+    p_admst d=adms_admst_newpc(ai,ai,(p_attribute)ai->_item.p);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'value' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030nodefrommodule(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_instancenode)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_instancenode)(ai->_item.p))->_nodefrommodule); d->_valueto=(void*)adms_instancenode_valueto_nodefrommodule;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'nodefrommodule' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030ddxprobe(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB0*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*AAAA0*/ p_slist l1=((p_variableprototype)d0->_item.p)->_ddxprobe;
+    for(;l1;l1=l1->next)
+    {
+      p_admst d1=adms_admst_newpa(d0,ai,l1->data);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;
+    }
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_slist lii=((p_variableprototype)ai->_item.p)->_ddxprobe;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'ddxprobe' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030setinmodel(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB0*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB0*/ p_admst d1=adms_admst_newbe(d0,ai,((p_variableprototype)d0->_item.p)->_setinmodel);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_setinmodel;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_variableprototype)ai->_item.p)->_setinmodel); d->_valueto=(void*)adms_variableprototype_valueto_setinmodel;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'setinmodel' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030c(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_lexval)
+  {
+    p_admst d=adms_admst_newbi(ai,ai,((p_lexval)ai->_item.p)->_c); d->_valueto=(void*)adms_lexval_valueto_c;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'c' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030nodefrominstantiator(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_instancenode)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_instancenode)(ai->_item.p))->_nodefrominstantiator); d->_valueto=(void*)adms_instancenode_valueto_nodefrominstantiator;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'nodefrominstantiator' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030setininitial_step(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB0*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB0*/ p_admst d1=adms_admst_newbe(d0,ai,((p_variableprototype)d0->_item.p)->_setininitial_step);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_setininitial_step;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_variableprototype)ai->_item.p)->_setininitial_step); d->_valueto=(void*)adms_variableprototype_valueto_setininitial_step;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'setininitial_step' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030pnode(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_branch)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_branch)(ai->_item.p))->_pnode); d->_valueto=(void*)adms_branch_valueto_pnode;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'pnode' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030itransforminsideapplytemplate(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_admsmain)
+  {
+    p_slist lii=((p_admsmain)ai->_item.p)->_itransforminsideapplytemplate;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'itransforminsideapplytemplate' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030type(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB0*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB0*/ p_admst d1=adms_admst_newbe(d0,ai,((p_variableprototype)d0->_item.p)->_type);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_type;
+  }
+  else if(e==admse_analogfunction)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_analogfunction)ai->_item.p)->_type); d->_valueto=(void*)adms_analogfunction_valueto_type;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_variableprototype)ai->_item.p)->_type); d->_valueto=(void*)adms_variableprototype_valueto_type;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_source)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_source)ai->_item.p)->_type); d->_valueto=(void*)adms_source_valueto_type;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_range)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_range)ai->_item.p)->_type); d->_valueto=(void*)adms_range_valueto_type;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'type' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030whitenoise(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_source)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_source)ai->_item.p)->_whitenoise); d->_valueto=(void*)adms_source_valueto_whitenoise;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_contribution)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_contribution)ai->_item.p)->_whitenoise); d->_valueto=(void*)adms_contribution_valueto_whitenoise;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'whitenoise' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030branchalias(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_module)
+  {
+    p_slist lii=((p_module)ai->_item.p)->_branchalias;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else if(e==admse_contribution)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_contribution)(ai->_item.p))->_branchalias); d->_valueto=(void*)adms_contribution_valueto_branchalias;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'branchalias' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030sizetype(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB0*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB0*/ p_admst d1=adms_admst_newbe(d0,ai,((p_variableprototype)d0->_item.p)->_sizetype);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_sizetype;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_variableprototype)ai->_item.p)->_sizetype); d->_valueto=(void*)adms_variableprototype_valueto_sizetype;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'sizetype' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030definition(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_function)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_function)(ai->_item.p))->_definition); d->_valueto=(void*)adms_function_valueto_definition;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'definition' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030dependency(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  else if(e==admse_string)
+    e=admse_math;
+  else if(e==admse_subexpression)
+    e=admse_math;
+  else if(e==admse_mapply_unary)
+    e=admse_math;
+  else if(e==admse_mapply_binary)
+    e=admse_math;
+  else if(e==admse_mapply_ternary)
+    e=admse_math;
+  else if(e==admse_number)
+    e=admse_math;
+  else if(e==admse_function)
+    e=admse_math;
+  else if(e==admse_variable)
+    e=admse_math;
+  else if(e==admse_array)
+    e=admse_math;
+  else if(e==admse_probe)
+    e=admse_math;
+  else if(e==admse_expression)
+    e=admse_math;
+  else if(e==admse_instance)
+    e=admse_math;
+  else if(e==admse_instanceparameter)
+    e=admse_math;
+  else if(e==admse_instancenode)
+    e=admse_math;
+  else if(e==admse_nilled)
+    e=admse_math;
+  else if(e==admse_assignment)
+    e=admse_math;
+  else if(e==admse_contribution)
+    e=admse_math;
+  else if(e==admse_conditional)
+    e=admse_math;
+  else if(e==admse_forloop)
+    e=admse_math;
+  else if(e==admse_whileloop)
+    e=admse_math;
+  else if(e==admse_case)
+    e=admse_math;
+  else if(e==admse_caseitem)
+    e=admse_math;
+  else if(e==admse_blockvariable)
+    e=admse_math;
+  else if(e==admse_block)
+    e=admse_math;
+  else if(e==admse_callfunction)
+    e=admse_math;
+  if(0) {}
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_variableprototype)ai->_item.p)->_dependency); d->_valueto=(void*)adms_variableprototype_valueto_dependency;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_math)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_math)ai->_item.p)->_dependency); d->_valueto=(void*)adms_math_valueto_dependency;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'dependency' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030terminal(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_instance)
+  {
+    p_slist lii=((p_instance)ai->_item.p)->_terminal;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'terminal' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030tmp1(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_simulator)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_simulator)ai->_item.p)->_tmp1); d->_valueto=(void*)adms_simulator_valueto_tmp1;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'tmp1' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030abstol(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_nature)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_nature)(ai->_item.p))->_abstol); d->_valueto=(void*)adms_nature_valueto_abstol;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'abstol' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030whileblock(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_whileloop)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_whileloop)(ai->_item.p))->_whileblock); d->_valueto=(void*)adms_whileloop_valueto_whileblock;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'whileblock' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030parametertype(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB0*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB0*/ p_admst d1=adms_admst_newbe(d0,ai,((p_variableprototype)d0->_item.p)->_parametertype);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_parametertype;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_variableprototype)ai->_item.p)->_parametertype); d->_valueto=(void*)adms_variableprototype_valueto_parametertype;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'parametertype' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030lhs(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_assignment)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_assignment)(ai->_item.p))->_lhs); d->_valueto=(void*)adms_assignment_valueto_lhs;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_contribution)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_contribution)(ai->_item.p))->_lhs); d->_valueto=(void*)adms_contribution_valueto_lhs;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'lhs' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030conditional(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_module)
+  {
+    p_slist lii=((p_module)ai->_item.p)->_conditional;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'conditional' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030nature(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_admsmain)
+  {
+    p_slist lii=((p_admsmain)ai->_item.p)->_nature;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else if(e==admse_source)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_source)(ai->_item.p))->_nature); d->_valueto=(void*)adms_source_valueto_nature;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_probe)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_probe)(ai->_item.p))->_nature); d->_valueto=(void*)adms_probe_valueto_nature;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'nature' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030while(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_whileloop)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_whileloop)(ai->_item.p))->_while); d->_valueto=(void*)adms_whileloop_valueto_while;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'while' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030hasVoltageDependentFunction(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_expression)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_expression)ai->_item.p)->_hasVoltageDependentFunction); d->_valueto=(void*)adms_expression_valueto_hasVoltageDependentFunction;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'hasVoltageDependentFunction' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030block(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB0*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB0*/ p_admst d1=adms_admst_newpa(d0,ai,(p_adms)((p_variableprototype)d0->_item.p)->_block);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_block;
+  }
+  else if(e==admse_module)
+  {
+    p_slist lii=((p_module)ai->_item.p)->_block;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_variableprototype)(ai->_item.p))->_block); d->_valueto=(void*)adms_variableprototype_valueto_block;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_blockvariable)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_blockvariable)(ai->_item.p))->_block); d->_valueto=(void*)adms_blockvariable_valueto_block;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_block)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_block)(ai->_item.p))->_block); d->_valueto=(void*)adms_block_valueto_block;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'block' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030condition(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_forloop)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_forloop)(ai->_item.p))->_condition); d->_valueto=(void*)adms_forloop_valueto_condition;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_caseitem)
+  {
+    p_slist lii=((p_caseitem)ai->_item.p)->_condition;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'condition' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030datatype(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_list)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_list)ai->_item.p)->_datatype); d->_valueto=(void*)adms_list_valueto_datatype;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'datatype' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030tree(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_analogfunction)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_analogfunction)(ai->_item.p))->_tree); d->_valueto=(void*)adms_analogfunction_valueto_tree;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_expression)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_expression)(ai->_item.p))->_tree); d->_valueto=(void*)adms_expression_valueto_tree;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'tree' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030input(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB0*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB0*/ p_admst d1=adms_admst_newbe(d0,ai,((p_variableprototype)d0->_item.p)->_input);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_input;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_variableprototype)ai->_item.p)->_input); d->_valueto=(void*)adms_variableprototype_valueto_input;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'input' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030potential(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_discipline)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_discipline)(ai->_item.p))->_potential); d->_valueto=(void*)adms_discipline_valueto_potential;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'potential' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030string(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_lexval)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_lexval)ai->_item.p)->_string); d->_valueto=(void*)adms_lexval_valueto_string;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'string' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030supboundtype(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_range)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_range)ai->_item.p)->_supboundtype); d->_valueto=(void*)adms_range_valueto_supboundtype;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'supboundtype' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030ddx(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_simulator)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_simulator)ai->_item.p)->_ddx); d->_valueto=(void*)adms_simulator_valueto_ddx;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'ddx' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030usedininitial_step(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB0*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB0*/ p_admst d1=adms_admst_newbe(d0,ai,((p_variableprototype)d0->_item.p)->_usedininitial_step);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_usedininitial_step;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_variableprototype)ai->_item.p)->_usedininitial_step); d->_valueto=(void*)adms_variableprototype_valueto_usedininitial_step;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'usedininitial_step' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030infboundtype(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_range)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_range)ai->_item.p)->_infboundtype); d->_valueto=(void*)adms_range_valueto_infboundtype;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'infboundtype' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030ddt_nature(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_nature)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_nature)(ai->_item.p))->_ddt_nature); d->_valueto=(void*)adms_nature_valueto_ddt_nature;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'ddt_nature' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030attribute(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB0*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*AAAA0*/ p_slist l1=((p_variableprototype)d0->_item.p)->_attribute;
+    for(;l1;l1=l1->next)
+    {
+      p_admst d1=adms_admst_newpa(d0,ai,l1->data);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;
+    }
+  }
+  else if(e==admse_module)
+  {
+    p_slist lii=((p_module)ai->_item.p)->_attribute;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else if(e==admse_analogfunction)
+  {
+    p_slist lii=((p_analogfunction)ai->_item.p)->_attribute;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else if(e==admse_node)
+  {
+    p_slist lii=((p_node)ai->_item.p)->_attribute;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else if(e==admse_branch)
+  {
+    p_slist lii=((p_branch)ai->_item.p)->_attribute;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_slist lii=((p_variableprototype)ai->_item.p)->_attribute;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else if(e==admse_source)
+  {
+    p_slist lii=((p_source)ai->_item.p)->_attribute;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else if(e==admse_math)
+  {
+    p_slist lii=((p_math)ai->_item.p)->_attribute;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else if(e==admse_assignment)
+  {
+    p_slist lii=((p_assignment)ai->_item.p)->_attribute;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else if(e==admse_contribution)
+  {
+    p_slist lii=((p_contribution)ai->_item.p)->_attribute;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else if(e==admse_block)
+  {
+    p_slist lii=((p_block)ai->_item.p)->_attribute;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'attribute' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030package_string(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_simulator)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_simulator)ai->_item.p)->_package_string); d->_valueto=(void*)adms_simulator_valueto_package_string;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'package_string' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030row(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_jacobian)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_jacobian)(ai->_item.p))->_row); d->_valueto=(void*)adms_jacobian_valueto_row;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'row' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030usedinnoise(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB0*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB0*/ p_admst d1=adms_admst_newbe(d0,ai,((p_variableprototype)d0->_item.p)->_usedinnoise);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_usedinnoise;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_variableprototype)ai->_item.p)->_usedinnoise); d->_valueto=(void*)adms_variableprototype_valueto_usedinnoise;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'usedinnoise' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030parameterset(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_instance)
+  {
+    p_slist lii=((p_instance)ai->_item.p)->_parameterset;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'parameterset' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030scope(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB0*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB0*/ p_admst d1=adms_admst_newbe(d0,ai,((p_variableprototype)d0->_item.p)->_scope);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_scope;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_variableprototype)ai->_item.p)->_scope); d->_valueto=(void*)adms_variableprototype_valueto_scope;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'scope' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030base(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_nature)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_nature)(ai->_item.p))->_base); d->_valueto=(void*)adms_nature_valueto_base;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'base' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030output(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB0*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB0*/ p_admst d1=adms_admst_newbe(d0,ai,((p_variableprototype)d0->_item.p)->_output);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_output;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_variableprototype)ai->_item.p)->_output); d->_valueto=(void*)adms_variableprototype_valueto_output;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'output' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030usedinfinal(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB0*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB0*/ p_admst d1=adms_admst_newbe(d0,ai,((p_variableprototype)d0->_item.p)->_usedinfinal);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_usedinfinal;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_variableprototype)ai->_item.p)->_usedinfinal); d->_valueto=(void*)adms_variableprototype_valueto_usedinfinal;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'usedinfinal' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030arg2(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_mapply_binary)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_mapply_binary)(ai->_item.p))->_arg2); d->_valueto=(void*)adms_mapply_binary_valueto_arg2;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_mapply_ternary)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_mapply_ternary)(ai->_item.p))->_arg2); d->_valueto=(void*)adms_mapply_ternary_valueto_arg2;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'arg2' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030blockvariable(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_module)
+  {
+    p_slist lii=((p_module)ai->_item.p)->_blockvariable;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'blockvariable' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030arguments(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_function)
+  {
+    p_slist lii=((p_function)ai->_item.p)->_arguments;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'arguments' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030br2(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_simulator)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_simulator)(ai->_item.p))->_br2); d->_valueto=(void*)adms_simulator_valueto_br2;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'br2' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030probe(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB0*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*AAAA0*/ p_slist l1=((p_variableprototype)d0->_item.p)->_probe;
+    for(;l1;l1=l1->next)
+    {
+      p_admst d1=adms_admst_newpa(d0,ai,l1->data);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;
+    }
+  }
+  else if(e==admse_simulator)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_simulator)(ai->_item.p))->_probe); d->_valueto=(void*)adms_simulator_valueto_probe;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_module)
+  {
+    p_slist lii=((p_module)ai->_item.p)->_probe;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_slist lii=((p_variableprototype)ai->_item.p)->_probe;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else if(e==admse_source)
+  {
+    p_slist lii=((p_source)ai->_item.p)->_probe;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else if(e==admse_expression)
+  {
+    p_slist lii=((p_expression)ai->_item.p)->_probe;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else if(e==admse_block)
+  {
+    p_slist lii=((p_block)ai->_item.p)->_probe;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'probe' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030infexpr(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_range)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_range)(ai->_item.p))->_infexpr); d->_valueto=(void*)adms_range_valueto_infexpr;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'infexpr' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030unique_id(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_function)
+  {
+    p_admst d=adms_admst_newbi(ai,ai,((p_function)ai->_item.p)->_unique_id); d->_valueto=(void*)adms_function_valueto_unique_id;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'unique_id' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030source(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_module)
+  {
+    p_slist lii=((p_module)ai->_item.p)->_source;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else if(e==admse_probe)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_probe)(ai->_item.p))->_source); d->_valueto=(void*)adms_probe_valueto_source;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'source' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030function(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_expression)
+  {
+    p_slist lii=((p_expression)ai->_item.p)->_function;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else if(e==admse_callfunction)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_callfunction)(ai->_item.p))->_function); d->_valueto=(void*)adms_callfunction_valueto_function;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'function' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030rhs(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_assignment)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_assignment)(ai->_item.p))->_rhs); d->_valueto=(void*)adms_assignment_valueto_rhs;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_contribution)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_contribution)(ai->_item.p))->_rhs); d->_valueto=(void*)adms_contribution_valueto_rhs;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'rhs' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030range(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB0*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*AAAA0*/ p_slist l1=((p_variableprototype)d0->_item.p)->_range;
+    for(;l1;l1=l1->next)
+    {
+      p_admst d1=adms_admst_newpa(d0,ai,l1->data);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;
+    }
+  }
+  else if(e==admse_module)
+  {
+    p_slist lii=((p_module)ai->_item.p)->_range;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_slist lii=((p_variableprototype)ai->_item.p)->_range;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'range' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030itransform(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_admsmain)
+  {
+    p_slist lii=((p_admsmain)ai->_item.p)->_itransform;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'itransform' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030ddt_name(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_nature)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_nature)ai->_item.p)->_ddt_name); d->_valueto=(void*)adms_nature_valueto_ddt_name;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'ddt_name' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030case(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_module)
+  {
+    p_slist lii=((p_module)ai->_item.p)->_case;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else if(e==admse_case)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_case)(ai->_item.p))->_case); d->_valueto=(void*)adms_case_valueto_case;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'case' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030nnode(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_branch)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_branch)(ai->_item.p))->_nnode); d->_valueto=(void*)adms_branch_valueto_nnode;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'nnode' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030domain(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_discipline)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_discipline)ai->_item.p)->_domain); d->_valueto=(void*)adms_discipline_valueto_domain;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'domain' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030developer(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_simulator)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_simulator)ai->_item.p)->_developer); d->_valueto=(void*)adms_simulator_valueto_developer;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'developer' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030error(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_admsmain)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_admsmain)ai->_item.p)->_error); d->_valueto=(void*)adms_admsmain_valueto_error;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'error' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030module(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB0*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB0*/ p_admst d1=adms_admst_newpa(d0,ai,(p_adms)((p_variableprototype)d0->_item.p)->_module);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_module;
+  }
+  else if(e==admse_admsmain)
+  {
+    p_slist lii=((p_admsmain)ai->_item.p)->_module;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else if(e==admse_analogfunction)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_analogfunction)(ai->_item.p))->_module); d->_valueto=(void*)adms_analogfunction_valueto_module;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_nodealias)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_nodealias)(ai->_item.p))->_module); d->_valueto=(void*)adms_nodealias_valueto_module;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_node)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_node)(ai->_item.p))->_module); d->_valueto=(void*)adms_node_valueto_module;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_branchalias)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_branchalias)(ai->_item.p))->_module); d->_valueto=(void*)adms_branchalias_valueto_module;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_branch)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_branch)(ai->_item.p))->_module); d->_valueto=(void*)adms_branch_valueto_module;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_variableprototype)(ai->_item.p))->_module); d->_valueto=(void*)adms_variableprototype_valueto_module;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_source)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_source)(ai->_item.p))->_module); d->_valueto=(void*)adms_source_valueto_module;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_range)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_range)(ai->_item.p))->_module); d->_valueto=(void*)adms_range_valueto_module;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_jacobian)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_jacobian)(ai->_item.p))->_module); d->_valueto=(void*)adms_jacobian_valueto_module;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_probe)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_probe)(ai->_item.p))->_module); d->_valueto=(void*)adms_probe_valueto_module;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_expression)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_expression)(ai->_item.p))->_module); d->_valueto=(void*)adms_expression_valueto_module;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_instance)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_instance)(ai->_item.p))->_module); d->_valueto=(void*)adms_instance_valueto_module;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_nilled)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_nilled)(ai->_item.p))->_module); d->_valueto=(void*)adms_nilled_valueto_module;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_assignment)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_assignment)(ai->_item.p))->_module); d->_valueto=(void*)adms_assignment_valueto_module;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_contribution)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_contribution)(ai->_item.p))->_module); d->_valueto=(void*)adms_contribution_valueto_module;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_conditional)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_conditional)(ai->_item.p))->_module); d->_valueto=(void*)adms_conditional_valueto_module;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_forloop)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_forloop)(ai->_item.p))->_module); d->_valueto=(void*)adms_forloop_valueto_module;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_whileloop)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_whileloop)(ai->_item.p))->_module); d->_valueto=(void*)adms_whileloop_valueto_module;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_case)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_case)(ai->_item.p))->_module); d->_valueto=(void*)adms_case_valueto_module;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_block)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_block)(ai->_item.p))->_module); d->_valueto=(void*)adms_block_valueto_module;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_callfunction)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_callfunction)(ai->_item.p))->_module); d->_valueto=(void*)adms_callfunction_valueto_module;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'module' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030info(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_admsmain)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_admsmain)ai->_item.p)->_info); d->_valueto=(void*)adms_admsmain_valueto_info;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'info' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030derivate(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB0*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB0*/ p_admst d1=adms_admst_newbe(d0,ai,((p_variableprototype)d0->_item.p)->_derivate);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_derivate;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_variableprototype)ai->_item.p)->_derivate); d->_valueto=(void*)adms_variableprototype_valueto_derivate;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'derivate' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030curfilename(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_admsmain)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_admsmain)ai->_item.p)->_curfilename); d->_valueto=(void*)adms_admsmain_valueto_curfilename;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'curfilename' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030arraydefault(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variableprototype)
+  {
+    p_slist lii=((p_variableprototype)ai->_item.p)->_arraydefault;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'arraydefault' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030fullfilename(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_admsmain)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_admsmain)ai->_item.p)->_fullfilename); d->_valueto=(void*)adms_admsmain_valueto_fullfilename;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'fullfilename' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030package_bugreport(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_simulator)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_simulator)ai->_item.p)->_package_bugreport); d->_valueto=(void*)adms_simulator_valueto_package_bugreport;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'package_bugreport' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030then(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_conditional)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_conditional)(ai->_item.p))->_then); d->_valueto=(void*)adms_conditional_valueto_then;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'then' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030callfunction(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_module)
+  {
+    p_slist lii=((p_module)ai->_item.p)->_callfunction;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'callfunction' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030switch(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_source)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_source)ai->_item.p)->_switch); d->_valueto=(void*)adms_source_valueto_switch;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'switch' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030fullname(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_simulator)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_simulator)ai->_item.p)->_fullname); d->_valueto=(void*)adms_simulator_valueto_fullname;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'fullname' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030vcount(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB0*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB0*/ p_admst d1=adms_admst_newbi(d0,ai,((p_variableprototype)d0->_item.p)->_vcount);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_vcount;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbi(ai,ai,((p_variableprototype)ai->_item.p)->_vcount); d->_valueto=(void*)adms_variableprototype_valueto_vcount;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'vcount' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030OPdependent(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB0*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB0*/ p_admst d1=adms_admst_newbe(d0,ai,((p_variableprototype)d0->_item.p)->_OPdependent);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_OPdependent;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_variableprototype)ai->_item.p)->_OPdependent); d->_valueto=(void*)adms_variableprototype_valueto_OPdependent;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'OPdependent' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030dbg_mem(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_admsmain)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_admsmain)ai->_item.p)->_dbg_mem); d->_valueto=(void*)adms_admsmain_valueto_dbg_mem;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'dbg_mem' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030item(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_list)
+  {
+    p_slist lii=((p_list)ai->_item.p)->_item;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpn(dot,dot,(p_admst)lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else if(e==admse_block)
+  {
+    p_slist lii=((p_block)ai->_item.p)->_item;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'item' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030caseitem(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_case)
+  {
+    p_slist lii=((p_case)ai->_item.p)->_caseitem;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'caseitem' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030expression(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_module)
+  {
+    p_slist lii=((p_module)ai->_item.p)->_expression;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else if(e==admse_subexpression)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_subexpression)(ai->_item.p))->_expression); d->_valueto=(void*)adms_subexpression_valueto_expression;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'expression' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030usedinevaluate(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB0*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB0*/ p_admst d1=adms_admst_newbe(d0,ai,((p_variableprototype)d0->_item.p)->_usedinevaluate);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_usedinevaluate;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_variableprototype)ai->_item.p)->_usedinevaluate); d->_valueto=(void*)adms_variableprototype_valueto_usedinevaluate;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'usedinevaluate' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030prototype(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_variable)(ai->_item.p))->_prototype); d->_valueto=(void*)adms_variable_valueto_prototype;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'prototype' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030package_version(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_simulator)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_simulator)ai->_item.p)->_package_version); d->_valueto=(void*)adms_simulator_valueto_package_version;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'package_version' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030column(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_jacobian)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_jacobian)(ai->_item.p))->_column); d->_valueto=(void*)adms_jacobian_valueto_column;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'column' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030idt_name(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_nature)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_nature)ai->_item.p)->_idt_name); d->_valueto=(void*)adms_nature_valueto_idt_name;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'idt_name' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030invtransform(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_admsmain)
+  {
+    p_slist lii=((p_admsmain)ai->_item.p)->_invtransform;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'invtransform' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030forloop(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_module)
+  {
+    p_slist lii=((p_module)ai->_item.p)->_forloop;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'forloop' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030evaluation(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_module)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_module)(ai->_item.p))->_evaluation); d->_valueto=(void*)adms_module_valueto_evaluation;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'evaluation' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030infinity(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_expression)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_expression)ai->_item.p)->_infinity); d->_valueto=(void*)adms_expression_valueto_infinity;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'infinity' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030assignment(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB0*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*AAAA0*/ p_slist l1=((p_variableprototype)d0->_item.p)->_assignment;
+    for(;l1;l1=l1->next)
+    {
+      p_admst d1=adms_admst_newpa(d0,ai,l1->data);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;
+    }
+  }
+  else if(e==admse_module)
+  {
+    p_slist lii=((p_module)ai->_item.p)->_assignment;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_slist lii=((p_variableprototype)ai->_item.p)->_assignment;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'assignment' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030location(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_node)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_node)ai->_item.p)->_location); d->_valueto=(void*)adms_node_valueto_location;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'location' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030else(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_conditional)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_conditional)(ai->_item.p))->_else); d->_valueto=(void*)adms_conditional_valueto_else;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'else' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030default(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB0*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB0*/ p_admst d1=adms_admst_newpa(d0,ai,(p_adms)((p_variableprototype)d0->_item.p)->_default);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_default;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_variableprototype)(ai->_item.p))->_default); d->_valueto=(void*)adms_variableprototype_valueto_default;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'default' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030parameter(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_instanceparameter)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_instanceparameter)(ai->_item.p))->_parameter); d->_valueto=(void*)adms_instanceparameter_valueto_parameter;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'parameter' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030fpos(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_admsmain)
+  {
+    p_admst d=adms_admst_newbi(ai,ai,((p_admsmain)ai->_item.p)->_fpos); d->_valueto=(void*)adms_admsmain_valueto_fpos;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'fpos' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030class(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_function)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_function)ai->_item.p)->_class); d->_valueto=(void*)adms_function_valueto_class;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'class' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030TemperatureDependent(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB0*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB0*/ p_admst d1=adms_admst_newbe(d0,ai,((p_variableprototype)d0->_item.p)->_TemperatureDependent);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_TemperatureDependent;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_variableprototype)ai->_item.p)->_TemperatureDependent); d->_valueto=(void*)adms_variableprototype_valueto_TemperatureDependent;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_expression)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_expression)ai->_item.p)->_TemperatureDependent); d->_valueto=(void*)adms_expression_valueto_TemperatureDependent;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'TemperatureDependent' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030alias(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB0*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*AAAA0*/ p_slist l1=((p_variableprototype)d0->_item.p)->_alias;
+    for(;l1;l1=l1->next)
+    {
+      p_admst d1=adms_admst_newpa(d0,ai,l1->data);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;
+    }
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_slist lii=((p_variableprototype)ai->_item.p)->_alias;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newbs(ai,ai,(char*)lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'alias' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030flickernoise(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_source)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_source)ai->_item.p)->_flickernoise); d->_valueto=(void*)adms_source_valueto_flickernoise;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_contribution)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_contribution)ai->_item.p)->_flickernoise); d->_valueto=(void*)adms_contribution_valueto_flickernoise;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'flickernoise' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030whileloop(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_module)
+  {
+    p_slist lii=((p_module)ai->_item.p)->_whileloop;
+    for(;lii;lii=lii->next)
+    {
+      p_admst d=adms_admst_newpa(ai,ai,lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'whileloop' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030package_tarname(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_simulator)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_simulator)ai->_item.p)->_package_tarname); d->_valueto=(void*)adms_simulator_valueto_package_tarname;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'package_tarname' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030setinevaluate(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB0*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB0*/ p_admst d1=adms_admst_newbe(d0,ai,((p_variableprototype)d0->_item.p)->_setinevaluate);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_setinevaluate;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_variableprototype)ai->_item.p)->_setinevaluate); d->_valueto=(void*)adms_variableprototype_valueto_setinevaluate;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'setinevaluate' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030analog(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_module)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_module)(ai->_item.p))->_analog); d->_valueto=(void*)adms_module_valueto_analog;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'analog' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030vlast(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB0*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB0*/ p_admst d1=adms_admst_newpa(d0,ai,(p_adms)((p_variableprototype)d0->_item.p)->_vlast);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_vlast;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_variableprototype)(ai->_item.p))->_vlast); d->_valueto=(void*)adms_variableprototype_valueto_vlast;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'vlast' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030lexval(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB0*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB0*/ p_admst d1=adms_admst_newpa(d0,ai,(p_adms)((p_variableprototype)d0->_item.p)->_lexval);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_lexval;
+  }
+  else if(e==admse_analogfunction)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_analogfunction)(ai->_item.p))->_lexval); d->_valueto=(void*)adms_analogfunction_valueto_lexval;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_variableprototype)(ai->_item.p))->_lexval); d->_valueto=(void*)adms_variableprototype_valueto_lexval;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_number)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_number)(ai->_item.p))->_lexval); d->_valueto=(void*)adms_number_valueto_lexval;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_function)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_function)(ai->_item.p))->_lexval); d->_valueto=(void*)adms_function_valueto_lexval;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_assignment)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_assignment)(ai->_item.p))->_lexval); d->_valueto=(void*)adms_assignment_valueto_lexval;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_contribution)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_contribution)(ai->_item.p))->_lexval); d->_valueto=(void*)adms_contribution_valueto_lexval;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_block)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_block)(ai->_item.p))->_lexval); d->_valueto=(void*)adms_block_valueto_lexval;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'lexval' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030f(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_lexval)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_lexval)ai->_item.p)->_f); d->_valueto=(void*)adms_lexval_valueto_f;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'f' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030usage(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_admsmain)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_admsmain)ai->_item.p)->_usage); d->_valueto=(void*)adms_admsmain_valueto_usage;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'usage' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030idt_nature(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_nature)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_nature)(ai->_item.p))->_idt_nature); d->_valueto=(void*)adms_nature_valueto_idt_nature;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'idt_nature' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030curline(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_admsmain)
+  {
+    p_admst d=adms_admst_newbi(ai,ai,((p_admsmain)ai->_item.p)->_curline); d->_valueto=(void*)adms_admsmain_valueto_curline;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'curline' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030package_name(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_simulator)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_simulator)ai->_item.p)->_package_name); d->_valueto=(void*)adms_simulator_valueto_package_name;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'package_name' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030l(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_lexval)
+  {
+    p_admst d=adms_admst_newbi(ai,ai,((p_lexval)ai->_item.p)->_l); d->_valueto=(void*)adms_lexval_valueto_l;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'l' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030initial(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_forloop)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_forloop)(ai->_item.p))->_initial); d->_valueto=(void*)adms_forloop_valueto_initial;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'initial' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location030usedinmodel(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB0*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB0*/ p_admst d1=adms_admst_newbe(d0,ai,((p_variableprototype)d0->_item.p)->_usedinmodel);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_usedinmodel;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_variableprototype)ai->_item.p)->_usedinmodel); d->_valueto=(void*)adms_variableprototype_valueto_usedinmodel;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'usedinmodel' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+
+static void location031adms(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_simulator)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_admsmain)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_list)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_nature)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_discipline)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_module)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_analogfunction)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_nodealias)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_node)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_branchalias)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_branch)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_source)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_range)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_jacobian)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_analog)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_math)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_string)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_subexpression)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_mapply_unary)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_mapply_binary)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_mapply_ternary)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_number)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_function)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_variable)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_array)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_probe)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_expression)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_instance)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_instanceparameter)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_instancenode)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_nilled)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_assignment)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_contribution)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_conditional)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_forloop)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_whileloop)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_case)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_caseitem)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_blockvariable)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_block)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_callfunction)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_evaluation)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_attribute)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_lexval)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_adms); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'adms' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031math(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_string)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_math); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_subexpression)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_math); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_mapply_unary)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_math); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_mapply_binary)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_math); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_mapply_ternary)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_math); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_number)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_math); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_function)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_math); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_variable)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_math); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_array)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_math); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_probe)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_math); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_expression)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_math); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_instance)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_math); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_instanceparameter)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_math); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_instancenode)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_math); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_nilled)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_math); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_assignment)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_math); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_contribution)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_math); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_conditional)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_math); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_forloop)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_math); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_whileloop)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_math); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_case)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_math); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_caseitem)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_math); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_blockvariable)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_math); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_block)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_math); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_callfunction)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_math); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'math' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031subexpression(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_mapply_unary)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_subexpression); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_mapply_binary)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_subexpression); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_mapply_ternary)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_subexpression); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_number)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_subexpression); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_function)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_subexpression); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_variable)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_subexpression); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_array)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_subexpression); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_probe)
+  {
+    p_admst d=adms_admst_newpp(ai,ai,admse_subexpression); d->_valueto=(void*)NULL;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'subexpression' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031forblock(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_forloop)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_forloop)ai->_item.p)->_forblock); d->_valueto=(void*)adms_forloop_valueto_forblock;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'forblock' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031verbose(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_admsmain)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_admsmain)ai->_item.p)->_verbose); d->_valueto=(void*)adms_admsmain_valueto_verbose;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'verbose' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031sr1(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_simulator)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_simulator)ai->_item.p)->_sr1); d->_valueto=(void*)adms_simulator_valueto_sr1;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'sr1' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031maxsize(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB1*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB1*/ p_admst d1=adms_admst_newpa(d0,ai,(p_adms)((p_variableprototype)d0->_item.p)->_maxsize);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_maxsize;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_variableprototype)ai->_item.p)->_maxsize); d->_valueto=(void*)adms_variableprototype_valueto_maxsize;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'maxsize' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031tmp(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_simulator)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_simulator)ai->_item.p)->_tmp); d->_valueto=(void*)adms_simulator_valueto_tmp;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'tmp' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031if(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_conditional)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_conditional)ai->_item.p)->_if); d->_valueto=(void*)adms_conditional_valueto_if;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'if' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031jacobian(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_module)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_module)ai->_item.p)->_jacobian)); d->_valueto=(void*)adms_module_valueto_jacobian;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'jacobian' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031currentdate(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_simulator)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_simulator)ai->_item.p)->_currentdate); d->_valueto=(void*)adms_simulator_valueto_currentdate;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'currentdate' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031flow(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_discipline)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_discipline)ai->_item.p)->_flow); d->_valueto=(void*)adms_discipline_valueto_flow;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'flow' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031hasspecialnumber(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_expression)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_expression)ai->_item.p)->_hasspecialnumber); d->_valueto=(void*)adms_expression_valueto_hasspecialnumber;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'hasspecialnumber' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031code(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_analog)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_analog)ai->_item.p)->_code); d->_valueto=(void*)adms_analog_valueto_code;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_caseitem)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_caseitem)ai->_item.p)->_code); d->_valueto=(void*)adms_caseitem_valueto_code;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'code' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031arg1(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_mapply_unary)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_mapply_unary)ai->_item.p)->_arg1); d->_valueto=(void*)adms_mapply_unary_valueto_arg1;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_mapply_binary)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_mapply_binary)ai->_item.p)->_arg1); d->_valueto=(void*)adms_mapply_binary_valueto_arg1;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_mapply_ternary)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_mapply_ternary)ai->_item.p)->_arg1); d->_valueto=(void*)adms_mapply_ternary_valueto_arg1;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'arg1' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031grounded(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_node)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_node)ai->_item.p)->_grounded); d->_valueto=(void*)adms_node_valueto_grounded;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_branch)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_branch)ai->_item.p)->_grounded); d->_valueto=(void*)adms_branch_valueto_grounded;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_source)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_source)ai->_item.p)->_grounded); d->_valueto=(void*)adms_source_valueto_grounded;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_probe)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_probe)ai->_item.p)->_grounded); d->_valueto=(void*)adms_probe_valueto_grounded;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'grounded' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031diagonal(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_jacobian)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_jacobian)ai->_item.p)->_diagonal); d->_valueto=(void*)adms_jacobian_valueto_diagonal;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'diagonal' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031scalingunit(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_number)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_number)ai->_item.p)->_scalingunit); d->_valueto=(void*)adms_number_valueto_scalingunit;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'scalingunit' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031dynamic(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  else if(e==admse_expression)
+    e=admse_math;
+  else if(e==admse_nilled)
+    e=admse_math;
+  else if(e==admse_assignment)
+    e=admse_math;
+  else if(e==admse_contribution)
+    e=admse_math;
+  else if(e==admse_conditional)
+    e=admse_math;
+  else if(e==admse_forloop)
+    e=admse_math;
+  else if(e==admse_whileloop)
+    e=admse_math;
+  else if(e==admse_case)
+    e=admse_math;
+  else if(e==admse_blockvariable)
+    e=admse_math;
+  else if(e==admse_block)
+    e=admse_math;
+  else if(e==admse_callfunction)
+    e=admse_math;
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB1*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB1*/ p_admst d1=adms_admst_newbe(d0,ai,((p_variableprototype)d0->_item.p)->_dynamic);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_dynamic;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_variableprototype)ai->_item.p)->_dynamic); d->_valueto=(void*)adms_variableprototype_valueto_dynamic;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_source)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_source)ai->_item.p)->_dynamic); d->_valueto=(void*)adms_source_valueto_dynamic;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_jacobian)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_jacobian)ai->_item.p)->_dynamic); d->_valueto=(void*)adms_jacobian_valueto_dynamic;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_math)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_math)ai->_item.p)->_dynamic); d->_valueto=(void*)adms_math_valueto_dynamic;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'dynamic' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031name(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_analogfunction)
+  {
+    /*BBBB1*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_analogfunction)ai->_item.p)->_lexval); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_analogfunction_valueto_lexval;
+    /*BBBB1*/ p_admst d1=adms_admst_newbs(d0,ai,((p_lexval)d0->_item.p)->_string);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_lexval_valueto_string;
+  }
+  else if(e==admse_variableprototype)
+  {
+    /*BBBB1*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variableprototype)ai->_item.p)->_lexval); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variableprototype_valueto_lexval;
+    /*BBBB1*/ p_admst d1=adms_admst_newbs(d0,ai,((p_lexval)d0->_item.p)->_string);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_lexval_valueto_string;
+  }
+  else if(e==admse_function)
+  {
+    /*BBBB1*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_function)ai->_item.p)->_lexval); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_function_valueto_lexval;
+    /*BBBB1*/ p_admst d1=adms_admst_newbs(d0,ai,((p_lexval)d0->_item.p)->_string);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_lexval_valueto_string;
+  }
+  else if(e==admse_variable)
+  {
+    /*BBBB1*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB1*/ p_admst d1=adms_admst_newpa(d0,ai,(p_adms)((p_variableprototype)d0->_item.p)->_lexval); adms_slist_push(&p->_aliasadmst,(p_adms)d1); d1->_valueto=(void*)adms_variableprototype_valueto_lexval;
+    /*BBBB1*/ p_admst d2=adms_admst_newbs(d1,ai,((p_lexval)d1->_item.p)->_string);if(d2->_preva) d2->_preva->_nexta=d2->_nexta,d2->_preva=NULL; if(d2->_nexta) d2->_nexta->_preva=d2->_preva,d2->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d2; else p->_an->_nexta=d2,d2->_preva=p->_an,p->_an=d2;d2->_position=++p->_position;d2->_valueto=(void*)adms_lexval_valueto_string;
+  }
+  else if(e==admse_block)
+  {
+    /*BBBB1*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_block)ai->_item.p)->_lexval); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_block_valueto_lexval;
+    /*BBBB1*/ p_admst d1=adms_admst_newbs(d0,ai,((p_lexval)d0->_item.p)->_string);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_lexval_valueto_string;
+  }
+  else if(e==admse_simulator)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_simulator)ai->_item.p)->_name); d->_valueto=(void*)adms_simulator_valueto_name;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_admsmain)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_admsmain)ai->_item.p)->_name); d->_valueto=(void*)adms_admsmain_valueto_name;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_nature)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_nature)ai->_item.p)->_name); d->_valueto=(void*)adms_nature_valueto_name;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_discipline)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_discipline)ai->_item.p)->_name); d->_valueto=(void*)adms_discipline_valueto_name;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_module)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_module)ai->_item.p)->_name); d->_valueto=(void*)adms_module_valueto_name;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_nodealias)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_nodealias)ai->_item.p)->_name); d->_valueto=(void*)adms_nodealias_valueto_name;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_node)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_node)ai->_item.p)->_name); d->_valueto=(void*)adms_node_valueto_name;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_branchalias)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_branchalias)ai->_item.p)->_name); d->_valueto=(void*)adms_branchalias_valueto_name;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_range)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_range)ai->_item.p)->_name); d->_valueto=(void*)adms_range_valueto_name;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_math)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_math)ai->_item.p)->_name); d->_valueto=(void*)adms_math_valueto_name;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_mapply_unary)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_mapply_unary)ai->_item.p)->_name); d->_valueto=(void*)adms_mapply_unary_valueto_name;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_mapply_binary)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_mapply_binary)ai->_item.p)->_name); d->_valueto=(void*)adms_mapply_binary_valueto_name;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_mapply_ternary)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_mapply_ternary)ai->_item.p)->_name); d->_valueto=(void*)adms_mapply_ternary_valueto_name;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_instance)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_instance)ai->_item.p)->_name); d->_valueto=(void*)adms_instance_valueto_name;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_nilled)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_nilled)ai->_item.p)->_name); d->_valueto=(void*)adms_nilled_valueto_name;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_assignment)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_assignment)ai->_item.p)->_name); d->_valueto=(void*)adms_assignment_valueto_name;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_contribution)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_contribution)ai->_item.p)->_name); d->_valueto=(void*)adms_contribution_valueto_name;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_conditional)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_conditional)ai->_item.p)->_name); d->_valueto=(void*)adms_conditional_valueto_name;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_forloop)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_forloop)ai->_item.p)->_name); d->_valueto=(void*)adms_forloop_valueto_name;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_whileloop)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_whileloop)ai->_item.p)->_name); d->_valueto=(void*)adms_whileloop_valueto_name;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_case)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_case)ai->_item.p)->_name); d->_valueto=(void*)adms_case_valueto_name;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_return)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_return)ai->_item.p)->_name); d->_valueto=(void*)adms_return_valueto_name;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_attribute)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_attribute)ai->_item.p)->_name); d->_valueto=(void*)adms_attribute_valueto_name;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'name' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031instance(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_module)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_module)ai->_item.p)->_instance)); d->_valueto=(void*)adms_module_valueto_instance;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_variableprototype)ai->_item.p)->_instance)); d->_valueto=(void*)adms_variableprototype_valueto_instance;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'instance' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031defaultcase(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_caseitem)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_caseitem)ai->_item.p)->_defaultcase); d->_valueto=(void*)adms_caseitem_valueto_defaultcase;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'defaultcase' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031obsolete(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_admsmain)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_admsmain)ai->_item.p)->_obsolete); d->_valueto=(void*)adms_admsmain_valueto_obsolete;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'obsolete' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031setinfinal(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB1*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB1*/ p_admst d1=adms_admst_newbe(d0,ai,((p_variableprototype)d0->_item.p)->_setinfinal);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_setinfinal;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_variableprototype)ai->_item.p)->_setinfinal); d->_valueto=(void*)adms_variableprototype_valueto_setinfinal;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'setinfinal' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031dbg_vla(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_admsmain)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_admsmain)ai->_item.p)->_dbg_vla); d->_valueto=(void*)adms_admsmain_valueto_dbg_vla;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'dbg_vla' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031insource(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB1*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB1*/ p_admst d1=adms_admst_newbe(d0,ai,((p_variableprototype)d0->_item.p)->_insource);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_insource;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_variableprototype)ai->_item.p)->_insource); d->_valueto=(void*)adms_variableprototype_valueto_insource;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'insource' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031hint(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_admsmain)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_admsmain)ai->_item.p)->_hint); d->_valueto=(void*)adms_admsmain_valueto_hint;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'hint' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031supexpr(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_range)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_range)ai->_item.p)->_supexpr); d->_valueto=(void*)adms_range_valueto_supexpr;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'supexpr' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031setingetprev(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB1*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB1*/ p_admst d1=adms_admst_newbe(d0,ai,((p_variableprototype)d0->_item.p)->_setingetprev);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_setingetprev;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_variableprototype)ai->_item.p)->_setingetprev); d->_valueto=(void*)adms_variableprototype_valueto_setingetprev;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'setingetprev' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031minsize(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB1*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB1*/ p_admst d1=adms_admst_newpa(d0,ai,(p_adms)((p_variableprototype)d0->_item.p)->_minsize);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_minsize;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_variableprototype)ai->_item.p)->_minsize); d->_valueto=(void*)adms_variableprototype_valueto_minsize;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'minsize' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031variable(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB1*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*AAAA1*/ p_admst d1=adms_admst_newla(d0,ai,((p_variableprototype)d0->_item.p)->_variable);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_variable;
+  }
+  else if(e==admse_admsmain)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_admsmain)ai->_item.p)->_variable)); d->_valueto=(void*)adms_admsmain_valueto_variable;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_module)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_module)ai->_item.p)->_variable)); d->_valueto=(void*)adms_module_valueto_variable;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_analogfunction)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_analogfunction)ai->_item.p)->_variable)); d->_valueto=(void*)adms_analogfunction_valueto_variable;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_variableprototype)ai->_item.p)->_variable)); d->_valueto=(void*)adms_variableprototype_valueto_variable;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_array)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_array)ai->_item.p)->_variable); d->_valueto=(void*)adms_array_valueto_variable;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_expression)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_expression)ai->_item.p)->_variable)); d->_valueto=(void*)adms_expression_valueto_variable;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_blockvariable)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_blockvariable)ai->_item.p)->_variable)); d->_valueto=(void*)adms_blockvariable_valueto_variable;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_block)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_block)ai->_item.p)->_variable)); d->_valueto=(void*)adms_block_valueto_variable;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_evaluation)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_evaluation)ai->_item.p)->_variable)); d->_valueto=(void*)adms_evaluation_valueto_variable;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'variable' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031node(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_module)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_module)ai->_item.p)->_node)); d->_valueto=(void*)adms_module_valueto_node;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_nodealias)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_nodealias)ai->_item.p)->_node); d->_valueto=(void*)adms_nodealias_valueto_node;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'node' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031direction(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_node)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_node)ai->_item.p)->_direction); d->_valueto=(void*)adms_node_valueto_direction;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'direction' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031br1(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_simulator)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_simulator)ai->_item.p)->_br1); d->_valueto=(void*)adms_simulator_valueto_br1;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'br1' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031usedininstance(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB1*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB1*/ p_admst d1=adms_admst_newbe(d0,ai,((p_variableprototype)d0->_item.p)->_usedininstance);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_usedininstance;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_variableprototype)ai->_item.p)->_usedininstance); d->_valueto=(void*)adms_variableprototype_valueto_usedininstance;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'usedininstance' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031instantiator(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_module)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_module)ai->_item.p)->_instantiator)); d->_valueto=(void*)adms_module_valueto_instantiator;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_instance)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_instance)ai->_item.p)->_instantiator); d->_valueto=(void*)adms_instance_valueto_instantiator;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'instantiator' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031argc(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_admsmain)
+  {
+    p_admst d=adms_admst_newbi(ai,ai,((p_admsmain)ai->_item.p)->_argc); d->_valueto=(void*)adms_admsmain_valueto_argc;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'argc' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031transform(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_admsmain)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_admsmain)ai->_item.p)->_transform)); d->_valueto=(void*)adms_admsmain_valueto_transform;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'transform' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031access(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_nature)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_nature)ai->_item.p)->_access); d->_valueto=(void*)adms_nature_valueto_access;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'access' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031arg3(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_mapply_ternary)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_mapply_ternary)ai->_item.p)->_arg3); d->_valueto=(void*)adms_mapply_ternary_valueto_arg3;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'arg3' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031branch(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_module)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_module)ai->_item.p)->_branch)); d->_valueto=(void*)adms_module_valueto_branch;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_branchalias)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_branchalias)ai->_item.p)->_branch); d->_valueto=(void*)adms_branchalias_valueto_branch;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_source)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_source)ai->_item.p)->_branch); d->_valueto=(void*)adms_source_valueto_branch;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_probe)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_probe)ai->_item.p)->_branch); d->_valueto=(void*)adms_probe_valueto_branch;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'branch' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031index(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_array)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_array)ai->_item.p)->_index); d->_valueto=(void*)adms_array_valueto_index;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'index' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031filename(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_admsmain)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_admsmain)ai->_item.p)->_filename); d->_valueto=(void*)adms_admsmain_valueto_filename;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'filename' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031fatal(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_admsmain)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_admsmain)ai->_item.p)->_fatal); d->_valueto=(void*)adms_admsmain_valueto_fatal;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'fatal' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031warning(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_admsmain)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_admsmain)ai->_item.p)->_warning); d->_valueto=(void*)adms_admsmain_valueto_warning;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'warning' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031update(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_forloop)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_forloop)ai->_item.p)->_update); d->_valueto=(void*)adms_forloop_valueto_update;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'update' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031units(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_nature)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_nature)ai->_item.p)->_units); d->_valueto=(void*)adms_nature_valueto_units;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'units' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031dbg_xml(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_admsmain)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_admsmain)ai->_item.p)->_dbg_xml); d->_valueto=(void*)adms_admsmain_valueto_dbg_xml;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'dbg_xml' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031setinnoise(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB1*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB1*/ p_admst d1=adms_admst_newbe(d0,ai,((p_variableprototype)d0->_item.p)->_setinnoise);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_setinnoise;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_variableprototype)ai->_item.p)->_setinnoise); d->_valueto=(void*)adms_variableprototype_valueto_setinnoise;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'setinnoise' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031setininstance(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB1*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB1*/ p_admst d1=adms_admst_newbe(d0,ai,((p_variableprototype)d0->_item.p)->_setininstance);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_setininstance;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_variableprototype)ai->_item.p)->_setininstance); d->_valueto=(void*)adms_variableprototype_valueto_setininstance;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'setininstance' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031isstate(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB1*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB1*/ p_admst d1=adms_admst_newbe(d0,ai,((p_variableprototype)d0->_item.p)->_isstate);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_isstate;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_variableprototype)ai->_item.p)->_isstate); d->_valueto=(void*)adms_variableprototype_valueto_isstate;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'isstate' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031nodealias(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_module)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_module)ai->_item.p)->_nodealias)); d->_valueto=(void*)adms_module_valueto_nodealias;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'nodealias' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031simulator(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_admsmain)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_admsmain)ai->_item.p)->_simulator); d->_valueto=(void*)adms_admsmain_valueto_simulator;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'simulator' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031static(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  else if(e==admse_expression)
+    e=admse_math;
+  else if(e==admse_nilled)
+    e=admse_math;
+  else if(e==admse_assignment)
+    e=admse_math;
+  else if(e==admse_contribution)
+    e=admse_math;
+  else if(e==admse_conditional)
+    e=admse_math;
+  else if(e==admse_forloop)
+    e=admse_math;
+  else if(e==admse_whileloop)
+    e=admse_math;
+  else if(e==admse_case)
+    e=admse_math;
+  else if(e==admse_blockvariable)
+    e=admse_math;
+  else if(e==admse_block)
+    e=admse_math;
+  else if(e==admse_callfunction)
+    e=admse_math;
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB1*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB1*/ p_admst d1=adms_admst_newbe(d0,ai,((p_variableprototype)d0->_item.p)->_static);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_static;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_variableprototype)ai->_item.p)->_static); d->_valueto=(void*)adms_variableprototype_valueto_static;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_source)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_source)ai->_item.p)->_static); d->_valueto=(void*)adms_source_valueto_static;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_jacobian)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_jacobian)ai->_item.p)->_static); d->_valueto=(void*)adms_jacobian_valueto_static;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_math)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_math)ai->_item.p)->_static); d->_valueto=(void*)adms_math_valueto_static;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'static' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031discipline(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_admsmain)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_admsmain)ai->_item.p)->_discipline)); d->_valueto=(void*)adms_admsmain_valueto_discipline;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_node)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_node)ai->_item.p)->_discipline); d->_valueto=(void*)adms_node_valueto_discipline;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_branch)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_branch)ai->_item.p)->_discipline); d->_valueto=(void*)adms_branch_valueto_discipline;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_source)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_source)ai->_item.p)->_discipline); d->_valueto=(void*)adms_source_valueto_discipline;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_probe)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_probe)ai->_item.p)->_discipline); d->_valueto=(void*)adms_probe_valueto_discipline;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'discipline' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031analogfunction(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_module)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_module)ai->_item.p)->_analogfunction)); d->_valueto=(void*)adms_module_valueto_analogfunction;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'analogfunction' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031seen(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_probe)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_probe)ai->_item.p)->_seen); d->_valueto=(void*)adms_probe_valueto_seen;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'seen' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031debug(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_admsmain)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_admsmain)ai->_item.p)->_debug); d->_valueto=(void*)adms_admsmain_valueto_debug;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'debug' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031argv(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_admsmain)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_admsmain)ai->_item.p)->_argv)); d->_valueto=(void*)adms_admsmain_valueto_argv;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'argv' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031valueof(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_admsmain)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_admsmain)ai->_item.p)->_valueof)); d->_valueto=(void*)adms_admsmain_valueto_valueof;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'valueof' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031contribution(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_module)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_module)ai->_item.p)->_contribution)); d->_valueto=(void*)adms_module_valueto_contribution;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'contribution' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031value(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_number)
+  {
+    /*BBBB1*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_number)ai->_item.p)->_lexval); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_number_valueto_lexval;
+    /*BBBB1*/ p_admst d1=adms_admst_newbs(d0,ai,((p_lexval)d0->_item.p)->_string);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_lexval_valueto_string;
+  }
+  else if(e==admse_math)
+  {
+    p_admst d=adms_admst_newbr(ai,ai,((p_math)ai->_item.p)->_value); d->_valueto=(void*)adms_math_valueto_value;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_string)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_string)ai->_item.p)->_value); d->_valueto=(void*)adms_string_valueto_value;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_expression)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_expression)ai->_item.p)->_value); d->_valueto=(void*)adms_expression_valueto_value;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_instanceparameter)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_instanceparameter)ai->_item.p)->_value); d->_valueto=(void*)adms_instanceparameter_valueto_value;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_return)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_return)ai->_item.p)->_value); d->_valueto=(void*)adms_return_valueto_value;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_attribute)
+  {
+    p_admst d=adms_admst_newpc(ai,ai,(p_attribute)ai->_item.p);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'value' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031nodefrommodule(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_instancenode)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_instancenode)ai->_item.p)->_nodefrommodule); d->_valueto=(void*)adms_instancenode_valueto_nodefrommodule;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'nodefrommodule' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031ddxprobe(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB1*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*AAAA1*/ p_admst d1=adms_admst_newla(d0,ai,((p_variableprototype)d0->_item.p)->_ddxprobe);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_ddxprobe;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_variableprototype)ai->_item.p)->_ddxprobe)); d->_valueto=(void*)adms_variableprototype_valueto_ddxprobe;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'ddxprobe' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031setinmodel(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB1*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB1*/ p_admst d1=adms_admst_newbe(d0,ai,((p_variableprototype)d0->_item.p)->_setinmodel);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_setinmodel;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_variableprototype)ai->_item.p)->_setinmodel); d->_valueto=(void*)adms_variableprototype_valueto_setinmodel;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'setinmodel' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031c(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_lexval)
+  {
+    p_admst d=adms_admst_newbi(ai,ai,((p_lexval)ai->_item.p)->_c); d->_valueto=(void*)adms_lexval_valueto_c;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'c' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031nodefrominstantiator(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_instancenode)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_instancenode)ai->_item.p)->_nodefrominstantiator); d->_valueto=(void*)adms_instancenode_valueto_nodefrominstantiator;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'nodefrominstantiator' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031setininitial_step(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB1*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB1*/ p_admst d1=adms_admst_newbe(d0,ai,((p_variableprototype)d0->_item.p)->_setininitial_step);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_setininitial_step;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_variableprototype)ai->_item.p)->_setininitial_step); d->_valueto=(void*)adms_variableprototype_valueto_setininitial_step;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'setininitial_step' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031pnode(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_branch)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_branch)ai->_item.p)->_pnode); d->_valueto=(void*)adms_branch_valueto_pnode;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'pnode' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031itransforminsideapplytemplate(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_admsmain)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_admsmain)ai->_item.p)->_itransforminsideapplytemplate)); d->_valueto=(void*)adms_admsmain_valueto_itransforminsideapplytemplate;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'itransforminsideapplytemplate' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031type(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB1*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB1*/ p_admst d1=adms_admst_newbe(d0,ai,((p_variableprototype)d0->_item.p)->_type);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_type;
+  }
+  else if(e==admse_analogfunction)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_analogfunction)ai->_item.p)->_type); d->_valueto=(void*)adms_analogfunction_valueto_type;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_variableprototype)ai->_item.p)->_type); d->_valueto=(void*)adms_variableprototype_valueto_type;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_source)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_source)ai->_item.p)->_type); d->_valueto=(void*)adms_source_valueto_type;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_range)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_range)ai->_item.p)->_type); d->_valueto=(void*)adms_range_valueto_type;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'type' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031whitenoise(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_source)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_source)ai->_item.p)->_whitenoise); d->_valueto=(void*)adms_source_valueto_whitenoise;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_contribution)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_contribution)ai->_item.p)->_whitenoise); d->_valueto=(void*)adms_contribution_valueto_whitenoise;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'whitenoise' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031branchalias(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_module)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_module)ai->_item.p)->_branchalias)); d->_valueto=(void*)adms_module_valueto_branchalias;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_contribution)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_contribution)ai->_item.p)->_branchalias); d->_valueto=(void*)adms_contribution_valueto_branchalias;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'branchalias' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031sizetype(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB1*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB1*/ p_admst d1=adms_admst_newbe(d0,ai,((p_variableprototype)d0->_item.p)->_sizetype);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_sizetype;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_variableprototype)ai->_item.p)->_sizetype); d->_valueto=(void*)adms_variableprototype_valueto_sizetype;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'sizetype' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031definition(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_function)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_function)ai->_item.p)->_definition); d->_valueto=(void*)adms_function_valueto_definition;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'definition' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031dependency(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  else if(e==admse_string)
+    e=admse_math;
+  else if(e==admse_subexpression)
+    e=admse_math;
+  else if(e==admse_mapply_unary)
+    e=admse_math;
+  else if(e==admse_mapply_binary)
+    e=admse_math;
+  else if(e==admse_mapply_ternary)
+    e=admse_math;
+  else if(e==admse_number)
+    e=admse_math;
+  else if(e==admse_function)
+    e=admse_math;
+  else if(e==admse_variable)
+    e=admse_math;
+  else if(e==admse_array)
+    e=admse_math;
+  else if(e==admse_probe)
+    e=admse_math;
+  else if(e==admse_expression)
+    e=admse_math;
+  else if(e==admse_instance)
+    e=admse_math;
+  else if(e==admse_instanceparameter)
+    e=admse_math;
+  else if(e==admse_instancenode)
+    e=admse_math;
+  else if(e==admse_nilled)
+    e=admse_math;
+  else if(e==admse_assignment)
+    e=admse_math;
+  else if(e==admse_contribution)
+    e=admse_math;
+  else if(e==admse_conditional)
+    e=admse_math;
+  else if(e==admse_forloop)
+    e=admse_math;
+  else if(e==admse_whileloop)
+    e=admse_math;
+  else if(e==admse_case)
+    e=admse_math;
+  else if(e==admse_caseitem)
+    e=admse_math;
+  else if(e==admse_blockvariable)
+    e=admse_math;
+  else if(e==admse_block)
+    e=admse_math;
+  else if(e==admse_callfunction)
+    e=admse_math;
+  if(0) {}
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_variableprototype)ai->_item.p)->_dependency); d->_valueto=(void*)adms_variableprototype_valueto_dependency;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_math)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_math)ai->_item.p)->_dependency); d->_valueto=(void*)adms_math_valueto_dependency;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'dependency' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031terminal(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_instance)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_instance)ai->_item.p)->_terminal)); d->_valueto=(void*)adms_instance_valueto_terminal;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'terminal' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031tmp1(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_simulator)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_simulator)ai->_item.p)->_tmp1); d->_valueto=(void*)adms_simulator_valueto_tmp1;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'tmp1' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031abstol(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_nature)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_nature)ai->_item.p)->_abstol); d->_valueto=(void*)adms_nature_valueto_abstol;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'abstol' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031whileblock(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_whileloop)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_whileloop)ai->_item.p)->_whileblock); d->_valueto=(void*)adms_whileloop_valueto_whileblock;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'whileblock' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031parametertype(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB1*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB1*/ p_admst d1=adms_admst_newbe(d0,ai,((p_variableprototype)d0->_item.p)->_parametertype);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_parametertype;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_variableprototype)ai->_item.p)->_parametertype); d->_valueto=(void*)adms_variableprototype_valueto_parametertype;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'parametertype' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031lhs(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_assignment)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_assignment)ai->_item.p)->_lhs); d->_valueto=(void*)adms_assignment_valueto_lhs;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_contribution)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_contribution)ai->_item.p)->_lhs); d->_valueto=(void*)adms_contribution_valueto_lhs;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'lhs' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031conditional(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_module)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_module)ai->_item.p)->_conditional)); d->_valueto=(void*)adms_module_valueto_conditional;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'conditional' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031nature(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_admsmain)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_admsmain)ai->_item.p)->_nature)); d->_valueto=(void*)adms_admsmain_valueto_nature;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_source)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_source)ai->_item.p)->_nature); d->_valueto=(void*)adms_source_valueto_nature;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_probe)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_probe)ai->_item.p)->_nature); d->_valueto=(void*)adms_probe_valueto_nature;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'nature' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031while(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_whileloop)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_whileloop)ai->_item.p)->_while); d->_valueto=(void*)adms_whileloop_valueto_while;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'while' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031hasVoltageDependentFunction(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_expression)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_expression)ai->_item.p)->_hasVoltageDependentFunction); d->_valueto=(void*)adms_expression_valueto_hasVoltageDependentFunction;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'hasVoltageDependentFunction' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031block(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB1*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB1*/ p_admst d1=adms_admst_newpa(d0,ai,(p_adms)((p_variableprototype)d0->_item.p)->_block);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_block;
+  }
+  else if(e==admse_module)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_module)ai->_item.p)->_block)); d->_valueto=(void*)adms_module_valueto_block;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_variableprototype)ai->_item.p)->_block); d->_valueto=(void*)adms_variableprototype_valueto_block;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_blockvariable)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_blockvariable)ai->_item.p)->_block); d->_valueto=(void*)adms_blockvariable_valueto_block;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_block)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_block)ai->_item.p)->_block); d->_valueto=(void*)adms_block_valueto_block;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'block' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031condition(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_forloop)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_forloop)ai->_item.p)->_condition); d->_valueto=(void*)adms_forloop_valueto_condition;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_caseitem)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_caseitem)ai->_item.p)->_condition)); d->_valueto=(void*)adms_caseitem_valueto_condition;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'condition' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031datatype(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_list)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_list)ai->_item.p)->_datatype); d->_valueto=(void*)adms_list_valueto_datatype;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'datatype' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031tree(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_analogfunction)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_analogfunction)ai->_item.p)->_tree); d->_valueto=(void*)adms_analogfunction_valueto_tree;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_expression)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_expression)ai->_item.p)->_tree); d->_valueto=(void*)adms_expression_valueto_tree;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'tree' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031input(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB1*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB1*/ p_admst d1=adms_admst_newbe(d0,ai,((p_variableprototype)d0->_item.p)->_input);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_input;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_variableprototype)ai->_item.p)->_input); d->_valueto=(void*)adms_variableprototype_valueto_input;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'input' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031potential(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_discipline)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_discipline)ai->_item.p)->_potential); d->_valueto=(void*)adms_discipline_valueto_potential;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'potential' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031string(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_lexval)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_lexval)ai->_item.p)->_string); d->_valueto=(void*)adms_lexval_valueto_string;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'string' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031supboundtype(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_range)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_range)ai->_item.p)->_supboundtype); d->_valueto=(void*)adms_range_valueto_supboundtype;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'supboundtype' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031ddx(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_simulator)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_simulator)ai->_item.p)->_ddx); d->_valueto=(void*)adms_simulator_valueto_ddx;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'ddx' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031usedininitial_step(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB1*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB1*/ p_admst d1=adms_admst_newbe(d0,ai,((p_variableprototype)d0->_item.p)->_usedininitial_step);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_usedininitial_step;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_variableprototype)ai->_item.p)->_usedininitial_step); d->_valueto=(void*)adms_variableprototype_valueto_usedininitial_step;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'usedininitial_step' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031infboundtype(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_range)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_range)ai->_item.p)->_infboundtype); d->_valueto=(void*)adms_range_valueto_infboundtype;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'infboundtype' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031ddt_nature(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_nature)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_nature)ai->_item.p)->_ddt_nature); d->_valueto=(void*)adms_nature_valueto_ddt_nature;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'ddt_nature' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031attribute(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB1*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*AAAA1*/ p_admst d1=adms_admst_newla(d0,ai,((p_variableprototype)d0->_item.p)->_attribute);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_attribute;
+  }
+  else if(e==admse_module)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_module)ai->_item.p)->_attribute)); d->_valueto=(void*)adms_module_valueto_attribute;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_analogfunction)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_analogfunction)ai->_item.p)->_attribute)); d->_valueto=(void*)adms_analogfunction_valueto_attribute;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_node)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_node)ai->_item.p)->_attribute)); d->_valueto=(void*)adms_node_valueto_attribute;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_branch)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_branch)ai->_item.p)->_attribute)); d->_valueto=(void*)adms_branch_valueto_attribute;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_variableprototype)ai->_item.p)->_attribute)); d->_valueto=(void*)adms_variableprototype_valueto_attribute;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_source)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_source)ai->_item.p)->_attribute)); d->_valueto=(void*)adms_source_valueto_attribute;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_math)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_math)ai->_item.p)->_attribute)); d->_valueto=(void*)adms_math_valueto_attribute;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_assignment)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_assignment)ai->_item.p)->_attribute)); d->_valueto=(void*)adms_assignment_valueto_attribute;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_contribution)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_contribution)ai->_item.p)->_attribute)); d->_valueto=(void*)adms_contribution_valueto_attribute;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_block)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_block)ai->_item.p)->_attribute)); d->_valueto=(void*)adms_block_valueto_attribute;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'attribute' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031package_string(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_simulator)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_simulator)ai->_item.p)->_package_string); d->_valueto=(void*)adms_simulator_valueto_package_string;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'package_string' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031row(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_jacobian)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_jacobian)ai->_item.p)->_row); d->_valueto=(void*)adms_jacobian_valueto_row;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'row' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031usedinnoise(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB1*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB1*/ p_admst d1=adms_admst_newbe(d0,ai,((p_variableprototype)d0->_item.p)->_usedinnoise);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_usedinnoise;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_variableprototype)ai->_item.p)->_usedinnoise); d->_valueto=(void*)adms_variableprototype_valueto_usedinnoise;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'usedinnoise' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031parameterset(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_instance)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_instance)ai->_item.p)->_parameterset)); d->_valueto=(void*)adms_instance_valueto_parameterset;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'parameterset' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031scope(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB1*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB1*/ p_admst d1=adms_admst_newbe(d0,ai,((p_variableprototype)d0->_item.p)->_scope);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_scope;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_variableprototype)ai->_item.p)->_scope); d->_valueto=(void*)adms_variableprototype_valueto_scope;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'scope' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031base(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_nature)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_nature)ai->_item.p)->_base); d->_valueto=(void*)adms_nature_valueto_base;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'base' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031output(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB1*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB1*/ p_admst d1=adms_admst_newbe(d0,ai,((p_variableprototype)d0->_item.p)->_output);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_output;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_variableprototype)ai->_item.p)->_output); d->_valueto=(void*)adms_variableprototype_valueto_output;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'output' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031usedinfinal(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB1*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB1*/ p_admst d1=adms_admst_newbe(d0,ai,((p_variableprototype)d0->_item.p)->_usedinfinal);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_usedinfinal;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_variableprototype)ai->_item.p)->_usedinfinal); d->_valueto=(void*)adms_variableprototype_valueto_usedinfinal;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'usedinfinal' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031arg2(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_mapply_binary)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_mapply_binary)ai->_item.p)->_arg2); d->_valueto=(void*)adms_mapply_binary_valueto_arg2;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_mapply_ternary)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_mapply_ternary)ai->_item.p)->_arg2); d->_valueto=(void*)adms_mapply_ternary_valueto_arg2;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'arg2' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031blockvariable(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_module)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_module)ai->_item.p)->_blockvariable)); d->_valueto=(void*)adms_module_valueto_blockvariable;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'blockvariable' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031arguments(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_function)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_function)ai->_item.p)->_arguments)); d->_valueto=(void*)adms_function_valueto_arguments;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'arguments' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031br2(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_simulator)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_simulator)ai->_item.p)->_br2); d->_valueto=(void*)adms_simulator_valueto_br2;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'br2' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031probe(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB1*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*AAAA1*/ p_admst d1=adms_admst_newla(d0,ai,((p_variableprototype)d0->_item.p)->_probe);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_probe;
+  }
+  else if(e==admse_simulator)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_simulator)ai->_item.p)->_probe); d->_valueto=(void*)adms_simulator_valueto_probe;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_module)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_module)ai->_item.p)->_probe)); d->_valueto=(void*)adms_module_valueto_probe;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_variableprototype)ai->_item.p)->_probe)); d->_valueto=(void*)adms_variableprototype_valueto_probe;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_source)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_source)ai->_item.p)->_probe)); d->_valueto=(void*)adms_source_valueto_probe;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_expression)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_expression)ai->_item.p)->_probe)); d->_valueto=(void*)adms_expression_valueto_probe;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_block)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_block)ai->_item.p)->_probe)); d->_valueto=(void*)adms_block_valueto_probe;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'probe' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031infexpr(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_range)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_range)ai->_item.p)->_infexpr); d->_valueto=(void*)adms_range_valueto_infexpr;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'infexpr' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031unique_id(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_function)
+  {
+    p_admst d=adms_admst_newbi(ai,ai,((p_function)ai->_item.p)->_unique_id); d->_valueto=(void*)adms_function_valueto_unique_id;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'unique_id' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031source(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_module)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_module)ai->_item.p)->_source)); d->_valueto=(void*)adms_module_valueto_source;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_probe)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_probe)ai->_item.p)->_source); d->_valueto=(void*)adms_probe_valueto_source;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'source' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031function(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_expression)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_expression)ai->_item.p)->_function)); d->_valueto=(void*)adms_expression_valueto_function;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_callfunction)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_callfunction)ai->_item.p)->_function); d->_valueto=(void*)adms_callfunction_valueto_function;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'function' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031rhs(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_assignment)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_assignment)ai->_item.p)->_rhs); d->_valueto=(void*)adms_assignment_valueto_rhs;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_contribution)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_contribution)ai->_item.p)->_rhs); d->_valueto=(void*)adms_contribution_valueto_rhs;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'rhs' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031range(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB1*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*AAAA1*/ p_admst d1=adms_admst_newla(d0,ai,((p_variableprototype)d0->_item.p)->_range);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_range;
+  }
+  else if(e==admse_module)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_module)ai->_item.p)->_range)); d->_valueto=(void*)adms_module_valueto_range;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_variableprototype)ai->_item.p)->_range)); d->_valueto=(void*)adms_variableprototype_valueto_range;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'range' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031itransform(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_admsmain)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_admsmain)ai->_item.p)->_itransform)); d->_valueto=(void*)adms_admsmain_valueto_itransform;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'itransform' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031ddt_name(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_nature)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_nature)ai->_item.p)->_ddt_name); d->_valueto=(void*)adms_nature_valueto_ddt_name;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'ddt_name' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031case(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_module)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_module)ai->_item.p)->_case)); d->_valueto=(void*)adms_module_valueto_case;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_case)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_case)ai->_item.p)->_case); d->_valueto=(void*)adms_case_valueto_case;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'case' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031nnode(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_branch)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_branch)ai->_item.p)->_nnode); d->_valueto=(void*)adms_branch_valueto_nnode;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'nnode' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031domain(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_discipline)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_discipline)ai->_item.p)->_domain); d->_valueto=(void*)adms_discipline_valueto_domain;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'domain' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031developer(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_simulator)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_simulator)ai->_item.p)->_developer); d->_valueto=(void*)adms_simulator_valueto_developer;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'developer' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031error(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_admsmain)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_admsmain)ai->_item.p)->_error); d->_valueto=(void*)adms_admsmain_valueto_error;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'error' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031module(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB1*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB1*/ p_admst d1=adms_admst_newpa(d0,ai,(p_adms)((p_variableprototype)d0->_item.p)->_module);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_module;
+  }
+  else if(e==admse_admsmain)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_admsmain)ai->_item.p)->_module)); d->_valueto=(void*)adms_admsmain_valueto_module;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_analogfunction)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_analogfunction)ai->_item.p)->_module); d->_valueto=(void*)adms_analogfunction_valueto_module;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_nodealias)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_nodealias)ai->_item.p)->_module); d->_valueto=(void*)adms_nodealias_valueto_module;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_node)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_node)ai->_item.p)->_module); d->_valueto=(void*)adms_node_valueto_module;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_branchalias)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_branchalias)ai->_item.p)->_module); d->_valueto=(void*)adms_branchalias_valueto_module;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_branch)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_branch)ai->_item.p)->_module); d->_valueto=(void*)adms_branch_valueto_module;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_variableprototype)ai->_item.p)->_module); d->_valueto=(void*)adms_variableprototype_valueto_module;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_source)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_source)ai->_item.p)->_module); d->_valueto=(void*)adms_source_valueto_module;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_range)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_range)ai->_item.p)->_module); d->_valueto=(void*)adms_range_valueto_module;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_jacobian)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_jacobian)ai->_item.p)->_module); d->_valueto=(void*)adms_jacobian_valueto_module;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_probe)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_probe)ai->_item.p)->_module); d->_valueto=(void*)adms_probe_valueto_module;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_expression)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_expression)ai->_item.p)->_module); d->_valueto=(void*)adms_expression_valueto_module;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_instance)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_instance)ai->_item.p)->_module); d->_valueto=(void*)adms_instance_valueto_module;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_nilled)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_nilled)ai->_item.p)->_module); d->_valueto=(void*)adms_nilled_valueto_module;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_assignment)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_assignment)ai->_item.p)->_module); d->_valueto=(void*)adms_assignment_valueto_module;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_contribution)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_contribution)ai->_item.p)->_module); d->_valueto=(void*)adms_contribution_valueto_module;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_conditional)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_conditional)ai->_item.p)->_module); d->_valueto=(void*)adms_conditional_valueto_module;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_forloop)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_forloop)ai->_item.p)->_module); d->_valueto=(void*)adms_forloop_valueto_module;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_whileloop)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_whileloop)ai->_item.p)->_module); d->_valueto=(void*)adms_whileloop_valueto_module;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_case)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_case)ai->_item.p)->_module); d->_valueto=(void*)adms_case_valueto_module;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_block)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_block)ai->_item.p)->_module); d->_valueto=(void*)adms_block_valueto_module;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_callfunction)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_callfunction)ai->_item.p)->_module); d->_valueto=(void*)adms_callfunction_valueto_module;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'module' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031info(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_admsmain)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_admsmain)ai->_item.p)->_info); d->_valueto=(void*)adms_admsmain_valueto_info;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'info' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031derivate(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB1*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB1*/ p_admst d1=adms_admst_newbe(d0,ai,((p_variableprototype)d0->_item.p)->_derivate);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_derivate;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_variableprototype)ai->_item.p)->_derivate); d->_valueto=(void*)adms_variableprototype_valueto_derivate;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'derivate' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031curfilename(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_admsmain)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_admsmain)ai->_item.p)->_curfilename); d->_valueto=(void*)adms_admsmain_valueto_curfilename;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'curfilename' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031arraydefault(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_variableprototype)ai->_item.p)->_arraydefault)); d->_valueto=(void*)adms_variableprototype_valueto_arraydefault;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'arraydefault' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031fullfilename(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_admsmain)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_admsmain)ai->_item.p)->_fullfilename); d->_valueto=(void*)adms_admsmain_valueto_fullfilename;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'fullfilename' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031package_bugreport(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_simulator)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_simulator)ai->_item.p)->_package_bugreport); d->_valueto=(void*)adms_simulator_valueto_package_bugreport;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'package_bugreport' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031then(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_conditional)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_conditional)ai->_item.p)->_then); d->_valueto=(void*)adms_conditional_valueto_then;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'then' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031callfunction(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_module)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_module)ai->_item.p)->_callfunction)); d->_valueto=(void*)adms_module_valueto_callfunction;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'callfunction' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031switch(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_source)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_source)ai->_item.p)->_switch); d->_valueto=(void*)adms_source_valueto_switch;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'switch' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031fullname(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_simulator)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_simulator)ai->_item.p)->_fullname); d->_valueto=(void*)adms_simulator_valueto_fullname;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'fullname' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031vcount(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB1*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB1*/ p_admst d1=adms_admst_newbi(d0,ai,((p_variableprototype)d0->_item.p)->_vcount);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_vcount;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbi(ai,ai,((p_variableprototype)ai->_item.p)->_vcount); d->_valueto=(void*)adms_variableprototype_valueto_vcount;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'vcount' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031OPdependent(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB1*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB1*/ p_admst d1=adms_admst_newbe(d0,ai,((p_variableprototype)d0->_item.p)->_OPdependent);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_OPdependent;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_variableprototype)ai->_item.p)->_OPdependent); d->_valueto=(void*)adms_variableprototype_valueto_OPdependent;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'OPdependent' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031dbg_mem(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_admsmain)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_admsmain)ai->_item.p)->_dbg_mem); d->_valueto=(void*)adms_admsmain_valueto_dbg_mem;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'dbg_mem' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031item(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_list)
+  {
+    p_admst d=adms_admst_newln(ai,ai,((p_list)ai->_item.p)->_item); d->_valueto=(void*)adms_list_valueto_item;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_block)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_block)ai->_item.p)->_item)); d->_valueto=(void*)adms_block_valueto_item;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'item' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031caseitem(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_case)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_case)ai->_item.p)->_caseitem)); d->_valueto=(void*)adms_case_valueto_caseitem;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'caseitem' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031expression(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_module)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_module)ai->_item.p)->_expression)); d->_valueto=(void*)adms_module_valueto_expression;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_subexpression)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_subexpression)ai->_item.p)->_expression); d->_valueto=(void*)adms_subexpression_valueto_expression;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'expression' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031usedinevaluate(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB1*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB1*/ p_admst d1=adms_admst_newbe(d0,ai,((p_variableprototype)d0->_item.p)->_usedinevaluate);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_usedinevaluate;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_variableprototype)ai->_item.p)->_usedinevaluate); d->_valueto=(void*)adms_variableprototype_valueto_usedinevaluate;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'usedinevaluate' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031prototype(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); d->_valueto=(void*)adms_variable_valueto_prototype;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'prototype' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031package_version(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_simulator)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_simulator)ai->_item.p)->_package_version); d->_valueto=(void*)adms_simulator_valueto_package_version;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'package_version' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031column(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_jacobian)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_jacobian)ai->_item.p)->_column); d->_valueto=(void*)adms_jacobian_valueto_column;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'column' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031idt_name(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_nature)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_nature)ai->_item.p)->_idt_name); d->_valueto=(void*)adms_nature_valueto_idt_name;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'idt_name' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031invtransform(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_admsmain)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_admsmain)ai->_item.p)->_invtransform)); d->_valueto=(void*)adms_admsmain_valueto_invtransform;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'invtransform' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031forloop(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_module)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_module)ai->_item.p)->_forloop)); d->_valueto=(void*)adms_module_valueto_forloop;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'forloop' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031evaluation(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_module)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_module)ai->_item.p)->_evaluation); d->_valueto=(void*)adms_module_valueto_evaluation;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'evaluation' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031infinity(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_expression)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_expression)ai->_item.p)->_infinity); d->_valueto=(void*)adms_expression_valueto_infinity;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'infinity' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031assignment(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB1*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*AAAA1*/ p_admst d1=adms_admst_newla(d0,ai,((p_variableprototype)d0->_item.p)->_assignment);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_assignment;
+  }
+  else if(e==admse_module)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_module)ai->_item.p)->_assignment)); d->_valueto=(void*)adms_module_valueto_assignment;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_variableprototype)ai->_item.p)->_assignment)); d->_valueto=(void*)adms_variableprototype_valueto_assignment;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'assignment' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031location(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_node)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_node)ai->_item.p)->_location); d->_valueto=(void*)adms_node_valueto_location;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'location' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031else(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_conditional)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_conditional)ai->_item.p)->_else); d->_valueto=(void*)adms_conditional_valueto_else;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'else' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031default(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB1*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB1*/ p_admst d1=adms_admst_newpa(d0,ai,(p_adms)((p_variableprototype)d0->_item.p)->_default);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_default;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_variableprototype)ai->_item.p)->_default); d->_valueto=(void*)adms_variableprototype_valueto_default;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'default' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031parameter(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_instanceparameter)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_instanceparameter)ai->_item.p)->_parameter); d->_valueto=(void*)adms_instanceparameter_valueto_parameter;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'parameter' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031fpos(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_admsmain)
+  {
+    p_admst d=adms_admst_newbi(ai,ai,((p_admsmain)ai->_item.p)->_fpos); d->_valueto=(void*)adms_admsmain_valueto_fpos;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'fpos' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031class(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_function)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_function)ai->_item.p)->_class); d->_valueto=(void*)adms_function_valueto_class;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'class' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031TemperatureDependent(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB1*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB1*/ p_admst d1=adms_admst_newbe(d0,ai,((p_variableprototype)d0->_item.p)->_TemperatureDependent);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_TemperatureDependent;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_variableprototype)ai->_item.p)->_TemperatureDependent); d->_valueto=(void*)adms_variableprototype_valueto_TemperatureDependent;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_expression)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_expression)ai->_item.p)->_TemperatureDependent); d->_valueto=(void*)adms_expression_valueto_TemperatureDependent;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'TemperatureDependent' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031alias(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB1*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*AAAA1*/ p_admst d1=adms_admst_newla(d0,ai,((p_variableprototype)d0->_item.p)->_alias);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_alias;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_variableprototype)ai->_item.p)->_alias)); d->_valueto=(void*)adms_variableprototype_valueto_alias;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'alias' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031flickernoise(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_source)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_source)ai->_item.p)->_flickernoise); d->_valueto=(void*)adms_source_valueto_flickernoise;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_contribution)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_contribution)ai->_item.p)->_flickernoise); d->_valueto=(void*)adms_contribution_valueto_flickernoise;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'flickernoise' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031whileloop(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_module)
+  {
+    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_module)ai->_item.p)->_whileloop)); d->_valueto=(void*)adms_module_valueto_whileloop;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'whileloop' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031package_tarname(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_simulator)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_simulator)ai->_item.p)->_package_tarname); d->_valueto=(void*)adms_simulator_valueto_package_tarname;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'package_tarname' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031setinevaluate(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB1*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB1*/ p_admst d1=adms_admst_newbe(d0,ai,((p_variableprototype)d0->_item.p)->_setinevaluate);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_setinevaluate;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_variableprototype)ai->_item.p)->_setinevaluate); d->_valueto=(void*)adms_variableprototype_valueto_setinevaluate;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'setinevaluate' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031analog(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_module)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_module)ai->_item.p)->_analog); d->_valueto=(void*)adms_module_valueto_analog;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'analog' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031vlast(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB1*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB1*/ p_admst d1=adms_admst_newpa(d0,ai,(p_adms)((p_variableprototype)d0->_item.p)->_vlast);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_vlast;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_variableprototype)ai->_item.p)->_vlast); d->_valueto=(void*)adms_variableprototype_valueto_vlast;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'vlast' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031lexval(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB1*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB1*/ p_admst d1=adms_admst_newpa(d0,ai,(p_adms)((p_variableprototype)d0->_item.p)->_lexval);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_lexval;
+  }
+  else if(e==admse_analogfunction)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_analogfunction)ai->_item.p)->_lexval); d->_valueto=(void*)adms_analogfunction_valueto_lexval;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_variableprototype)ai->_item.p)->_lexval); d->_valueto=(void*)adms_variableprototype_valueto_lexval;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_number)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_number)ai->_item.p)->_lexval); d->_valueto=(void*)adms_number_valueto_lexval;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_function)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_function)ai->_item.p)->_lexval); d->_valueto=(void*)adms_function_valueto_lexval;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_assignment)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_assignment)ai->_item.p)->_lexval); d->_valueto=(void*)adms_assignment_valueto_lexval;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_contribution)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_contribution)ai->_item.p)->_lexval); d->_valueto=(void*)adms_contribution_valueto_lexval;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else if(e==admse_block)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_block)ai->_item.p)->_lexval); d->_valueto=(void*)adms_block_valueto_lexval;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'lexval' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031f(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_lexval)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_lexval)ai->_item.p)->_f); d->_valueto=(void*)adms_lexval_valueto_f;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'f' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031usage(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_admsmain)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_admsmain)ai->_item.p)->_usage); d->_valueto=(void*)adms_admsmain_valueto_usage;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'usage' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031idt_nature(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_nature)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_nature)ai->_item.p)->_idt_nature); d->_valueto=(void*)adms_nature_valueto_idt_nature;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'idt_nature' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031curline(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_admsmain)
+  {
+    p_admst d=adms_admst_newbi(ai,ai,((p_admsmain)ai->_item.p)->_curline); d->_valueto=(void*)adms_admsmain_valueto_curline;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'curline' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031package_name(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_simulator)
+  {
+    p_admst d=adms_admst_newbs(ai,ai,((p_simulator)ai->_item.p)->_package_name); d->_valueto=(void*)adms_simulator_valueto_package_name;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'package_name' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031l(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_lexval)
+  {
+    p_admst d=adms_admst_newbi(ai,ai,((p_lexval)ai->_item.p)->_l); d->_valueto=(void*)adms_lexval_valueto_l;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'l' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031initial(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_forloop)
+  {
+    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_forloop)ai->_item.p)->_initial); d->_valueto=(void*)adms_forloop_valueto_initial;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'initial' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+static void location031usedinmodel(p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst ai=aread(dot);
+  admse e;
+  if(ai)
+    e=ai->_pseudo;
+  else
+  {
+    p_admst d=adms_admst_newpa(ai,ai,NULL);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position; return;
+  }
+  if(0) {}
+  if(0) {}
+  else if(e==admse_variable)
+  {
+    /*BBBB1*/ p_admst d0=adms_admst_newpa(ai,ai,(p_adms)((p_variable)ai->_item.p)->_prototype); adms_slist_push(&p->_aliasadmst,(p_adms)d0); d0->_valueto=(void*)adms_variable_valueto_prototype;
+    /*BBBB1*/ p_admst d1=adms_admst_newbe(d0,ai,((p_variableprototype)d0->_item.p)->_usedinmodel);if(d1->_preva) d1->_preva->_nexta=d1->_nexta,d1->_preva=NULL; if(d1->_nexta) d1->_nexta->_preva=d1->_preva,d1->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d1; else p->_an->_nexta=d1,d1->_preva=p->_an,p->_an=d1;d1->_position=++p->_position;d1->_valueto=(void*)adms_variableprototype_valueto_usedinmodel;
+  }
+  else if(e==admse_variableprototype)
+  {
+    p_admst d=adms_admst_newbe(ai,ai,((p_variableprototype)ai->_item.p)->_usedinmodel); d->_valueto=(void*)adms_variableprototype_valueto_usedinmodel;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  else
+  {
+    p_admst d=adms_admst_newpn(ai,ai,ai);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    adms_message_error(("%s: 'usedinmodel' bad attribute\n",adms_transform_uid(p->_transform)))
+  }
+}
+
+
+static void groupage (void) {}
+static void location04 (void) {}
+static void location05 (void) {}
+
+p_slist globalfileoutputlist=NULL;
+int break_found=0;
+
+FILE *OUT (void)
+{
+  return globalfileoutputlist?(FILE*)globalfileoutputlist->data:stdout;
+}
+p_transform lookfortemplates(p_transform mytransform,const char*match)
+{
+  p_slist li;
+  p_transform parent=root()->_itransform?((p_itransform)root()->_itransform->data)->_originalcall:mytransform;
+  while(parent)
+  {
+    p_slist l;
+    for(l=parent->_templates;l;l=l->next)
+      if(!strcmp(((p_admst)((p_transform)l->data)->_textmatch->_token->data)->_item.s,match))
+        return (p_transform)l->data;
+    parent=parent->_parent;
+  }
+  for(li=root()->_invtransform;li;li=li->next)
+  {
+    p_slist l;
+    parent=(p_transform)li->data;
+    for(l=parent->_templates;l;l=l->next)
+      if(!strcmp(((p_admst)((p_transform)l->data)->_textmatch->_token->data)->_item.s,match))
+        return (p_transform)l->data;
+  }
+  parent=mytransform;
+  while(parent)
+  {
+    p_slist l;
+    for(l=parent->_templates;l;l=l->next)
+      if(!strcmp(((p_admst)((p_transform)l->data)->_textmatch->_token->data)->_item.s,match))
+        return (p_transform)l->data;
+    parent=parent->_parent;
+  }
+  return NULL;
+}
+static void location02 (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_itransform myitransform=adms_itransform_new(mypath->_template);
+  p_ptraverse p0=bar(dot,(p_path)mypath->_u->data);
+  p_slist myl0=p0->_admst;
+  myitransform->_originalcall=mypath->_transform;
+  adms_slist_push(&root()->_itransform,(p_adms)myitransform);
+  for(;myl0;myl0=myl0->next)
+  {
+    if(myl0->data&&((p_admst)myl0->data)->_item.p)
+    {
+      p_slist l;
+      xtraverse(myitransform->_template->_children,(p_admst)myl0->data,dot);
+      for(l=myitransform->_variable;l;l=l->next)
+      {
+        p_slist li;
+        for(li=((p_admstvariable)l->data)->_value;li;li=li->next)
+          deref((p_admst)li->data);
+        adms_admstvariable_free((p_admstvariable)l->data);
+      }
+    }
+  }
+  adms_slist_pull(&root()->_itransform);
+  free_ptraverse(p0);
+  for(myl0=myitransform->_return;myl0;myl0=myl0->next)
+  {
+    if(((p_admst)myl0->data)->_preva) ((p_admst)myl0->data)->_preva->_nexta=((p_admst)myl0->data)->_nexta,((p_admst)myl0->data)->_preva=NULL; if(((p_admst)myl0->data)->_nexta) ((p_admst)myl0->data)->_nexta->_preva=((p_admst)myl0->data)->_preva,((p_admst)myl0->data)->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=((p_admst)myl0->data); else p->_an->_nexta=((p_admst)myl0->data),((p_admst)myl0->data)->_preva=p->_an,p->_an=((p_admst)myl0->data);((p_admst)myl0->data)->_position=++p->_position;
+  }
+  adms_itransform_free(myitransform);
+}
+void Xassert (p_transform mytransform,p_admst dot,p_admst dotdot)
+{
+  if(!mytransform->_textformat)
+  {
+    adms_message_fatal_continue(("assert failed\n"))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+  }
+  else if(mytransform->_pathselect)
+  {
+    p_ptraverse pselect=bar(dot,mytransform->_pathselect);
+    p_slist myli;
+    for(myli=pselect->_admst;myli;myli=myli->next)
+    {
+      char* text=tsprintf((p_admst)myli->data,mytransform->_textformat);
+      adms_message_fatal_continue(("%s",text))
+      free(text);
+    }
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    free_ptraverse(pselect);
+  }
+  else
+  {
+    char* text=tsprintf(dot,mytransform->_textformat);
+    adms_message_fatal_continue(("%s",text))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    free(text);
+  }
+}
+typedef void (*p_transform_evaluate) (p_transform mytransform,p_admst dot);
+void xtraverse (p_slist mytransforms,p_admst dot,p_admst dotdot)
+{
+  p_slist l;
+  for(l=mytransforms;l;l=l->next)
+  {
+    int mypassed=1;
+    p_transform mytransform=(p_transform)l->data;
+    if(mytransform->_pathtest)
+    {
+      p_ptraverse myptraverse=bar(dot,mytransform->_pathtest);
+      if(mytransform->_callback==(void*)Xassert)
+        mypassed=!myptraverse->_admst;
+      else
+      {
+        p_admst myadmst0;
+        mypassed=(myptraverse->_admst&&(myadmst0=aread((p_admst)myptraverse->_admst->data))&&(myadmst0->_pseudo!=admse_empty));
+      }
+      free_ptraverse(myptraverse);
+    }
+    if(mypassed)
+      ((p_transform_evaluate)mytransform->_callback)(mytransform,dot);
+    if(break_found==1)
+      break;
+  }
+}
+
+static void root00 (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst d=adms_admst_newpa(dot,dot,(p_adms)root());if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+}
+void location03 (void) {}
+
 /*[nepasimprimer]*/
+static void adms_fatal_on_obsolete_syntax(p_transform mytransform,p_admst myadmst)
+{
+  if(myadmst&&
+    ((myadmst->_pseudo==admse_datatypename)
+     ||(myadmst->_pseudo==admse_empty)
+     ||(myadmst->_pseudo==admse_basicenumeration)
+     ||(myadmst->_pseudo==admse_basicinteger)
+     ||(myadmst->_pseudo==admse_basicreal)
+     ||(myadmst->_pseudo==admse_basicstring)))
+    return;
+  if(myadmst&&(myadmst->_pseudo==admse_expression))
+  {
+    adms_message_warning_continue(("[removed feature] admst:value-of 'expression'\n"))
+    adms_message_warning_continue(("[removed feature] Please use admst:apply-templates\n"))
+    adms_message_warning_continue(("[removed feature] see: %s\n",adms_transform_uid(mytransform)))
+    adms_message_warning(("[removed feature] For help contact me at r29173 at users.sourceforge.net\n"))
+  }
+  else if(myadmst->_pseudo==admse__arguments)
+  {
+    adms_message_warning_continue(("[removed feature] admst:value-of 'arguments'\n"))
+    adms_message_warning_continue(("[removed feature] Please use admst:apply-templates\n"))
+    adms_message_warning_continue(("[removed feature] see: %s\n",adms_transform_uid(mytransform)))
+    adms_message_warning(("[removed feature] For help contact me at r29173 at users.sourceforge.net\n"))
+  }
+}
+p_admst adms_pull_admst (p_transform mytransform)
+{
+  if(root()->_valueof)
+  {
+    adms_slist_pull(&root()->_valueof); /*pull transform*/
+    return (p_admst)adms_slist_pull(&root()->_valueof);
+  }
+  adms_message_fatal_continue(("stack '%%s' has no more element!\n"))
+  adms_message_fatal(("see %s",adms_transform_uid(mytransform)))
+  return NULL;
+}
+p_admstvariable lookup_dollar (const char* myid)
+{
+  p_itransform myitransform=root()->_itransform?(p_itransform)root()->_itransform->data:NULL;
+  p_slist list;
+  if(myitransform)
+  {
+    list=myitransform->_variable;
+    while(list)
+    {
+      if(!strcmp(((p_admstvariable)list->data)->_name,myid))
+        return (p_admstvariable)list->data;
+      list=list->next;
+    }
+  }
+  list=root()->_variable;
+  while(list)
+  {
+    if(!strcmp(((p_admstvariable)list->data)->_name,myid))
+      return (p_admstvariable)list->data;
+    list=list->next;
+  }
+  return NULL;
+}
+char* tsprintf (p_kadmst myadmst, p_ktext mytext)
+{
+  char* myvalue=myadmst?adms_kclone(""):((p_admst)mytext->_token->data)->_item.s;
+  p_slist myl;
+  for(myl=((myadmst&&mytext)?mytext->_token:NULL);myl;myl=myl->next)
+  {
+    p_adms token=myl->data;
+    if(token->_datatypename==admse_text)
+    {
+      if(((p_text)token)->_admse==admse__s)
+      {
+        p_admst mystack=adms_pull_admst(mytext->_transform);
+        if(mystack)
+        {
+          char* mynewvalue=aprintf(mytext->_transform,mystack);
+          if(mynewvalue)
+          {
+            adms_fatal_on_obsolete_syntax(mytext->_transform,mystack);
+            adms_k2strconcat(&myvalue,mynewvalue);
+            free(mynewvalue);
+          }
+          deref(mystack);
+        }
+      }
+      else
+      {
+        char* dollarname=tsprintf(myadmst,(p_text)token);
+        p_admstvariable dollar=lookup_dollar(dollarname);
+        if(dollar)
+        {
+          p_slist myl2=dollar->_value;
+          for(;myl2;myl2=myl2->next)
+          {
+            char* val=aprintf(mytext->_transform,(p_admst)myl2->data);
+            if(val)
+            {
+              adms_k2strconcat(&myvalue,val);
+              free(val);
+            }
+          }
+        }
+        else
+        {
+          adms_message_obsolete_continue(("variable $(%s) is undefined\n",dollarname))
+          adms_message_obsolete(("Check if you really wanted to access an variable. If not replace '$' by '$'\n"))
+          adms_message_obsolete(("see %s\n",adms_transform_uid(mytext->_transform)))
+          adms_k2strconcat(&myvalue,"$");
+          adms_k2strconcat(&myvalue,dollarname);
+        }
+        free(dollarname);
+      }
+    }
+    else if(token->_datatypename==admse_admst)
+      adms_k2strconcat(&myvalue,((p_admst)token)->_item.s);
+    else if(token->_datatypename==admse_path)
+    {
+      p_ptraverse myptraverse=bar(myadmst,(p_path)token);
+      p_slist myl;
+      for(myl=myptraverse->_admst;myl;myl=myl->next)
+      {
+        char* mynewvalue=aprintf(((p_path)token)->_transform,(p_admst)myl->data);
+        if(mynewvalue)
+          adms_k2strconcat(&myvalue,mynewvalue);
+        free(mynewvalue);
+      }
+      free_ptraverse(myptraverse);
+    }
+  }
+  return myvalue;
+}
+void tprintf (p_kadmst myadmst, p_ktext mytext)
+{
+  p_slist myl;
+  for(myl=mytext->_token;myl;myl=myl->next)
+  {
+    p_adms token=myl->data;
+    if(token->_datatypename==admse_text)
+    {
+      if(((p_text)token)->_admse==admse__s)
+      {
+        p_admst mystack=adms_pull_admst(mytext->_transform);
+        if(mystack)
+        {
+          char* mynewvalue=aprintf(mytext->_transform,mystack);
+          if(mynewvalue)
+          {
+            adms_fatal_on_obsolete_syntax(mytext->_transform,mystack);
+            fputs(mynewvalue,OUT());
+            free(mynewvalue);
+          }
+          deref(mystack);
+        }
+      }
+      else
+      {
+        char* dollarname=tsprintf(myadmst,(p_text)token);
+        p_admstvariable dollar=lookup_dollar(dollarname);
+        if(dollar)
+        {
+          p_slist myl2=dollar->_value;
+          for(;myl2;myl2=myl2->next)
+          {
+            char* val=aprintf(mytext->_transform,(p_admst)myl2->data);
+            if(val)
+            {
+              fputs(val,OUT());
+              free(val);
+            }
+          }
+        }
+        else
+        {
+          adms_message_obsolete_continue(("variable $(%s) is undefined\n",dollarname))
+          adms_message_obsolete(("Check if you really wanted to access an variable. If not replace '$' by '$'\n"))
+          adms_message_obsolete(("see %s\n",adms_transform_uid(mytext->_transform)))
+          fputs("$",OUT());
+          fputs(dollarname,OUT());
+        }
+        free(dollarname);
+      }
+    }
+    else if(token->_datatypename==admse_admst)
+      fputs(((p_admst)token)->_item.s,OUT());
+    else if(token->_datatypename==admse_path)
+    {
+      p_ptraverse myptraverse=bar(myadmst,(p_path)token);
+      p_slist myl;
+      for(myl=myptraverse->_admst;myl;myl=myl->next)
+      {
+        char* mynewvalue=aprintf(((p_path)token)->_transform,(p_admst)myl->data);
+        if(mynewvalue)
+          fputs(mynewvalue,OUT());
+        free(mynewvalue);
+      }
+      free_ptraverse(myptraverse);
+    }
+  }
+}
+static void location26 (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  tprintf(dot,mypath->_text);
+}
+static int retdatatypename(p_admst myadmst)
+{
+  if(
+      (myadmst->_pseudo==admse_empty)
+      ||
+      (myadmst->_pseudo==admse_basicenumeration)
+      ||
+      (myadmst->_pseudo==admse_basicinteger)
+      ||
+      (myadmst->_pseudo==admse_basicreal)
+      ||
+      (myadmst->_pseudo==admse_basicstring)
+      ||
+      (myadmst->_pseudo==admse__ladms)
+      ||
+      (myadmst->_pseudo==admse__ladmst)
+      ||
+      (myadmst->_pseudo==admse_datatypename)
+    )
+    return myadmst->_pseudo;
+  else if(myadmst->_pseudo==admse__croix)
+    return ((p_attribute)myadmst->_item.p)->_value?((p_admst)((p_attribute)myadmst->_item.p)->_value)->_pseudo:admse_empty;
+  else
+    return myadmst->_item.p->_datatypename;
+}
+static p_attribute retcroixcroix (p_admst myadmst,p_path mypath)
+{
+  char*myname=tsprintf(myadmst,mypath->_text);
+  p_attribute myattribute=adms_attribute_new(myname);
+  free(myname);
+  return myattribute;
+}
+static p_attribute retcroix (p_admst myadmst,p_path mypath)
+{
+  p_attribute myattribute=NULL;
+  char*myname=tsprintf(myadmst,mypath->_text);
+  p_slist list;
+  p_adms item=myadmst->_item.p;
+  if(
+      (myadmst->_pseudo==admse_basicenumeration)
+      ||
+      (myadmst->_pseudo==admse_basicinteger)
+      ||
+      (myadmst->_pseudo==admse_basicreal)
+      ||
+      (myadmst->_pseudo==admse_basicstring)
+      ||
+      (myadmst->_pseudo==admse_datatypename)
+    )
+  {
+    adms_message_fatal_continue(("operator '#' applied to element '%s' - not supported!\n",ns_etostr(myadmst->_pseudo)))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mypath->_transform)))
+  }
+  list=item?item->_attribute:NULL;
+  for(;list&&!myattribute;list=list->next)
+    if(!strcmp(((p_attribute)list->data)->_name,myname))
+      myattribute=(p_attribute)list->data;
+  if(!myattribute)
+  {
+    myattribute=adms_attribute_new(myname);
+    adms_slist_push(&item->_attribute,(p_adms)myattribute);
+  }
+  free(myname);
+  return myattribute;
+}
+static p_slist retcroixstar (p_admst myadmst)
+{
+  return myadmst->_item.p?myadmst->_item.p->_attribute:NULL;
+}
+static int adms_slist_compare (p_admst myadmst0,p_admst myadmst1)
+{
+  admse mytype0=myadmst0->_pseudo;
+  admse mytype1=myadmst1->_pseudo;
+  if((mytype0==admse_empty)&&(mytype1==admse_empty))
+    return 0;
+  else if(mytype0==admse_empty)
+    return -1;
+  else if(mytype1==admse_empty)
+    return +1;
+  else if((mytype0==admse_basicinteger)&&(mytype1==admse_basicinteger))
+    return (myadmst0->_item.i-myadmst1->_item.i);
+  else
+  {
+    char* uid1=aprintf(NULL,myadmst0);
+    char* uid2=aprintf(NULL,myadmst1);
+    int res=strcmp(uid1,uid2);
+    free(uid1);
+    free(uid2);
+    return res;
+  }
+}
+static p_slist adms_slist_sort_merge (p_slist myl0, p_slist myl1)
+{
+  t_slist list;
+  p_slist myli=&list;
+  while(myl0&&myl1)
+  {
+    if(adms_slist_compare((p_admst)myl0->data,(p_admst)myl1->data)<0)
+    {
+      myli=myli->next=myl0;
+      myl0=myl0->next;
+    } 
+    else 
+    {
+      myli=myli->next=myl1;
+      myl1=myl1->next;
+    }
+  }
+  myli->next=myl0?myl0:myl1;
+  return list.next;
+}
+static p_slist adms_slist_sort (p_slist list)
+{
+  p_slist myl0, myl1;
+  if(!list) 
+    return NULL;
+  if(!list->next) 
+    return list;
+  myl0=list; 
+  myl1=list->next;
+  while((myl1=myl1->next)!=NULL)
+  {
+    if((myl1=myl1->next)==NULL) 
+      break;
+    myl0=myl0->next;
+  }
+  myl1=myl0->next; 
+  myl0->next=NULL;
+  return adms_slist_sort_merge(adms_slist_sort(list),adms_slist_sort(myl1));
+}
+/*returned*/
+static void location15 (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_slist l;
+  p_admst d=NULL;
+  char* myname=tsprintf(dot,mypath->_text);
+  p_itransform myitransform;
+  if(!root()->_itransforminsideapplytemplate)
+  {
+    adms_message_fatal_continue(("return('%s') allowed only inside admst:apply-templates\n",myname))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mypath->_transform)))
+  }
+  myitransform=(p_itransform)root()->_itransforminsideapplytemplate->data;
+  for(l=myitransform->_return;l&&!d;l=l->next)
+    if(!strcmp(((p_return)((p_admst)l->data)->_item.p)->_name,myname))
+      d=(p_admst)l->data;
+  if(d==NULL)
+  {
+    adms_message_fatal_continue(("returned('%s'): undefined returned value\n",myname))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mypath->_transform)))
+  }
+  free(myname);
+  if(d->_refd) d->_refd++;if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+}
+/*"%p"*/
+static void location200 (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst d=adms_pull_admst(mypath->_transform);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+}
+/*'k'*/
+static void location09k (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  ((p_admst)mypath->_text->_token->data)->_previouspath=dot;
+  if(((p_admst)mypath->_text->_token->data)->_preva) ((p_admst)mypath->_text->_token->data)->_preva->_nexta=((p_admst)mypath->_text->_token->data)->_nexta,((p_admst)mypath->_text->_token->data)->_preva=NULL; if(((p_admst)mypath->_text->_token->data)->_nexta) ((p_admst)mypath->_text->_token->data)->_nexta->_preva=((p_admst)mypath->_text->_token->data)->_preva,((p_admst)mypath->_text->_token->data)->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=((p_admst)mypath->_text->_token->data); else p-> [...]
+}
+/*int*/
+static void location10 (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  ((p_admst)mypath->_text->_token->data)->_previouspath=dot;
+  if(((p_admst)mypath->_text->_token->data)->_preva) ((p_admst)mypath->_text->_token->data)->_preva->_nexta=((p_admst)mypath->_text->_token->data)->_nexta,((p_admst)mypath->_text->_token->data)->_preva=NULL; if(((p_admst)mypath->_text->_token->data)->_nexta) ((p_admst)mypath->_text->_token->data)->_nexta->_preva=((p_admst)mypath->_text->_token->data)->_preva,((p_admst)mypath->_text->_token->data)->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=((p_admst)mypath->_text->_token->data); else p-> [...]
+}
+
+static p_admstvariable retaroba (p_admst myadmst,p_path mypath)
+{
+  char* myid=tsprintf(myadmst,mypath->_text);
+  p_admstvariable mydollar;
+  p_slist list;
+  p_adms item=myadmst->_item.p;
+  list=item->_variable;
+  while(list)
+  {
+    if(!strcmp(((p_admstvariable)list->data)->_name,myid))
+    {
+      free(myid);
+      return (p_admstvariable)list->data;
+    }
+    list=list->next;
+  }
+  mydollar=adms_admstvariable_new(myid);
+  adms_slist_push(&item->_variable,(p_adms)mydollar);
+  free(myid);
+  return mydollar;
+}
+static p_admstvariable retdollar (p_admst myadmst,p_path mypath)
+{
+  char* id=tsprintf(aread(myadmst),mypath->_text);
+  p_admstvariable dollar=lookup_dollar(id);
+  if(!dollar)
+    adms_message_fatal(("variable $(%s) is undefined! see %s\n",id,adms_transform_uid(mypath->_transform)))
+  free(id);
+  return dollar;
+}
+static int retcount (p_admst myadmst,p_path mypath)
+{
+  p_ptraverse p0=bar(myadmst,(p_path)mypath->_u->data);
+  int count=p0->_admst?((p_admst)p0->_admst->data)->_count:0;
+  free_ptraverse(p0);
+  return count;
+}
+/*datatypename*/
+static void location03datatypename (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst d=adms_admst_newbd(dot,dot,retdatatypename(dot));if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+}
+/*ident*/
+static void location03dummy (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst d=adms_admst_newbi(dot,dot,0);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+}
+/*real*/
+static void location07 (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst d=adms_admst_newbr(dot,dot,adms_strtod(mypath->_transform,tsprintf(NULL,mypath->_text)));if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+}
+/*position*/
+static void location18 (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst d=adms_admst_newbi(dot,dot,(dot)->_position);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+}
+/*count*/
+static void location27 (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst d=adms_admst_newbi(dot,dot,retcount(dot,mypath));if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+}
+/*''*/
+static void location09 (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst d=adms_admst_newns(dot,dot,tsprintf(dot,mypath->_text));if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+}
+/*##*/
+static void location12 (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst d=adms_admst_newpa(dot,dot,(p_adms)retcroixcroix(dot,mypath));if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+}
+/*#*/
+static void location13 (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst d=adms_admst_newpc(dot,dot,retcroix(dot,mypath));if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+}
+/*admst*/
+static void location14 (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst d=adms_admst_newpa(dot,dot,(p_adms)dot);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+}
+/*$var*/
+static void location041 (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst d=adms_admst_newpd(dot,dot,retdollar(dot,mypath));if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+}
+/*@var*/
+static void location051 (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst d=adms_admst_newpd(dot,dot,retaroba(dot,mypath));if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+}
+/*index*/
+static int retindex (p_slist mylii,p_ptraverse p0)
+{
+  p_admst myadmst1=(p_admst)mylii->data;
+  p_adms myadms1=myadmst1->_item.p;
+  admse mytype1=myadmst1->_pseudo;
+  p_cmp mycmp=adms_admsxml_cmp(myadmst1);
+  p_slist myl0;
+  int myindex=-1;
+  int found=0;
+  for(myl0=p0->_admst;myl0&&!found;myl0=myl0->next)
+  {
+    p_admst myadmst0=(p_admst)myl0->data;
+    p_adms myadms0=myadmst0->_item.p;
+    admse mytype0=myadmst0->_pseudo;
+    found=(mytype0==mytype1)&&(!mycmp(myadms0,myadms1));
+    myindex++;
+  }
+  if(found)
+    return myindex;
+  else
+    return minusone;
+}
+static void location19 (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_ptraverse p0=bar(dot,(p_path)mypath->_u->data);
+  p_ptraverse p1=bar(dot,(p_path)mypath->_u->next->data);
+  p_slist l1=p1->_admst;
+  for(;l1;l1=l1->next)
+  {
+    p_admst d=adms_admst_newbi(dot,dot,retindex(l1,p0));if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  free_ptraverse(p0); free_ptraverse(p1);
+}
+
+static char* retaprintf (p_path mypath,p_slist myl0)
+{
+  return aprintf(mypath->_transform,(p_admst)myl0->data);
+}
+static char* retpointer (p_slist myl0)
+{
+  char* mystring=malloc(sizeof(char)*50);
+  sprintf(mystring,"%p",((p_admst)myl0->data)->_item.p);
+  return mystring;
+}
+static char* retuc (p_path mypath,p_slist myl0)
+{
+  char* mystring=aprintf(mypath->_transform,(p_admst)myl0->data);
+  adms_toupper(mystring);
+  return mystring;
+}
+static char* retlc (p_path mypath,p_slist myl0)
+{
+  char* mystring=aprintf(mypath->_transform,(p_admst)myl0->data);
+  adms_tolower(mystring);
+  return mystring;
+}
+/*uid*/
+static void location20 (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_ptraverse p0=bar(dot,(p_path)mypath->_u->data);
+  p_slist l0=p0->_admst;
+  for(;l0;l0=l0->next)
+  {
+    p_admst d=adms_admst_newns(dot,dot,retaprintf(mypath,l0));if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  free_ptraverse(p0);
+}
+/*id */
+static void location21 (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_ptraverse p0=bar(dot,(p_path)mypath->_u->data);
+  p_slist l0=p0->_admst;
+  for(;l0;l0=l0->next)
+  {
+    p_admst d=adms_admst_newns(dot,dot,retpointer(l0));if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  free_ptraverse(p0);
+}
+/*uc*/
+static void location24 (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_ptraverse p0=bar(dot,(p_path)mypath->_u->data);
+  p_slist l0=p0->_admst;
+  for(;l0;l0=l0->next)
+  {
+    p_admst d=adms_admst_newns(dot,dot,retuc(mypath,l0));if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  free_ptraverse(p0);
+}
+/*lc*/
+static void location25 (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_ptraverse p0=bar(dot,(p_path)mypath->_u->data);
+  p_slist l0=p0->_admst;
+  for(;l0;l0=l0->next)
+  {
+    p_admst d=adms_admst_newns(dot,dot,retlc(mypath,l0));if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  free_ptraverse(p0);
+}
+/*sort*/
+static void location22 (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_ptraverse p0=bar(dot,(p_path)mypath->_u->data);
+  p_slist lii=adms_slist_sort(p0->_admst);
+  p0->_admst=lii;
+  for(;lii;lii=lii->next)
+  {
+    p_admst d=adms_admst_newpn(dot,dot,(p_admst)lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  free_ptraverse(p0);
+}
+/*reverse*/
+static void location23 (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_ptraverse p0=bar(dot,(p_path)mypath->_u->data);
+  p_slist lii=adms_slist_reverse(p0->_admst);
+  p0->_admst=lii;
+  for(;lii;lii=lii->next)
+  {
+    p_admst d=adms_admst_newpn(dot,dot,(p_admst)lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+  free_ptraverse(p0);
+}
+/*#**/
+static void location130 (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_slist lii=retcroixstar(dot);
+  for(;lii;lii=lii->next)
+  {
+    p_admst d=adms_admst_newpc(dot,dot,(p_attribute)lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+}
+/*$var*/
+static void location040 (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_slist lii=retdollar(dot,mypath)->_value;
+  for(;lii;lii=lii->next)
+  {
+    p_admst d=adms_admst_newpn(dot,dot,(p_admst)lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+}
+/*@var*/
+static void location050 (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_slist lii=retaroba(dot,mypath)->_value;
+  for(;lii;lii=lii->next)
+  {
+    p_admst d=adms_admst_newpn(dot,dot,(p_admst)lii->data);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+  }
+}
+
+static void attributecallback (p_path mypath0,p_slist npaths,p_slist npath)
+{
+  p_path mypath=(p_path)npath->data;
+  mypath->_keeplist=(mypath0->_keeplist&&(npath->next==NULL)&&(mypath->_c==NULL))||mypath->_keeplist;
+  if(mypath->_callback==(void*)location04)
+  {
+    mypath->_callback=(mypath->_keeplist)?(void*)location041:(void*)location040;
+    mypath->_callbackname=(mypath->_keeplist)?"location041":"location040";
+  }
+  else if(mypath->_callback==(void*)location05)
+  {
+    mypath->_callback=(mypath->_keeplist)?(void*)location051:(void*)location050;
+    mypath->_callbackname=(mypath->_keeplist)?"location051":"location050";
+  }
+  else if(mypath->_callback==(void*)location03)
+  {
+    if(0) {}
+    else if(mypath->_text->_admse==admse_datatypename)
+    {
+      mypath->_callback=(void*)location03datatypename;
+      mypath->_callbackname="location03datatypename.datatypename";
+    }
+    else if(mypath->_text->_admse==admse_attribute)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031attribute:(void*)location030attribute;
+      mypath->_callbackname=(mypath->_keeplist)?"location031attribute":"location030attribute";
+    }
+    else if(mypath->_text->_admse==admse_variable)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031variable:(void*)location030variable;
+      mypath->_callbackname=(mypath->_keeplist)?"location031variable":"location030variable";
+    }
+    else if(mypath->_text->_admse==admse_adms)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031adms:(void*)location030adms;
+      mypath->_callbackname=(mypath->_keeplist)?"location031adms":"location030adms";
+    }
+    else if(mypath->_text->_admse==admse_name)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031name:(void*)location030name;
+      mypath->_callbackname=(mypath->_keeplist)?"location031name":"location030name";
+    }
+    else if(mypath->_text->_admse==admse_currentdate)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031currentdate:(void*)location030currentdate;
+      mypath->_callbackname=(mypath->_keeplist)?"location031currentdate":"location030currentdate";
+    }
+    else if(mypath->_text->_admse==admse_developer)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031developer:(void*)location030developer;
+      mypath->_callbackname=(mypath->_keeplist)?"location031developer":"location030developer";
+    }
+    else if(mypath->_text->_admse==admse_fullname)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031fullname:(void*)location030fullname;
+      mypath->_callbackname=(mypath->_keeplist)?"location031fullname":"location030fullname";
+    }
+    else if(mypath->_text->_admse==admse_package_name)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031package_name:(void*)location030package_name;
+      mypath->_callbackname=(mypath->_keeplist)?"location031package_name":"location030package_name";
+    }
+    else if(mypath->_text->_admse==admse_package_tarname)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031package_tarname:(void*)location030package_tarname;
+      mypath->_callbackname=(mypath->_keeplist)?"location031package_tarname":"location030package_tarname";
+    }
+    else if(mypath->_text->_admse==admse_package_version)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031package_version:(void*)location030package_version;
+      mypath->_callbackname=(mypath->_keeplist)?"location031package_version":"location030package_version";
+    }
+    else if(mypath->_text->_admse==admse_package_string)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031package_string:(void*)location030package_string;
+      mypath->_callbackname=(mypath->_keeplist)?"location031package_string":"location030package_string";
+    }
+    else if(mypath->_text->_admse==admse_package_bugreport)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031package_bugreport:(void*)location030package_bugreport;
+      mypath->_callbackname=(mypath->_keeplist)?"location031package_bugreport":"location030package_bugreport";
+    }
+    else if(mypath->_text->_admse==admse_probe)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031probe:(void*)location030probe;
+      mypath->_callbackname=(mypath->_keeplist)?"location031probe":"location030probe";
+    }
+    else if(mypath->_text->_admse==admse_tmp)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031tmp:(void*)location030tmp;
+      mypath->_callbackname=(mypath->_keeplist)?"location031tmp":"location030tmp";
+    }
+    else if(mypath->_text->_admse==admse_tmp1)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031tmp1:(void*)location030tmp1;
+      mypath->_callbackname=(mypath->_keeplist)?"location031tmp1":"location030tmp1";
+    }
+    else if(mypath->_text->_admse==admse_br1)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031br1:(void*)location030br1;
+      mypath->_callbackname=(mypath->_keeplist)?"location031br1":"location030br1";
+    }
+    else if(mypath->_text->_admse==admse_br2)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031br2:(void*)location030br2;
+      mypath->_callbackname=(mypath->_keeplist)?"location031br2":"location030br2";
+    }
+    else if(mypath->_text->_admse==admse_sr1)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031sr1:(void*)location030sr1;
+      mypath->_callbackname=(mypath->_keeplist)?"location031sr1":"location030sr1";
+    }
+    else if(mypath->_text->_admse==admse_ddx)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031ddx:(void*)location030ddx;
+      mypath->_callbackname=(mypath->_keeplist)?"location031ddx":"location030ddx";
+    }
+    else if(mypath->_text->_admse==admse_argc)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031argc:(void*)location030argc;
+      mypath->_callbackname=(mypath->_keeplist)?"location031argc":"location030argc";
+    }
+    else if(mypath->_text->_admse==admse_fullfilename)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031fullfilename:(void*)location030fullfilename;
+      mypath->_callbackname=(mypath->_keeplist)?"location031fullfilename":"location030fullfilename";
+    }
+    else if(mypath->_text->_admse==admse_filename)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031filename:(void*)location030filename;
+      mypath->_callbackname=(mypath->_keeplist)?"location031filename":"location030filename";
+    }
+    else if(mypath->_text->_admse==admse_curfilename)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031curfilename:(void*)location030curfilename;
+      mypath->_callbackname=(mypath->_keeplist)?"location031curfilename":"location030curfilename";
+    }
+    else if(mypath->_text->_admse==admse_curline)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031curline:(void*)location030curline;
+      mypath->_callbackname=(mypath->_keeplist)?"location031curline":"location030curline";
+    }
+    else if(mypath->_text->_admse==admse_fpos)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031fpos:(void*)location030fpos;
+      mypath->_callbackname=(mypath->_keeplist)?"location031fpos":"location030fpos";
+    }
+    else if(mypath->_text->_admse==admse_simulator)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031simulator:(void*)location030simulator;
+      mypath->_callbackname=(mypath->_keeplist)?"location031simulator":"location030simulator";
+    }
+    else if(mypath->_text->_admse==admse_info)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031info:(void*)location030info;
+      mypath->_callbackname=(mypath->_keeplist)?"location031info":"location030info";
+    }
+    else if(mypath->_text->_admse==admse_usage)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031usage:(void*)location030usage;
+      mypath->_callbackname=(mypath->_keeplist)?"location031usage":"location030usage";
+    }
+    else if(mypath->_text->_admse==admse_verbose)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031verbose:(void*)location030verbose;
+      mypath->_callbackname=(mypath->_keeplist)?"location031verbose":"location030verbose";
+    }
+    else if(mypath->_text->_admse==admse_hint)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031hint:(void*)location030hint;
+      mypath->_callbackname=(mypath->_keeplist)?"location031hint":"location030hint";
+    }
+    else if(mypath->_text->_admse==admse_warning)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031warning:(void*)location030warning;
+      mypath->_callbackname=(mypath->_keeplist)?"location031warning":"location030warning";
+    }
+    else if(mypath->_text->_admse==admse_obsolete)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031obsolete:(void*)location030obsolete;
+      mypath->_callbackname=(mypath->_keeplist)?"location031obsolete":"location030obsolete";
+    }
+    else if(mypath->_text->_admse==admse_debug)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031debug:(void*)location030debug;
+      mypath->_callbackname=(mypath->_keeplist)?"location031debug":"location030debug";
+    }
+    else if(mypath->_text->_admse==admse_dbg_vla)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031dbg_vla:(void*)location030dbg_vla;
+      mypath->_callbackname=(mypath->_keeplist)?"location031dbg_vla":"location030dbg_vla";
+    }
+    else if(mypath->_text->_admse==admse_dbg_xml)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031dbg_xml:(void*)location030dbg_xml;
+      mypath->_callbackname=(mypath->_keeplist)?"location031dbg_xml":"location030dbg_xml";
+    }
+    else if(mypath->_text->_admse==admse_error)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031error:(void*)location030error;
+      mypath->_callbackname=(mypath->_keeplist)?"location031error":"location030error";
+    }
+    else if(mypath->_text->_admse==admse_fatal)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031fatal:(void*)location030fatal;
+      mypath->_callbackname=(mypath->_keeplist)?"location031fatal":"location030fatal";
+    }
+    else if(mypath->_text->_admse==admse_dbg_mem)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031dbg_mem:(void*)location030dbg_mem;
+      mypath->_callbackname=(mypath->_keeplist)?"location031dbg_mem":"location030dbg_mem";
+    }
+    else if(mypath->_text->_admse==admse_module)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031module:(void*)location030module;
+      mypath->_callbackname=(mypath->_keeplist)?"location031module":"location030module";
+    }
+    else if(mypath->_text->_admse==admse_discipline)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031discipline:(void*)location030discipline;
+      mypath->_callbackname=(mypath->_keeplist)?"location031discipline":"location030discipline";
+    }
+    else if(mypath->_text->_admse==admse_nature)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031nature:(void*)location030nature;
+      mypath->_callbackname=(mypath->_keeplist)?"location031nature":"location030nature";
+    }
+    else if(mypath->_text->_admse==admse_argv)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031argv:(void*)location030argv;
+      mypath->_callbackname=(mypath->_keeplist)?"location031argv":"location030argv";
+    }
+    else if(mypath->_text->_admse==admse_transform)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031transform:(void*)location030transform;
+      mypath->_callbackname=(mypath->_keeplist)?"location031transform":"location030transform";
+    }
+    else if(mypath->_text->_admse==admse_invtransform)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031invtransform:(void*)location030invtransform;
+      mypath->_callbackname=(mypath->_keeplist)?"location031invtransform":"location030invtransform";
+    }
+    else if(mypath->_text->_admse==admse_itransform)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031itransform:(void*)location030itransform;
+      mypath->_callbackname=(mypath->_keeplist)?"location031itransform":"location030itransform";
+    }
+    else if(mypath->_text->_admse==admse_itransforminsideapplytemplate)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031itransforminsideapplytemplate:(void*)location030itransforminsideapplytemplate;
+      mypath->_callbackname=(mypath->_keeplist)?"location031itransforminsideapplytemplate":"location030itransforminsideapplytemplate";
+    }
+    else if(mypath->_text->_admse==admse_valueof)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031valueof:(void*)location030valueof;
+      mypath->_callbackname=(mypath->_keeplist)?"location031valueof":"location030valueof";
+    }
+    else if(mypath->_text->_admse==admse_datatype)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031datatype:(void*)location030datatype;
+      mypath->_callbackname=(mypath->_keeplist)?"location031datatype":"location030datatype";
+    }
+    else if(mypath->_text->_admse==admse_item)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031item:(void*)location030item;
+      mypath->_callbackname=(mypath->_keeplist)?"location031item":"location030item";
+    }
+    else if(mypath->_text->_admse==admse_access)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031access:(void*)location030access;
+      mypath->_callbackname=(mypath->_keeplist)?"location031access":"location030access";
+    }
+    else if(mypath->_text->_admse==admse_abstol)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031abstol:(void*)location030abstol;
+      mypath->_callbackname=(mypath->_keeplist)?"location031abstol":"location030abstol";
+    }
+    else if(mypath->_text->_admse==admse_base)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031base:(void*)location030base;
+      mypath->_callbackname=(mypath->_keeplist)?"location031base":"location030base";
+    }
+    else if(mypath->_text->_admse==admse_ddt_name)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031ddt_name:(void*)location030ddt_name;
+      mypath->_callbackname=(mypath->_keeplist)?"location031ddt_name":"location030ddt_name";
+    }
+    else if(mypath->_text->_admse==admse_ddt_nature)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031ddt_nature:(void*)location030ddt_nature;
+      mypath->_callbackname=(mypath->_keeplist)?"location031ddt_nature":"location030ddt_nature";
+    }
+    else if(mypath->_text->_admse==admse_idt_name)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031idt_name:(void*)location030idt_name;
+      mypath->_callbackname=(mypath->_keeplist)?"location031idt_name":"location030idt_name";
+    }
+    else if(mypath->_text->_admse==admse_idt_nature)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031idt_nature:(void*)location030idt_nature;
+      mypath->_callbackname=(mypath->_keeplist)?"location031idt_nature":"location030idt_nature";
+    }
+    else if(mypath->_text->_admse==admse_units)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031units:(void*)location030units;
+      mypath->_callbackname=(mypath->_keeplist)?"location031units":"location030units";
+    }
+    else if(mypath->_text->_admse==admse_flow)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031flow:(void*)location030flow;
+      mypath->_callbackname=(mypath->_keeplist)?"location031flow":"location030flow";
+    }
+    else if(mypath->_text->_admse==admse_potential)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031potential:(void*)location030potential;
+      mypath->_callbackname=(mypath->_keeplist)?"location031potential":"location030potential";
+    }
+    else if(mypath->_text->_admse==admse_domain)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031domain:(void*)location030domain;
+      mypath->_callbackname=(mypath->_keeplist)?"location031domain":"location030domain";
+    }
+    else if(mypath->_text->_admse==admse_node)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031node:(void*)location030node;
+      mypath->_callbackname=(mypath->_keeplist)?"location031node":"location030node";
+    }
+    else if(mypath->_text->_admse==admse_nodealias)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031nodealias:(void*)location030nodealias;
+      mypath->_callbackname=(mypath->_keeplist)?"location031nodealias":"location030nodealias";
+    }
+    else if(mypath->_text->_admse==admse_branch)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031branch:(void*)location030branch;
+      mypath->_callbackname=(mypath->_keeplist)?"location031branch":"location030branch";
+    }
+    else if(mypath->_text->_admse==admse_branchalias)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031branchalias:(void*)location030branchalias;
+      mypath->_callbackname=(mypath->_keeplist)?"location031branchalias":"location030branchalias";
+    }
+    else if(mypath->_text->_admse==admse_analogfunction)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031analogfunction:(void*)location030analogfunction;
+      mypath->_callbackname=(mypath->_keeplist)?"location031analogfunction":"location030analogfunction";
+    }
+    else if(mypath->_text->_admse==admse_instance)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031instance:(void*)location030instance;
+      mypath->_callbackname=(mypath->_keeplist)?"location031instance":"location030instance";
+    }
+    else if(mypath->_text->_admse==admse_analog)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031analog:(void*)location030analog;
+      mypath->_callbackname=(mypath->_keeplist)?"location031analog":"location030analog";
+    }
+    else if(mypath->_text->_admse==admse_block)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031block:(void*)location030block;
+      mypath->_callbackname=(mypath->_keeplist)?"location031block":"location030block";
+    }
+    else if(mypath->_text->_admse==admse_blockvariable)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031blockvariable:(void*)location030blockvariable;
+      mypath->_callbackname=(mypath->_keeplist)?"location031blockvariable":"location030blockvariable";
+    }
+    else if(mypath->_text->_admse==admse_assignment)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031assignment:(void*)location030assignment;
+      mypath->_callbackname=(mypath->_keeplist)?"location031assignment":"location030assignment";
+    }
+    else if(mypath->_text->_admse==admse_callfunction)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031callfunction:(void*)location030callfunction;
+      mypath->_callbackname=(mypath->_keeplist)?"location031callfunction":"location030callfunction";
+    }
+    else if(mypath->_text->_admse==admse_contribution)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031contribution:(void*)location030contribution;
+      mypath->_callbackname=(mypath->_keeplist)?"location031contribution":"location030contribution";
+    }
+    else if(mypath->_text->_admse==admse_conditional)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031conditional:(void*)location030conditional;
+      mypath->_callbackname=(mypath->_keeplist)?"location031conditional":"location030conditional";
+    }
+    else if(mypath->_text->_admse==admse_case)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031case:(void*)location030case;
+      mypath->_callbackname=(mypath->_keeplist)?"location031case":"location030case";
+    }
+    else if(mypath->_text->_admse==admse_forloop)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031forloop:(void*)location030forloop;
+      mypath->_callbackname=(mypath->_keeplist)?"location031forloop":"location030forloop";
+    }
+    else if(mypath->_text->_admse==admse_whileloop)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031whileloop:(void*)location030whileloop;
+      mypath->_callbackname=(mypath->_keeplist)?"location031whileloop":"location030whileloop";
+    }
+    else if(mypath->_text->_admse==admse_instantiator)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031instantiator:(void*)location030instantiator;
+      mypath->_callbackname=(mypath->_keeplist)?"location031instantiator":"location030instantiator";
+    }
+    else if(mypath->_text->_admse==admse_expression)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031expression:(void*)location030expression;
+      mypath->_callbackname=(mypath->_keeplist)?"location031expression":"location030expression";
+    }
+    else if(mypath->_text->_admse==admse_jacobian)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031jacobian:(void*)location030jacobian;
+      mypath->_callbackname=(mypath->_keeplist)?"location031jacobian":"location030jacobian";
+    }
+    else if(mypath->_text->_admse==admse_source)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031source:(void*)location030source;
+      mypath->_callbackname=(mypath->_keeplist)?"location031source":"location030source";
+    }
+    else if(mypath->_text->_admse==admse_range)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031range:(void*)location030range;
+      mypath->_callbackname=(mypath->_keeplist)?"location031range":"location030range";
+    }
+    else if(mypath->_text->_admse==admse_evaluation)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031evaluation:(void*)location030evaluation;
+      mypath->_callbackname=(mypath->_keeplist)?"location031evaluation":"location030evaluation";
+    }
+    else if(mypath->_text->_admse==admse_lexval)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031lexval:(void*)location030lexval;
+      mypath->_callbackname=(mypath->_keeplist)?"location031lexval":"location030lexval";
+    }
+    else if(mypath->_text->_admse==admse_type)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031type:(void*)location030type;
+      mypath->_callbackname=(mypath->_keeplist)?"location031type":"location030type";
+    }
+    else if(mypath->_text->_admse==admse_tree)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031tree:(void*)location030tree;
+      mypath->_callbackname=(mypath->_keeplist)?"location031tree":"location030tree";
+    }
+    else if(mypath->_text->_admse==admse_direction)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031direction:(void*)location030direction;
+      mypath->_callbackname=(mypath->_keeplist)?"location031direction":"location030direction";
+    }
+    else if(mypath->_text->_admse==admse_grounded)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031grounded:(void*)location030grounded;
+      mypath->_callbackname=(mypath->_keeplist)?"location031grounded":"location030grounded";
+    }
+    else if(mypath->_text->_admse==admse_location)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031location:(void*)location030location;
+      mypath->_callbackname=(mypath->_keeplist)?"location031location":"location030location";
+    }
+    else if(mypath->_text->_admse==admse_pnode)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031pnode:(void*)location030pnode;
+      mypath->_callbackname=(mypath->_keeplist)?"location031pnode":"location030pnode";
+    }
+    else if(mypath->_text->_admse==admse_nnode)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031nnode:(void*)location030nnode;
+      mypath->_callbackname=(mypath->_keeplist)?"location031nnode":"location030nnode";
+    }
+    else if(mypath->_text->_admse==admse_dependency)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031dependency:(void*)location030dependency;
+      mypath->_callbackname=(mypath->_keeplist)?"location031dependency":"location030dependency";
+    }
+    else if(mypath->_text->_admse==admse_static)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031static:(void*)location030static;
+      mypath->_callbackname=(mypath->_keeplist)?"location031static":"location030static";
+    }
+    else if(mypath->_text->_admse==admse_dynamic)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031dynamic:(void*)location030dynamic;
+      mypath->_callbackname=(mypath->_keeplist)?"location031dynamic":"location030dynamic";
+    }
+    else if(mypath->_text->_admse==admse_sizetype)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031sizetype:(void*)location030sizetype;
+      mypath->_callbackname=(mypath->_keeplist)?"location031sizetype":"location030sizetype";
+    }
+    else if(mypath->_text->_admse==admse_parametertype)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031parametertype:(void*)location030parametertype;
+      mypath->_callbackname=(mypath->_keeplist)?"location031parametertype":"location030parametertype";
+    }
+    else if(mypath->_text->_admse==admse_maxsize)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031maxsize:(void*)location030maxsize;
+      mypath->_callbackname=(mypath->_keeplist)?"location031maxsize":"location030maxsize";
+    }
+    else if(mypath->_text->_admse==admse_minsize)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031minsize:(void*)location030minsize;
+      mypath->_callbackname=(mypath->_keeplist)?"location031minsize":"location030minsize";
+    }
+    else if(mypath->_text->_admse==admse_input)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031input:(void*)location030input;
+      mypath->_callbackname=(mypath->_keeplist)?"location031input":"location030input";
+    }
+    else if(mypath->_text->_admse==admse_output)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031output:(void*)location030output;
+      mypath->_callbackname=(mypath->_keeplist)?"location031output":"location030output";
+    }
+    else if(mypath->_text->_admse==admse_scope)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031scope:(void*)location030scope;
+      mypath->_callbackname=(mypath->_keeplist)?"location031scope":"location030scope";
+    }
+    else if(mypath->_text->_admse==admse_default)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031default:(void*)location030default;
+      mypath->_callbackname=(mypath->_keeplist)?"location031default":"location030default";
+    }
+    else if(mypath->_text->_admse==admse_derivate)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031derivate:(void*)location030derivate;
+      mypath->_callbackname=(mypath->_keeplist)?"location031derivate":"location030derivate";
+    }
+    else if(mypath->_text->_admse==admse_isstate)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031isstate:(void*)location030isstate;
+      mypath->_callbackname=(mypath->_keeplist)?"location031isstate":"location030isstate";
+    }
+    else if(mypath->_text->_admse==admse_usedinmodel)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031usedinmodel:(void*)location030usedinmodel;
+      mypath->_callbackname=(mypath->_keeplist)?"location031usedinmodel":"location030usedinmodel";
+    }
+    else if(mypath->_text->_admse==admse_usedininstance)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031usedininstance:(void*)location030usedininstance;
+      mypath->_callbackname=(mypath->_keeplist)?"location031usedininstance":"location030usedininstance";
+    }
+    else if(mypath->_text->_admse==admse_usedininitial_step)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031usedininitial_step:(void*)location030usedininitial_step;
+      mypath->_callbackname=(mypath->_keeplist)?"location031usedininitial_step":"location030usedininitial_step";
+    }
+    else if(mypath->_text->_admse==admse_usedinevaluate)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031usedinevaluate:(void*)location030usedinevaluate;
+      mypath->_callbackname=(mypath->_keeplist)?"location031usedinevaluate":"location030usedinevaluate";
+    }
+    else if(mypath->_text->_admse==admse_usedinnoise)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031usedinnoise:(void*)location030usedinnoise;
+      mypath->_callbackname=(mypath->_keeplist)?"location031usedinnoise":"location030usedinnoise";
+    }
+    else if(mypath->_text->_admse==admse_usedinfinal)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031usedinfinal:(void*)location030usedinfinal;
+      mypath->_callbackname=(mypath->_keeplist)?"location031usedinfinal":"location030usedinfinal";
+    }
+    else if(mypath->_text->_admse==admse_setinmodel)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031setinmodel:(void*)location030setinmodel;
+      mypath->_callbackname=(mypath->_keeplist)?"location031setinmodel":"location030setinmodel";
+    }
+    else if(mypath->_text->_admse==admse_setininstance)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031setininstance:(void*)location030setininstance;
+      mypath->_callbackname=(mypath->_keeplist)?"location031setininstance":"location030setininstance";
+    }
+    else if(mypath->_text->_admse==admse_setininitial_step)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031setininitial_step:(void*)location030setininitial_step;
+      mypath->_callbackname=(mypath->_keeplist)?"location031setininitial_step":"location030setininitial_step";
+    }
+    else if(mypath->_text->_admse==admse_setinevaluate)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031setinevaluate:(void*)location030setinevaluate;
+      mypath->_callbackname=(mypath->_keeplist)?"location031setinevaluate":"location030setinevaluate";
+    }
+    else if(mypath->_text->_admse==admse_setinnoise)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031setinnoise:(void*)location030setinnoise;
+      mypath->_callbackname=(mypath->_keeplist)?"location031setinnoise":"location030setinnoise";
+    }
+    else if(mypath->_text->_admse==admse_setinfinal)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031setinfinal:(void*)location030setinfinal;
+      mypath->_callbackname=(mypath->_keeplist)?"location031setinfinal":"location030setinfinal";
+    }
+    else if(mypath->_text->_admse==admse_setingetprev)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031setingetprev:(void*)location030setingetprev;
+      mypath->_callbackname=(mypath->_keeplist)?"location031setingetprev":"location030setingetprev";
+    }
+    else if(mypath->_text->_admse==admse_TemperatureDependent)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031TemperatureDependent:(void*)location030TemperatureDependent;
+      mypath->_callbackname=(mypath->_keeplist)?"location031TemperatureDependent":"location030TemperatureDependent";
+    }
+    else if(mypath->_text->_admse==admse_OPdependent)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031OPdependent:(void*)location030OPdependent;
+      mypath->_callbackname=(mypath->_keeplist)?"location031OPdependent":"location030OPdependent";
+    }
+    else if(mypath->_text->_admse==admse_insource)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031insource:(void*)location030insource;
+      mypath->_callbackname=(mypath->_keeplist)?"location031insource":"location030insource";
+    }
+    else if(mypath->_text->_admse==admse_vcount)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031vcount:(void*)location030vcount;
+      mypath->_callbackname=(mypath->_keeplist)?"location031vcount":"location030vcount";
+    }
+    else if(mypath->_text->_admse==admse_vlast)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031vlast:(void*)location030vlast;
+      mypath->_callbackname=(mypath->_keeplist)?"location031vlast":"location030vlast";
+    }
+    else if(mypath->_text->_admse==admse_arraydefault)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031arraydefault:(void*)location030arraydefault;
+      mypath->_callbackname=(mypath->_keeplist)?"location031arraydefault":"location030arraydefault";
+    }
+    else if(mypath->_text->_admse==admse_alias)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031alias:(void*)location030alias;
+      mypath->_callbackname=(mypath->_keeplist)?"location031alias":"location030alias";
+    }
+    else if(mypath->_text->_admse==admse_ddxprobe)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031ddxprobe:(void*)location030ddxprobe;
+      mypath->_callbackname=(mypath->_keeplist)?"location031ddxprobe":"location030ddxprobe";
+    }
+    else if(mypath->_text->_admse==admse_whitenoise)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031whitenoise:(void*)location030whitenoise;
+      mypath->_callbackname=(mypath->_keeplist)?"location031whitenoise":"location030whitenoise";
+    }
+    else if(mypath->_text->_admse==admse_flickernoise)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031flickernoise:(void*)location030flickernoise;
+      mypath->_callbackname=(mypath->_keeplist)?"location031flickernoise":"location030flickernoise";
+    }
+    else if(mypath->_text->_admse==admse_switch)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031switch:(void*)location030switch;
+      mypath->_callbackname=(mypath->_keeplist)?"location031switch":"location030switch";
+    }
+    else if(mypath->_text->_admse==admse_infexpr)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031infexpr:(void*)location030infexpr;
+      mypath->_callbackname=(mypath->_keeplist)?"location031infexpr":"location030infexpr";
+    }
+    else if(mypath->_text->_admse==admse_supexpr)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031supexpr:(void*)location030supexpr;
+      mypath->_callbackname=(mypath->_keeplist)?"location031supexpr":"location030supexpr";
+    }
+    else if(mypath->_text->_admse==admse_infboundtype)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031infboundtype:(void*)location030infboundtype;
+      mypath->_callbackname=(mypath->_keeplist)?"location031infboundtype":"location030infboundtype";
+    }
+    else if(mypath->_text->_admse==admse_supboundtype)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031supboundtype:(void*)location030supboundtype;
+      mypath->_callbackname=(mypath->_keeplist)?"location031supboundtype":"location030supboundtype";
+    }
+    else if(mypath->_text->_admse==admse_row)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031row:(void*)location030row;
+      mypath->_callbackname=(mypath->_keeplist)?"location031row":"location030row";
+    }
+    else if(mypath->_text->_admse==admse_column)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031column:(void*)location030column;
+      mypath->_callbackname=(mypath->_keeplist)?"location031column":"location030column";
+    }
+    else if(mypath->_text->_admse==admse_diagonal)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031diagonal:(void*)location030diagonal;
+      mypath->_callbackname=(mypath->_keeplist)?"location031diagonal":"location030diagonal";
+    }
+    else if(mypath->_text->_admse==admse_code)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031code:(void*)location030code;
+      mypath->_callbackname=(mypath->_keeplist)?"location031code":"location030code";
+    }
+    else if(mypath->_text->_admse==admse_value)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031value:(void*)location030value;
+      mypath->_callbackname=(mypath->_keeplist)?"location031value":"location030value";
+    }
+    else if(mypath->_text->_admse==admse_math)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031math:(void*)location030math;
+      mypath->_callbackname=(mypath->_keeplist)?"location031math":"location030math";
+    }
+    else if(mypath->_text->_admse==admse_subexpression)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031subexpression:(void*)location030subexpression;
+      mypath->_callbackname=(mypath->_keeplist)?"location031subexpression":"location030subexpression";
+    }
+    else if(mypath->_text->_admse==admse_arg1)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031arg1:(void*)location030arg1;
+      mypath->_callbackname=(mypath->_keeplist)?"location031arg1":"location030arg1";
+    }
+    else if(mypath->_text->_admse==admse_arg2)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031arg2:(void*)location030arg2;
+      mypath->_callbackname=(mypath->_keeplist)?"location031arg2":"location030arg2";
+    }
+    else if(mypath->_text->_admse==admse_arg3)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031arg3:(void*)location030arg3;
+      mypath->_callbackname=(mypath->_keeplist)?"location031arg3":"location030arg3";
+    }
+    else if(mypath->_text->_admse==admse_scalingunit)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031scalingunit:(void*)location030scalingunit;
+      mypath->_callbackname=(mypath->_keeplist)?"location031scalingunit":"location030scalingunit";
+    }
+    else if(mypath->_text->_admse==admse_unique_id)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031unique_id:(void*)location030unique_id;
+      mypath->_callbackname=(mypath->_keeplist)?"location031unique_id":"location030unique_id";
+    }
+    else if(mypath->_text->_admse==admse_definition)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031definition:(void*)location030definition;
+      mypath->_callbackname=(mypath->_keeplist)?"location031definition":"location030definition";
+    }
+    else if(mypath->_text->_admse==admse_class)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031class:(void*)location030class;
+      mypath->_callbackname=(mypath->_keeplist)?"location031class":"location030class";
+    }
+    else if(mypath->_text->_admse==admse_arguments)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031arguments:(void*)location030arguments;
+      mypath->_callbackname=(mypath->_keeplist)?"location031arguments":"location030arguments";
+    }
+    else if(mypath->_text->_admse==admse_prototype)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031prototype:(void*)location030prototype;
+      mypath->_callbackname=(mypath->_keeplist)?"location031prototype":"location030prototype";
+    }
+    else if(mypath->_text->_admse==admse_index)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031index:(void*)location030index;
+      mypath->_callbackname=(mypath->_keeplist)?"location031index":"location030index";
+    }
+    else if(mypath->_text->_admse==admse_seen)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031seen:(void*)location030seen;
+      mypath->_callbackname=(mypath->_keeplist)?"location031seen":"location030seen";
+    }
+    else if(mypath->_text->_admse==admse_hasspecialnumber)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031hasspecialnumber:(void*)location030hasspecialnumber;
+      mypath->_callbackname=(mypath->_keeplist)?"location031hasspecialnumber":"location030hasspecialnumber";
+    }
+    else if(mypath->_text->_admse==admse_hasVoltageDependentFunction)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031hasVoltageDependentFunction:(void*)location030hasVoltageDependentFunction;
+      mypath->_callbackname=(mypath->_keeplist)?"location031hasVoltageDependentFunction":"location030hasVoltageDependentFunction";
+    }
+    else if(mypath->_text->_admse==admse_infinity)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031infinity:(void*)location030infinity;
+      mypath->_callbackname=(mypath->_keeplist)?"location031infinity":"location030infinity";
+    }
+    else if(mypath->_text->_admse==admse_function)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031function:(void*)location030function;
+      mypath->_callbackname=(mypath->_keeplist)?"location031function":"location030function";
+    }
+    else if(mypath->_text->_admse==admse_terminal)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031terminal:(void*)location030terminal;
+      mypath->_callbackname=(mypath->_keeplist)?"location031terminal":"location030terminal";
+    }
+    else if(mypath->_text->_admse==admse_parameterset)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031parameterset:(void*)location030parameterset;
+      mypath->_callbackname=(mypath->_keeplist)?"location031parameterset":"location030parameterset";
+    }
+    else if(mypath->_text->_admse==admse_parameter)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031parameter:(void*)location030parameter;
+      mypath->_callbackname=(mypath->_keeplist)?"location031parameter":"location030parameter";
+    }
+    else if(mypath->_text->_admse==admse_nodefrominstantiator)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031nodefrominstantiator:(void*)location030nodefrominstantiator;
+      mypath->_callbackname=(mypath->_keeplist)?"location031nodefrominstantiator":"location030nodefrominstantiator";
+    }
+    else if(mypath->_text->_admse==admse_nodefrommodule)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031nodefrommodule:(void*)location030nodefrommodule;
+      mypath->_callbackname=(mypath->_keeplist)?"location031nodefrommodule":"location030nodefrommodule";
+    }
+    else if(mypath->_text->_admse==admse_lhs)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031lhs:(void*)location030lhs;
+      mypath->_callbackname=(mypath->_keeplist)?"location031lhs":"location030lhs";
+    }
+    else if(mypath->_text->_admse==admse_rhs)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031rhs:(void*)location030rhs;
+      mypath->_callbackname=(mypath->_keeplist)?"location031rhs":"location030rhs";
+    }
+    else if(mypath->_text->_admse==admse_if)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031if:(void*)location030if;
+      mypath->_callbackname=(mypath->_keeplist)?"location031if":"location030if";
+    }
+    else if(mypath->_text->_admse==admse_then)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031then:(void*)location030then;
+      mypath->_callbackname=(mypath->_keeplist)?"location031then":"location030then";
+    }
+    else if(mypath->_text->_admse==admse_else)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031else:(void*)location030else;
+      mypath->_callbackname=(mypath->_keeplist)?"location031else":"location030else";
+    }
+    else if(mypath->_text->_admse==admse_initial)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031initial:(void*)location030initial;
+      mypath->_callbackname=(mypath->_keeplist)?"location031initial":"location030initial";
+    }
+    else if(mypath->_text->_admse==admse_condition)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031condition:(void*)location030condition;
+      mypath->_callbackname=(mypath->_keeplist)?"location031condition":"location030condition";
+    }
+    else if(mypath->_text->_admse==admse_update)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031update:(void*)location030update;
+      mypath->_callbackname=(mypath->_keeplist)?"location031update":"location030update";
+    }
+    else if(mypath->_text->_admse==admse_forblock)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031forblock:(void*)location030forblock;
+      mypath->_callbackname=(mypath->_keeplist)?"location031forblock":"location030forblock";
+    }
+    else if(mypath->_text->_admse==admse_while)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031while:(void*)location030while;
+      mypath->_callbackname=(mypath->_keeplist)?"location031while":"location030while";
+    }
+    else if(mypath->_text->_admse==admse_whileblock)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031whileblock:(void*)location030whileblock;
+      mypath->_callbackname=(mypath->_keeplist)?"location031whileblock":"location030whileblock";
+    }
+    else if(mypath->_text->_admse==admse_caseitem)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031caseitem:(void*)location030caseitem;
+      mypath->_callbackname=(mypath->_keeplist)?"location031caseitem":"location030caseitem";
+    }
+    else if(mypath->_text->_admse==admse_defaultcase)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031defaultcase:(void*)location030defaultcase;
+      mypath->_callbackname=(mypath->_keeplist)?"location031defaultcase":"location030defaultcase";
+    }
+    else if(mypath->_text->_admse==admse_string)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031string:(void*)location030string;
+      mypath->_callbackname=(mypath->_keeplist)?"location031string":"location030string";
+    }
+    else if(mypath->_text->_admse==admse_f)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031f:(void*)location030f;
+      mypath->_callbackname=(mypath->_keeplist)?"location031f":"location030f";
+    }
+    else if(mypath->_text->_admse==admse_l)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031l:(void*)location030l;
+      mypath->_callbackname=(mypath->_keeplist)?"location031l":"location030l";
+    }
+    else if(mypath->_text->_admse==admse_c)
+    {
+      mypath->_callback=(mypath->_keeplist)?(void*)location031c:(void*)location030c;
+      mypath->_callbackname=(mypath->_keeplist)?"location031c":"location030c";
+    }
+    else
+    {
+      adms_message_fatal_continue(("element or attribute '%s' does not exist\n",mypath->_text->_value))
+      adms_message_fatal(("see %s\n",adms_transform_uid(mypath->_transform)))
+    }
+  }
+}
+static void postpath (p_path mypath)
+{
+  p_slist l;
+  if(mypath->_callback==(void*)location02)
+  {
+    const char* match=((p_admst)mypath->_text->_token->data)->_item.s;
+    if(!(mypath->_template=lookfortemplates(mypath->_transform,match)))
+    {
+      adms_message_fatal_continue(("Template not found: %s\n",match))
+      adms_message_fatal(("  see:  %s\n",adms_transform_uid(mypath->_transform)))
+    }
+  }
+  for(l=mypath->_bar;l;l=l->next)
+  {
+    p_slist paths=(p_slist)l->data;
+    for(;paths;paths=paths->next)
+    {
+      postpath((p_path)paths->data);
+      attributecallback(mypath,l,paths);
+    }
+  }
+  for(l=mypath->_arg;l;l=l->next)
+  {
+    p_slist paths=(p_slist)l->data;
+    for(;paths;paths=paths->next)
+    {
+      postpath((p_path)paths->data);
+      attributecallback(mypath,l,paths);
+    }
+  }
+  for(l=mypath->_u;l;l=l->next)
+    postpath((p_path)l->data);
+  for(l=mypath->_b;l;l=l->next)
+    postpath((p_path)l->data);
+  for(l=mypath->_c;l;l=l->next)
+    postpath((p_path)l->data);
+  if(mypath->_text)
+    posttext(mypath->_text);
+}
+
+static void location00 (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst d=adms_admst_newpn(dot->_previous,dot->_previouspath,dot);
+  d->_position=dot->_position;
+  d->_count=dot->_count;
+  if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;;
+}
+static void location01 (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst d=adms_admst_newpn(dot->_previouspath->_previous,dot->_previouspath->_previouspath,dot->_previouspath);
+  d->_position=dot->_previouspath->_position;
+  d->_count=dot->_previouspath->_count;
+  if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;;
+}
+typedef p_slist (*_p_cblocation) (p_ptraverse p,p_path mypath,p_admst dot);
+typedef int (*_p_cbcondition) (const p_path mypath,p_admst myadmst);
+
+void free_ptraverse (p_ptraverse p)
+{
+  p_slist l;
+  p_slist ll;
+  for(l=p->_alladmst;l;l=l->next)
+    for(ll=(p_slist)l->data;ll;ll=ll->next)
+      deref((p_admst)ll->data);
+  for(l=p->_aliasadmst;l;l=l->next)
+    deref((p_admst)l->data);
+  for(l=p->_admst;l;l=l->next)
+    deref((p_admst)l->data);
+  adms_slist_free(p->_alladmst);
+  adms_slist_free(p->_aliasadmst);
+  adms_slist_free(p->_admst);
+  free(p);
+}
+static p_slist slash (p_ptraverse p,p_slist paths,p_admst dot)
+{
+  p_slist output=NULL;
+  int premier=1;
+  adms_slist_push(&output,(p_adms)dot);
+  for(;paths;paths=paths->next)
+  {
+    p_slist l;
+    p_path mypath=(p_path)paths->data;
+    p_slist output1=NULL;
+    int dernier=(paths->next==NULL);
+    if(mypath->_callback==(void*)groupage)
+    {
+      int count=0;
+      for(l=output;l;l=l->next)
+        if((((p_admst)l->data)->_selected==admse_yes)&&((p_admst)l->data)->_pseudo!=admse_empty)
+        {
+          p_ptraverse p0=bar((p_admst)l->data,mypath);
+          adms_slist_concat(&output1,p0->_admst);
+          adms_slist_free(p0->_alladmst);
+          free(p0);
+        }
+      for(l=output1;l;l=l->next)
+        ((p_admst)l->data)->_position=++count;
+      p->_position=count;
+    }
+    else
+    {
+      p_admst ai;
+      p->_position=0;
+      p->_a1=p->_an=NULL;
+      for(l=output;l;l=l->next)
+        if((((p_admst)l->data)->_selected==admse_yes)&&((p_admst)l->data)->_pseudo!=admse_empty)
+          ((_p_cblocation)mypath->_callback)(p,mypath,(p_admst)l->data);
+      for(ai=p->_an;ai;ai=ai->_preva)
+        adms_slist_push(&output1,(p_adms)ai);
+    }
+    if(premier)
+      adms_slist_free(output),premier=0;
+    if(!dernier)
+      adms_slist_push(&p->_alladmst,(p_adms)output1);
+    if((mypath->_callback!=(void*)location00)&&(mypath->_callback!=(void*)location01))
+      for(l=output1;l;l=l->next)
+        ((p_admst)l->data)->_count=p->_position;
+    if(mypath->_c)
+    {
+      int count=0;
+      for(l=output1;l;l=l->next)
+        if(((_p_cbcondition)((p_path)mypath->_c->data)->_callback)((p_path)mypath->_c->data,(p_admst)l->data))
+        {
+          count++;
+          if((mypath->_callback!=(void*)location00)&&(mypath->_callback!=(void*)location01))
+            ((p_admst)l->data)->_position=count;
+        }
+        else
+          ((p_admst)l->data)->_selected=admse_no;
+      if((mypath->_callback!=(void*)location00)&&(mypath->_callback!=(void*)location01))
+        for(l=output1;l;l=l->next)
+          ((p_admst)l->data)->_count=count;
+      if(dernier)
+      {
+        p_slist l=output1;
+        p_slist n;
+        p_slist lastnode=NULL;
+        output1=NULL;
+        for(;l;l=n)
+          if(((p_admst)l->data)->_selected==admse_yes)
+          {
+            if(lastnode)
+              lastnode->next=l,lastnode=l;
+            else
+              output1=lastnode=l;
+            n=l->next;  
+          }
+          else
+            n=l->next,deref((p_admst)l->data),free(l);
+        if(lastnode)
+          lastnode->next=NULL;
+      }
+    }
+    output=output1;
+  }
+  return output;
+}
+p_ptraverse bar (p_admst dot,p_path mypath)
+{
+  p_ptraverse p=adms_ptraverse_new(mypath->_transform);
+  p_slist bars;
+  p_slist output=NULL;
+  for(bars=mypath->_bar;bars;bars=bars->next)
+    adms_slist_concat(&output,slash(p,(p_slist)bars->data,dot));
+  p->_admst=output;
+  return p;
+}
+static void addptraverse (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_slist paths0=(p_slist)mypath->_arg->data;
+  p_slist paths1=(p_slist)mypath->_arg->next->data;
+  p_ptraverse p0=adms_ptraverse_new(mypath->_transform);
+  p_slist o0=slash(p0,paths0,dot);
+  p_ptraverse p1=adms_ptraverse_new(mypath->_transform);
+  p_slist o1=slash(p1,paths1,dot);
+  for(;o0&&o1;o0=o0->next,o1=o1->next)
+  {
+    p_admst myadmst0=(p_admst)o0->data;
+    p_admst myadmst1=(p_admst)o1->data;
+    admse mytype0=myadmst0->_pseudo;
+    admse mytype1=myadmst1->_pseudo;
+    if((mytype0==admse_basicinteger)&&(mytype1==admse_basicinteger))
+    {
+      p_admst d=adms_admst_newbi(dot,dot,myadmst0->_item.i+myadmst1->_item.i);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+    else if((mytype0==admse_basicreal)&&(mytype1==admse_basicreal))
+    {
+      p_admst d=adms_admst_newbi(dot,dot,myadmst0->_item.r+myadmst1->_item.r);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+    else
+    {
+      p_admst d=adms_admst_newbi(dot,dot,adms_NAN);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+      adms_message_warning(("%s: '+' operator - case not implemented - sorry!\n",adms_transform_uid(mypath->_transform)))
+    }
+  }
+  for(o0=o1?o1:o0;o0;o0=o0->next)
+  {
+    p_admst myadmst0=(p_admst)o0->data;
+    admse mytype0=myadmst0->_pseudo;
+    if(mytype0==admse_basicinteger)
+    {
+      p_admst d=adms_admst_newbi(dot,dot,myadmst0->_item.i);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+    else if(mytype0==admse_basicreal)
+    {
+      p_admst d=adms_admst_newbr(dot,dot,myadmst0->_item.r);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+    else
+    {
+      p_admst d=adms_admst_newbi(dot,dot,adms_NAN);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+      adms_message_warning(("%s: '+' operator - case not implemented - sorry!\n",adms_transform_uid(mypath->_transform)))
+    }
+  }
+}
+static void addmtraverse (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_slist paths0=(p_slist)mypath->_arg->data;
+  p_slist paths1=(p_slist)mypath->_arg->next->data;
+  p_ptraverse p0=adms_ptraverse_new(mypath->_transform);
+  p_slist o0=slash(p0,paths0,dot);
+  p_ptraverse p1=adms_ptraverse_new(mypath->_transform);
+  p_slist o1=slash(p1,paths1,dot);
+  for(;o0&&o1;o0=o0->next,o1=o1->next)
+  {
+    p_admst myadmst0=(p_admst)o0->data;
+    p_admst myadmst1=(p_admst)o1->data;
+    admse mytype0=myadmst0->_pseudo;
+    admse mytype1=myadmst1->_pseudo;
+    if((mytype0==admse_basicinteger)&&(mytype1==admse_basicinteger))
+    {
+      p_admst d=adms_admst_newbi(dot,dot,myadmst0->_item.i-myadmst1->_item.i);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+    else if((mytype0==admse_basicreal)&&(mytype1==admse_basicreal))
+    {
+      p_admst d=adms_admst_newbi(dot,dot,myadmst0->_item.r-myadmst1->_item.r);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+    else
+    {
+      p_admst d=adms_admst_newbi(dot,dot,adms_NAN);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+      adms_message_warning(("%s: '-' operator - case not implemented - sorry!\n",adms_transform_uid(mypath->_transform)))
+    }
+  }
+  for(;o0;o0=o0->next)
+  {
+    p_admst myadmst0=(p_admst)o0->data;
+    admse mytype0=myadmst0->_pseudo;
+    if(mytype0==admse_basicinteger)
+    {
+      p_admst d=adms_admst_newbi(dot,dot,myadmst0->_item.i);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+    else if(mytype0==admse_basicreal)
+    {
+      p_admst d=adms_admst_newbr(dot,dot,myadmst0->_item.r);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+    else
+    {
+      p_admst d=adms_admst_newbi(dot,dot,adms_NAN);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+      adms_message_warning(("%s: '-' operator - case not implemented - sorry!\n",adms_transform_uid(mypath->_transform)))
+    }
+  }
+  for(;o1;o1=o1->next)
+  {
+    p_admst myadmst1=(p_admst)o1->data;
+    admse mytype1=myadmst1->_pseudo;
+    if(mytype1==admse_basicinteger)
+    {
+      p_admst d=adms_admst_newbi(dot,dot,-myadmst1->_item.i);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+    else if(mytype1==admse_basicreal)
+    {
+      p_admst d=adms_admst_newbr(dot,dot,-myadmst1->_item.r);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+    else
+    {
+      p_admst d=adms_admst_newbi(dot,dot,adms_NAN);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+      adms_message_warning(("%s: '-' operator - case not implemented - sorry!\n",adms_transform_uid(mypath->_transform)))
+    }
+  }
+}
+static void multtimetraverse (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_slist paths0=(p_slist)mypath->_arg->data;
+  p_slist paths1=(p_slist)mypath->_arg->next->data;
+  p_ptraverse p0=adms_ptraverse_new(mypath->_transform);
+  p_slist o0=slash(p0,paths0,dot);
+  p_ptraverse p1=adms_ptraverse_new(mypath->_transform);
+  p_slist o1=slash(p1,paths1,dot);
+  for(;o0&&o1;o0=o0->next,o1=o1->next)
+  {
+    p_admst myadmst0=(p_admst)o0->data;
+    p_admst myadmst1=(p_admst)o1->data;
+    admse mytype0=myadmst0->_pseudo;
+    admse mytype1=myadmst1->_pseudo;
+    if((mytype0==admse_basicinteger)&&(mytype1==admse_basicinteger))
+    {
+      p_admst d=adms_admst_newbi(dot,dot,myadmst0->_item.i*myadmst1->_item.i);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+    else if((mytype0==admse_basicreal)&&(mytype1==admse_basicreal))
+    {
+      p_admst d=adms_admst_newbi(dot,dot,myadmst0->_item.r*myadmst1->_item.r);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+    else
+      adms_message_fatal(("%s: '*' operator - case not implemented - sorry!\n",adms_transform_uid(mypath->_transform)))
+  }
+  for(o0=o1?o1:o0;o0;o0=o0->next)
+  {
+    p_admst myadmst0=(p_admst)o0->data;
+    admse mytype0=myadmst0->_pseudo;
+    if(mytype0==admse_basicinteger)
+    {
+      p_admst d=adms_admst_newbi(dot,dot,myadmst0->_item.i);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+    else
+      adms_message_fatal(("%s: '*' operator - case not implemented - sorry!\n",adms_transform_uid(mypath->_transform)))
+  }
+}
+static void multdivtraverse (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_slist paths0=(p_slist)mypath->_arg->data;
+  p_slist paths1=(p_slist)mypath->_arg->next->data;
+  p_ptraverse p0=adms_ptraverse_new(mypath->_transform);
+  p_slist o0=slash(p0,paths0,dot);
+  p_ptraverse p1=adms_ptraverse_new(mypath->_transform);
+  p_slist o1=slash(p1,paths1,dot);
+  for(;o0&&o1;o0=o0->next,o1=o1->next)
+  {
+    p_admst myadmst0=(p_admst)o0->data;
+    p_admst myadmst1=(p_admst)o1->data;
+    admse mytype0=myadmst0->_pseudo;
+    admse mytype1=myadmst1->_pseudo;
+    if((mytype0==admse_basicinteger)&&(mytype1==admse_basicinteger))
+    {
+      p_admst d=adms_admst_newbr(dot,dot,(double)myadmst0->_item.i/(double)myadmst1->_item.i);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+    else if((mytype0==admse_basicreal)&&(mytype1==admse_basicreal))
+    {
+      p_admst d=adms_admst_newbi(dot,dot,myadmst0->_item.r/myadmst1->_item.r);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+    else
+      adms_message_fatal(("%s: ':' operator - case not implemented - sorry!\n",adms_transform_uid(mypath->_transform)))
+  }
+  for(;o0;o0=o0->next)
+  {
+    p_admst myadmst0=(p_admst)o0->data;
+    admse mytype0=myadmst0->_pseudo;
+    if(mytype0==admse_basicinteger)
+    {
+      p_admst d=adms_admst_newbr(dot,dot,(double)myadmst0->_item.i/1.0);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+    else if(mytype0==admse_basicreal)
+    {
+      p_admst d=adms_admst_newbr(dot,dot,myadmst0->_item.r/1.0);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+    else
+      adms_message_fatal(("%s: ':' operator - case not implemented - sorry!\n",adms_transform_uid(mypath->_transform)))
+  }
+  for(;o1;o1=o1->next)
+  {
+    p_admst myadmst1=(p_admst)o1->data;
+    admse mytype1=myadmst1->_pseudo;
+    if(mytype1==admse_basicinteger)
+    {
+      p_admst d=adms_admst_newbr(dot,dot,1.0/(double)myadmst1->_item.i);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+    else if(mytype1==admse_basicreal)
+    {
+      p_admst d=adms_admst_newbr(dot,dot,1.0/myadmst1->_item.r);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+    else
+      adms_message_fatal(("%s: ':' operator - case not implemented - sorry!\n",adms_transform_uid(mypath->_transform)))
+  }
+}
+static void unaryptraverse (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_slist paths0=(p_slist)mypath->_arg->data;
+  p_ptraverse p0=adms_ptraverse_new(mypath->_transform);
+  p_slist o0=slash(p0,paths0,dot);
+  for(;o0;o0=o0->next)
+  {
+    p_admst myadmst0=(p_admst)o0->data;
+    admse mytype0=myadmst0->_pseudo;
+    if(mytype0==admse_basicinteger)
+    {
+      p_admst d=adms_admst_newbi(dot,dot,+myadmst0->_item.i);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+    else if(mytype0==admse_basicreal)
+    {
+      p_admst d=adms_admst_newbr(dot,dot,+myadmst0->_item.r);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+    else
+      adms_message_fatal(("%s: '+' operator - case not implemented - sorry!\n",adms_transform_uid(mypath->_transform)))
+  }
+}
+static void unarymtraverse (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_slist paths0=(p_slist)mypath->_arg->data;
+  p_ptraverse p0=adms_ptraverse_new(mypath->_transform);
+  p_slist o0=slash(p0,paths0,dot);
+  for(;o0;o0=o0->next)
+  {
+    p_admst myadmst0=(p_admst)o0->data;
+    admse mytype0=myadmst0->_pseudo;
+    if(mytype0==admse_basicinteger)
+    {
+      p_admst d=adms_admst_newbi(dot,dot,-myadmst0->_item.i);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+    else if(mytype0==admse_basicreal)
+    {
+      p_admst d=adms_admst_newbr(dot,dot,-myadmst0->_item.r);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+    }
+    else
+      adms_message_fatal(("%s: '-' operator - case not implemented - sorry!\n",adms_transform_uid(mypath->_transform)))
+  }
+}
+static void incrptraverse (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_slist paths0=(p_slist)mypath->_arg->data;
+  p_ptraverse p0=adms_ptraverse_new(mypath->_transform);
+  p_slist o0=slash(p0,paths0,dot);
+  for(;o0;o0=o0->next)
+  {
+    p_admst myadmst0=(p_admst)o0->data;
+    admse mytype0=myadmst0->_pseudo;
+    if(mytype0==admse_basiclist)
+    {
+      p_slist dollarlist=((p_admstvariable)myadmst0->_item.p)->_value;
+      for(;dollarlist;dollarlist=dollarlist->next)
+      {
+        p_admst myadmst1=(p_admst)dollarlist->data;
+        admse mytype1=myadmst1->_pseudo;
+        if(mytype1==admse_basicinteger)
+        {
+          p_admst d=adms_admst_newbi(dot,dot,myadmst1->_item.i);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+          myadmst1->_item.i+=1;
+        }
+        else if(mytype1==admse_basicreal)
+        {
+          p_admst d=adms_admst_newbr(dot,dot,myadmst1->_item.r);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+          myadmst1->_item.r+=1.0;
+        }
+        else
+          adms_message_fatal(("%s: '++' operator - case not implemented - sorry!\n",adms_transform_uid(mypath->_transform)))
+      }
+    }
+  }
+}
+static void incrmtraverse (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_slist paths0=(p_slist)mypath->_arg->data;
+  p_ptraverse p0=adms_ptraverse_new(mypath->_transform);
+  p_slist o0=slash(p0,paths0,dot);
+  for(;o0;o0=o0->next)
+  {
+    p_admst myadmst0=(p_admst)o0->data;
+    admse mytype0=myadmst0->_pseudo;
+    if(mytype0==admse_basiclist)
+    {
+      p_slist dollarlist=((p_admstvariable)myadmst0->_item.p)->_value;
+      for(;dollarlist;dollarlist=dollarlist->next)
+      {
+        p_admst myadmst1=(p_admst)dollarlist->data;
+        admse mytype1=myadmst1->_pseudo;
+        if(mytype1==admse_basicinteger)
+        {
+          p_admst d=adms_admst_newbi(dot,dot,myadmst1->_item.i);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+          myadmst1->_item.i-=1;
+        }
+        else if(mytype1==admse_basicreal)
+        {
+          p_admst d=adms_admst_newbr(dot,dot,myadmst1->_item.r);if(d->_preva) d->_preva->_nexta=d->_nexta,d->_preva=NULL; if(d->_nexta) d->_nexta->_preva=d->_preva,d->_nexta=NULL;if(p->_a1==NULL) p->_a1=p->_an=d; else p->_an->_nexta=d,d->_preva=p->_an,p->_an=d;d->_position=++p->_position;
+          myadmst1->_item.r-=1.0;
+        }
+        else
+          adms_message_fatal(("%s: '--' operator - case not implemented - sorry!\n",adms_transform_uid(mypath->_transform)))
+      }
+    }
+  }
+}
+static int expression01 (const p_path mypath,p_admst dot)
+{
+  p_ptraverse p0=bar(dot,(p_path)mypath->_u->data);
+  int pos=dot->_position;
+  p_slist l;
+  for(l=p0->_admst;l;l=l->next)
+  {
+    p_admst myadmst0=(p_admst)l->data;
+    admse mytype0=myadmst0->_pseudo;
+    if(mytype0==admse_basicinteger)
+    {
+      if(pos==myadmst0->_item.i)
+      {
+        free_ptraverse(p0);
+        return 1;
+      }
+    }
+    else
+      adms_message_fatal(("%s: 'integer' expected!\n",adms_transform_uid(mypath->_transform)))
+  }
+  free_ptraverse(p0);
+  return 0;
+}
+
+#define YYLEX_PARAM mypparse
+#define YYPARSE_PARAM mypparse
+#define admstpatherror(msgid) admstpatherrorimpl(mypparse,msgid)
+
+#define ISPATH     ((int)(long)globalctxt->data==0)
+#define ISTEXT     ((int)(long)globalctxt->data==1)
+#define ISTIC      ((int)(long)globalctxt->data==2)
+#define SETPATH    adms_slist_push(&globalctxt,(p_adms)0);
+#define SETTEXT    adms_slist_push(&globalctxt,(p_adms)1);
+#define SETTIC     adms_slist_push(&globalctxt,(p_adms)2);
+#define PARENMATCH (parenidx&&paren==(int)(long)parenidx->data)
+#define INSIDEPATH (globalctxt&&(globalctxt->next)&&(((int)(long)globalctxt->next->data)==0))
+
+static p_slist globalctxt=NULL;
+static int goto_atext=0;
+static int paren=0;
+static p_slist parenidx=NULL;
+static int globalignorequote=0;
+
+static p_path BASICSTRING (const p_pparse mypparse,char *mytvalue)
+{
+  p_path ret=adms_path_new(mypparse->_transform,adms_text_new(mypparse->_transform,mytvalue));
+  adms_slist_push(&(ret->_text->_token),(p_adms)adms_admst_newks(mytvalue));
+  ret->_text->_admse=ns_strtoe(((p_admst)ret->_text->_token->data)->_item.s);
+  ret->_aname=adms_kclone(mytvalue);
+  return ret;
+}
+static p_path BASICINTEGER (const p_pparse mypparse,char *mytvalue)
+{
+  p_path ret=adms_path_new(mypparse->_transform,adms_text_new(mypparse->_transform,mytvalue));
+  adms_slist_push(&(ret->_text->_token),(p_adms)adms_admst_newbi(NULL,NULL,adms_strtol(mypparse->_transform,mytvalue)));
+  ((p_admst)ret->_text->_token->data)->_refd=0;
+  ret->_text->_admse=admse__any;
+  ret->_aname=adms_kclone(mytvalue);
+  return ret;
+}
+
+int is_admst (const char* name)
+{
+  return (((strlen(name)==5)&&!strncmp(name,"admst",5))||((strlen(name)>5)&&!strncmp(name,"admst:",6)));
+}
+void dbtext (p_text mytext)
+{
+  p_slist li;
+  if(mytext->_aname)
+    adms_message_admstdbg_impl("<text n=\"%s\" v=\"%s\" e=\"%s\">",mytext->_aname,mytext->_value,ns_etostr(mytext->_admse));
+  else
+    adms_message_admstdbg_impl("<text v=\"%s\" e=\"%s\">",mytext->_value,ns_etostr(mytext->_admse));
+  for(li=mytext->_token;li;li=li->next)
+  {
+    p_adms mytoken=li->data;
+    if(mytoken->_datatypename==admse_text && ((p_text)mytoken)->_admse==admse__s)
+      adms_message_admstdbg_impl("<token t=\"special-%%s\" e=\"%s\"/>\n",ns_etostr(((p_text)mytoken)->_admse));
+    else if(mytoken->_datatypename==admse_text)
+      dbtext((p_text)mytoken);
+    else if(mytoken->_datatypename==admse_admst)
+    {
+      adms_message_admstdbg_impl("<token t=\"admst\" pseudo=\"%s\">",ns_etostr(((p_admst)mytoken)->_pseudo));
+      adms_message_admstdbg_impl("%s",aprintf(mytext->_transform,(p_admst)mytoken));
+      adms_message_admstdbg_impl("</token>\n");
+    }
+    else if(mytoken->_datatypename==admse_path)
+    {
+      adms_message_admstdbg_impl("<token t=\"%%\">");
+      dbpath((p_path)mytoken);
+      adms_message_admstdbg_impl("</token>");
+    }
+  }
+  if(mytext->_aname)
+    adms_message_admstdbg_impl("</text>\n",mytext->_aname);
+  else
+    adms_message_admstdbg_impl("</text>\n");
+}
+void dbpath (p_path mypath)
+{
+  if(mypath->_text||mypath->_bar||mypath->_arg||mypath->_u||mypath->_b||mypath->_c)
+  {
+    adms_message_admstdbg_impl("<%s keeplist=\"%i\">",mypath->_callbackname,mypath->_keeplist);
+    if(mypath->_bar)
+    {
+      p_slist bar;
+      for(bar=mypath->_bar;bar;bar=bar->next)
+      {
+        p_slist l=(p_slist)bar->data;
+        adms_message_admstdbg_impl("<bar>");
+        for(;l;l=l->next)
+          dbpath((p_path)l->data);
+        adms_message_admstdbg_impl("</bar>");
+      }
+    }
+    if(mypath->_arg)
+    {
+      p_slist arg;
+      for(arg=mypath->_arg;arg;arg=arg->next)
+      {
+        p_slist l=(p_slist)arg->data;
+        adms_message_admstdbg_impl("<arg>");
+        for(;l;l=l->next)
+          dbpath((p_path)l->data);
+        adms_message_admstdbg_impl("</arg>");
+      }
+    }
+    if(mypath->_u)
+    {
+      p_slist l;
+      adms_message_admstdbg_impl("<u>");
+      for(l=mypath->_u;l;l=l->next)
+        dbpath((p_path)l->data);
+      adms_message_admstdbg_impl("</u>");
+    }
+    if(mypath->_b)
+    {
+      p_slist l;
+      adms_message_admstdbg_impl("<b>");
+      for(l=mypath->_b;l;l=l->next)
+        dbpath((p_path)l->data);
+      adms_message_admstdbg_impl("</b>");
+    }
+    if(mypath->_c)
+    {
+      p_slist l;
+      adms_message_admstdbg_impl("<c>");
+      for(l=mypath->_c;l;l=l->next)
+        dbpath((p_path)l->data);
+      adms_message_admstdbg_impl("</c>");
+    }
+    if(mypath->_text)
+      dbtext(mypath->_text);
+    adms_message_admstdbg_impl("</%s>",mypath->_callbackname);
+  }
+  else
+    adms_message_admstdbg_impl("<%s/>",mypath->_callbackname);
+}
+
+static int binaryand (const p_path mypath,p_admst myadmst)
+{
+  if(((_p_cbcondition)((p_path)mypath->_b->data)->_callback)((p_path)mypath->_b->data,myadmst))
+    return ((_p_cbcondition)((p_path)mypath->_b->next->data)->_callback)((p_path)mypath->_b->next->data,myadmst);
+  return 0;
+}
+static int binaryor (const p_path mypath,p_admst myadmst)
+{
+  if(!((_p_cbcondition)((p_path)mypath->_b->data)->_callback)((p_path)mypath->_b->data,myadmst))
+    return ((_p_cbcondition)((p_path)mypath->_b->next->data)->_callback)((p_path)mypath->_b->next->data,myadmst);
+  return 1;
+}
+
+static int atomicnilled (const p_path mypath,p_admst myadmst)
+{
+  p_admst myadmst0;
+  p_ptraverse p0=bar(myadmst,(p_path)mypath->_u->data);
+  int passed=(p0->_admst==NULL)||(p0->_admst->data==NULL)||((myadmst0=aread((p_admst)p0->_admst->data))==NULL)||(myadmst0->_item.p==NULL);
+  free_ptraverse(p0);
+  return passed;
+}
+static int atomicexists (const p_path mypath,p_admst myadmst)
+{
+  return !atomicnilled(mypath,myadmst);
+}
+static int atomicisdefault (const p_path mypath,p_admst myadmst)
+{
+  if(myadmst->_pseudo==admse_expression && mypath->_text->_admse==admse_static) return ((p_math)(myadmst->_item.p))->_static_isdefault;
+  if(myadmst->_pseudo==admse_expression && mypath->_text->_admse==admse_dynamic) return ((p_math)(myadmst->_item.p))->_dynamic_isdefault;
+  if(myadmst->_pseudo==admse_nilled && mypath->_text->_admse==admse_static) return ((p_math)(myadmst->_item.p))->_static_isdefault;
+  if(myadmst->_pseudo==admse_nilled && mypath->_text->_admse==admse_dynamic) return ((p_math)(myadmst->_item.p))->_dynamic_isdefault;
+  if(myadmst->_pseudo==admse_assignment && mypath->_text->_admse==admse_static) return ((p_math)(myadmst->_item.p))->_static_isdefault;
+  if(myadmst->_pseudo==admse_assignment && mypath->_text->_admse==admse_dynamic) return ((p_math)(myadmst->_item.p))->_dynamic_isdefault;
+  if(myadmst->_pseudo==admse_contribution && mypath->_text->_admse==admse_static) return ((p_math)(myadmst->_item.p))->_static_isdefault;
+  if(myadmst->_pseudo==admse_contribution && mypath->_text->_admse==admse_dynamic) return ((p_math)(myadmst->_item.p))->_dynamic_isdefault;
+  if(myadmst->_pseudo==admse_conditional && mypath->_text->_admse==admse_static) return ((p_math)(myadmst->_item.p))->_static_isdefault;
+  if(myadmst->_pseudo==admse_conditional && mypath->_text->_admse==admse_dynamic) return ((p_math)(myadmst->_item.p))->_dynamic_isdefault;
+  if(myadmst->_pseudo==admse_forloop && mypath->_text->_admse==admse_static) return ((p_math)(myadmst->_item.p))->_static_isdefault;
+  if(myadmst->_pseudo==admse_forloop && mypath->_text->_admse==admse_dynamic) return ((p_math)(myadmst->_item.p))->_dynamic_isdefault;
+  if(myadmst->_pseudo==admse_whileloop && mypath->_text->_admse==admse_static) return ((p_math)(myadmst->_item.p))->_static_isdefault;
+  if(myadmst->_pseudo==admse_whileloop && mypath->_text->_admse==admse_dynamic) return ((p_math)(myadmst->_item.p))->_dynamic_isdefault;
+  if(myadmst->_pseudo==admse_case && mypath->_text->_admse==admse_static) return ((p_math)(myadmst->_item.p))->_static_isdefault;
+  if(myadmst->_pseudo==admse_case && mypath->_text->_admse==admse_dynamic) return ((p_math)(myadmst->_item.p))->_dynamic_isdefault;
+  if(myadmst->_pseudo==admse_blockvariable && mypath->_text->_admse==admse_static) return ((p_math)(myadmst->_item.p))->_static_isdefault;
+  if(myadmst->_pseudo==admse_blockvariable && mypath->_text->_admse==admse_dynamic) return ((p_math)(myadmst->_item.p))->_dynamic_isdefault;
+  if(myadmst->_pseudo==admse_block && mypath->_text->_admse==admse_static) return ((p_math)(myadmst->_item.p))->_static_isdefault;
+  if(myadmst->_pseudo==admse_block && mypath->_text->_admse==admse_dynamic) return ((p_math)(myadmst->_item.p))->_dynamic_isdefault;
+  if(myadmst->_pseudo==admse_callfunction && mypath->_text->_admse==admse_static) return ((p_math)(myadmst->_item.p))->_static_isdefault;
+  if(myadmst->_pseudo==admse_callfunction && mypath->_text->_admse==admse_dynamic) return ((p_math)(myadmst->_item.p))->_dynamic_isdefault;
+  if(myadmst->_pseudo==admse_simulator && mypath->_text->_admse==admse_name) return ((p_simulator)(myadmst->_item.p))->_name_isdefault;
+  if(myadmst->_pseudo==admse_simulator && mypath->_text->_admse==admse_currentdate) return ((p_simulator)(myadmst->_item.p))->_currentdate_isdefault;
+  if(myadmst->_pseudo==admse_simulator && mypath->_text->_admse==admse_developer) return ((p_simulator)(myadmst->_item.p))->_developer_isdefault;
+  if(myadmst->_pseudo==admse_simulator && mypath->_text->_admse==admse_fullname) return ((p_simulator)(myadmst->_item.p))->_fullname_isdefault;
+  if(myadmst->_pseudo==admse_simulator && mypath->_text->_admse==admse_package_name) return ((p_simulator)(myadmst->_item.p))->_package_name_isdefault;
+  if(myadmst->_pseudo==admse_simulator && mypath->_text->_admse==admse_package_tarname) return ((p_simulator)(myadmst->_item.p))->_package_tarname_isdefault;
+  if(myadmst->_pseudo==admse_simulator && mypath->_text->_admse==admse_package_version) return ((p_simulator)(myadmst->_item.p))->_package_version_isdefault;
+  if(myadmst->_pseudo==admse_simulator && mypath->_text->_admse==admse_package_string) return ((p_simulator)(myadmst->_item.p))->_package_string_isdefault;
+  if(myadmst->_pseudo==admse_simulator && mypath->_text->_admse==admse_package_bugreport) return ((p_simulator)(myadmst->_item.p))->_package_bugreport_isdefault;
+  if(myadmst->_pseudo==admse_simulator && mypath->_text->_admse==admse_probe) return ((p_simulator)(myadmst->_item.p))->_probe_isdefault;
+  if(myadmst->_pseudo==admse_simulator && mypath->_text->_admse==admse_tmp) return ((p_simulator)(myadmst->_item.p))->_tmp_isdefault;
+  if(myadmst->_pseudo==admse_simulator && mypath->_text->_admse==admse_tmp1) return ((p_simulator)(myadmst->_item.p))->_tmp1_isdefault;
+  if(myadmst->_pseudo==admse_simulator && mypath->_text->_admse==admse_br1) return ((p_simulator)(myadmst->_item.p))->_br1_isdefault;
+  if(myadmst->_pseudo==admse_simulator && mypath->_text->_admse==admse_br2) return ((p_simulator)(myadmst->_item.p))->_br2_isdefault;
+  if(myadmst->_pseudo==admse_simulator && mypath->_text->_admse==admse_sr1) return ((p_simulator)(myadmst->_item.p))->_sr1_isdefault;
+  if(myadmst->_pseudo==admse_simulator && mypath->_text->_admse==admse_ddx) return ((p_simulator)(myadmst->_item.p))->_ddx_isdefault;
+  if(myadmst->_pseudo==admse_admsmain && mypath->_text->_admse==admse_name) return ((p_admsmain)(myadmst->_item.p))->_name_isdefault;
+  if(myadmst->_pseudo==admse_admsmain && mypath->_text->_admse==admse_argc) return ((p_admsmain)(myadmst->_item.p))->_argc_isdefault;
+  if(myadmst->_pseudo==admse_admsmain && mypath->_text->_admse==admse_fullfilename) return ((p_admsmain)(myadmst->_item.p))->_fullfilename_isdefault;
+  if(myadmst->_pseudo==admse_admsmain && mypath->_text->_admse==admse_filename) return ((p_admsmain)(myadmst->_item.p))->_filename_isdefault;
+  if(myadmst->_pseudo==admse_admsmain && mypath->_text->_admse==admse_curfilename) return ((p_admsmain)(myadmst->_item.p))->_curfilename_isdefault;
+  if(myadmst->_pseudo==admse_admsmain && mypath->_text->_admse==admse_curline) return ((p_admsmain)(myadmst->_item.p))->_curline_isdefault;
+  if(myadmst->_pseudo==admse_admsmain && mypath->_text->_admse==admse_fpos) return ((p_admsmain)(myadmst->_item.p))->_fpos_isdefault;
+  if(myadmst->_pseudo==admse_admsmain && mypath->_text->_admse==admse_simulator) return ((p_admsmain)(myadmst->_item.p))->_simulator_isdefault;
+  if(myadmst->_pseudo==admse_admsmain && mypath->_text->_admse==admse_info) return ((p_admsmain)(myadmst->_item.p))->_info_isdefault;
+  if(myadmst->_pseudo==admse_admsmain && mypath->_text->_admse==admse_usage) return ((p_admsmain)(myadmst->_item.p))->_usage_isdefault;
+  if(myadmst->_pseudo==admse_admsmain && mypath->_text->_admse==admse_verbose) return ((p_admsmain)(myadmst->_item.p))->_verbose_isdefault;
+  if(myadmst->_pseudo==admse_admsmain && mypath->_text->_admse==admse_hint) return ((p_admsmain)(myadmst->_item.p))->_hint_isdefault;
+  if(myadmst->_pseudo==admse_admsmain && mypath->_text->_admse==admse_warning) return ((p_admsmain)(myadmst->_item.p))->_warning_isdefault;
+  if(myadmst->_pseudo==admse_admsmain && mypath->_text->_admse==admse_obsolete) return ((p_admsmain)(myadmst->_item.p))->_obsolete_isdefault;
+  if(myadmst->_pseudo==admse_admsmain && mypath->_text->_admse==admse_debug) return ((p_admsmain)(myadmst->_item.p))->_debug_isdefault;
+  if(myadmst->_pseudo==admse_admsmain && mypath->_text->_admse==admse_dbg_vla) return ((p_admsmain)(myadmst->_item.p))->_dbg_vla_isdefault;
+  if(myadmst->_pseudo==admse_admsmain && mypath->_text->_admse==admse_dbg_xml) return ((p_admsmain)(myadmst->_item.p))->_dbg_xml_isdefault;
+  if(myadmst->_pseudo==admse_admsmain && mypath->_text->_admse==admse_error) return ((p_admsmain)(myadmst->_item.p))->_error_isdefault;
+  if(myadmst->_pseudo==admse_admsmain && mypath->_text->_admse==admse_fatal) return ((p_admsmain)(myadmst->_item.p))->_fatal_isdefault;
+  if(myadmst->_pseudo==admse_admsmain && mypath->_text->_admse==admse_dbg_mem) return ((p_admsmain)(myadmst->_item.p))->_dbg_mem_isdefault;
+  if(myadmst->_pseudo==admse_admsmain && mypath->_text->_admse==admse_module) return ((p_admsmain)(myadmst->_item.p))->_module_isdefault;
+  if(myadmst->_pseudo==admse_admsmain && mypath->_text->_admse==admse_discipline) return ((p_admsmain)(myadmst->_item.p))->_discipline_isdefault;
+  if(myadmst->_pseudo==admse_admsmain && mypath->_text->_admse==admse_nature) return ((p_admsmain)(myadmst->_item.p))->_nature_isdefault;
+  if(myadmst->_pseudo==admse_admsmain && mypath->_text->_admse==admse_variable) return ((p_admsmain)(myadmst->_item.p))->_variable_isdefault;
+  if(myadmst->_pseudo==admse_admsmain && mypath->_text->_admse==admse_argv) return ((p_admsmain)(myadmst->_item.p))->_argv_isdefault;
+  if(myadmst->_pseudo==admse_admsmain && mypath->_text->_admse==admse_transform) return ((p_admsmain)(myadmst->_item.p))->_transform_isdefault;
+  if(myadmst->_pseudo==admse_admsmain && mypath->_text->_admse==admse_invtransform) return ((p_admsmain)(myadmst->_item.p))->_invtransform_isdefault;
+  if(myadmst->_pseudo==admse_admsmain && mypath->_text->_admse==admse_itransform) return ((p_admsmain)(myadmst->_item.p))->_itransform_isdefault;
+  if(myadmst->_pseudo==admse_admsmain && mypath->_text->_admse==admse_itransforminsideapplytemplate) return ((p_admsmain)(myadmst->_item.p))->_itransforminsideapplytemplate_isdefault;
+  if(myadmst->_pseudo==admse_admsmain && mypath->_text->_admse==admse_valueof) return ((p_admsmain)(myadmst->_item.p))->_valueof_isdefault;
+  if(myadmst->_pseudo==admse_list && mypath->_text->_admse==admse_datatype) return ((p_list)(myadmst->_item.p))->_datatype_isdefault;
+  if(myadmst->_pseudo==admse_list && mypath->_text->_admse==admse_item) return ((p_list)(myadmst->_item.p))->_item_isdefault;
+  if(myadmst->_pseudo==admse_nature && mypath->_text->_admse==admse_access) return ((p_nature)(myadmst->_item.p))->_access_isdefault;
+  if(myadmst->_pseudo==admse_nature && mypath->_text->_admse==admse_name) return ((p_nature)(myadmst->_item.p))->_name_isdefault;
+  if(myadmst->_pseudo==admse_nature && mypath->_text->_admse==admse_abstol) return ((p_nature)(myadmst->_item.p))->_abstol_isdefault;
+  if(myadmst->_pseudo==admse_nature && mypath->_text->_admse==admse_base) return ((p_nature)(myadmst->_item.p))->_base_isdefault;
+  if(myadmst->_pseudo==admse_nature && mypath->_text->_admse==admse_ddt_name) return ((p_nature)(myadmst->_item.p))->_ddt_name_isdefault;
+  if(myadmst->_pseudo==admse_nature && mypath->_text->_admse==admse_ddt_nature) return ((p_nature)(myadmst->_item.p))->_ddt_nature_isdefault;
+  if(myadmst->_pseudo==admse_nature && mypath->_text->_admse==admse_idt_name) return ((p_nature)(myadmst->_item.p))->_idt_name_isdefault;
+  if(myadmst->_pseudo==admse_nature && mypath->_text->_admse==admse_idt_nature) return ((p_nature)(myadmst->_item.p))->_idt_nature_isdefault;
+  if(myadmst->_pseudo==admse_nature && mypath->_text->_admse==admse_units) return ((p_nature)(myadmst->_item.p))->_units_isdefault;
+  if(myadmst->_pseudo==admse_discipline && mypath->_text->_admse==admse_name) return ((p_discipline)(myadmst->_item.p))->_name_isdefault;
+  if(myadmst->_pseudo==admse_discipline && mypath->_text->_admse==admse_flow) return ((p_discipline)(myadmst->_item.p))->_flow_isdefault;
+  if(myadmst->_pseudo==admse_discipline && mypath->_text->_admse==admse_potential) return ((p_discipline)(myadmst->_item.p))->_potential_isdefault;
+  if(myadmst->_pseudo==admse_discipline && mypath->_text->_admse==admse_domain) return ((p_discipline)(myadmst->_item.p))->_domain_isdefault;
+  if(myadmst->_pseudo==admse_module && mypath->_text->_admse==admse_name) return ((p_module)(myadmst->_item.p))->_name_isdefault;
+  if(myadmst->_pseudo==admse_module && mypath->_text->_admse==admse_node) return ((p_module)(myadmst->_item.p))->_node_isdefault;
+  if(myadmst->_pseudo==admse_module && mypath->_text->_admse==admse_nodealias) return ((p_module)(myadmst->_item.p))->_nodealias_isdefault;
+  if(myadmst->_pseudo==admse_module && mypath->_text->_admse==admse_branch) return ((p_module)(myadmst->_item.p))->_branch_isdefault;
+  if(myadmst->_pseudo==admse_module && mypath->_text->_admse==admse_branchalias) return ((p_module)(myadmst->_item.p))->_branchalias_isdefault;
+  if(myadmst->_pseudo==admse_module && mypath->_text->_admse==admse_analogfunction) return ((p_module)(myadmst->_item.p))->_analogfunction_isdefault;
+  if(myadmst->_pseudo==admse_module && mypath->_text->_admse==admse_instance) return ((p_module)(myadmst->_item.p))->_instance_isdefault;
+  if(myadmst->_pseudo==admse_module && mypath->_text->_admse==admse_variable) return ((p_module)(myadmst->_item.p))->_variable_isdefault;
+  if(myadmst->_pseudo==admse_module && mypath->_text->_admse==admse_analog) return ((p_module)(myadmst->_item.p))->_analog_isdefault;
+  if(myadmst->_pseudo==admse_module && mypath->_text->_admse==admse_block) return ((p_module)(myadmst->_item.p))->_block_isdefault;
+  if(myadmst->_pseudo==admse_module && mypath->_text->_admse==admse_blockvariable) return ((p_module)(myadmst->_item.p))->_blockvariable_isdefault;
+  if(myadmst->_pseudo==admse_module && mypath->_text->_admse==admse_assignment) return ((p_module)(myadmst->_item.p))->_assignment_isdefault;
+  if(myadmst->_pseudo==admse_module && mypath->_text->_admse==admse_callfunction) return ((p_module)(myadmst->_item.p))->_callfunction_isdefault;
+  if(myadmst->_pseudo==admse_module && mypath->_text->_admse==admse_contribution) return ((p_module)(myadmst->_item.p))->_contribution_isdefault;
+  if(myadmst->_pseudo==admse_module && mypath->_text->_admse==admse_conditional) return ((p_module)(myadmst->_item.p))->_conditional_isdefault;
+  if(myadmst->_pseudo==admse_module && mypath->_text->_admse==admse_case) return ((p_module)(myadmst->_item.p))->_case_isdefault;
+  if(myadmst->_pseudo==admse_module && mypath->_text->_admse==admse_forloop) return ((p_module)(myadmst->_item.p))->_forloop_isdefault;
+  if(myadmst->_pseudo==admse_module && mypath->_text->_admse==admse_whileloop) return ((p_module)(myadmst->_item.p))->_whileloop_isdefault;
+  if(myadmst->_pseudo==admse_module && mypath->_text->_admse==admse_instantiator) return ((p_module)(myadmst->_item.p))->_instantiator_isdefault;
+  if(myadmst->_pseudo==admse_module && mypath->_text->_admse==admse_expression) return ((p_module)(myadmst->_item.p))->_expression_isdefault;
+  if(myadmst->_pseudo==admse_module && mypath->_text->_admse==admse_jacobian) return ((p_module)(myadmst->_item.p))->_jacobian_isdefault;
+  if(myadmst->_pseudo==admse_module && mypath->_text->_admse==admse_probe) return ((p_module)(myadmst->_item.p))->_probe_isdefault;
+  if(myadmst->_pseudo==admse_module && mypath->_text->_admse==admse_source) return ((p_module)(myadmst->_item.p))->_source_isdefault;
+  if(myadmst->_pseudo==admse_module && mypath->_text->_admse==admse_range) return ((p_module)(myadmst->_item.p))->_range_isdefault;
+  if(myadmst->_pseudo==admse_module && mypath->_text->_admse==admse_attribute) return ((p_module)(myadmst->_item.p))->_attribute_isdefault;
+  if(myadmst->_pseudo==admse_module && mypath->_text->_admse==admse_evaluation) return ((p_module)(myadmst->_item.p))->_evaluation_isdefault;
+  if(myadmst->_pseudo==admse_analogfunction && mypath->_text->_admse==admse_module) return ((p_analogfunction)(myadmst->_item.p))->_module_isdefault;
+  if(myadmst->_pseudo==admse_analogfunction && mypath->_text->_admse==admse_lexval) return ((p_analogfunction)(myadmst->_item.p))->_lexval_isdefault;
+  if(myadmst->_pseudo==admse_analogfunction && mypath->_text->_admse==admse_type) return ((p_analogfunction)(myadmst->_item.p))->_type_isdefault;
+  if(myadmst->_pseudo==admse_analogfunction && mypath->_text->_admse==admse_tree) return ((p_analogfunction)(myadmst->_item.p))->_tree_isdefault;
+  if(myadmst->_pseudo==admse_analogfunction && mypath->_text->_admse==admse_variable) return ((p_analogfunction)(myadmst->_item.p))->_variable_isdefault;
+  if(myadmst->_pseudo==admse_analogfunction && mypath->_text->_admse==admse_attribute) return ((p_analogfunction)(myadmst->_item.p))->_attribute_isdefault;
+  if(myadmst->_pseudo==admse_nodealias && mypath->_text->_admse==admse_module) return ((p_nodealias)(myadmst->_item.p))->_module_isdefault;
+  if(myadmst->_pseudo==admse_nodealias && mypath->_text->_admse==admse_name) return ((p_nodealias)(myadmst->_item.p))->_name_isdefault;
+  if(myadmst->_pseudo==admse_nodealias && mypath->_text->_admse==admse_node) return ((p_nodealias)(myadmst->_item.p))->_node_isdefault;
+  if(myadmst->_pseudo==admse_node && mypath->_text->_admse==admse_module) return ((p_node)(myadmst->_item.p))->_module_isdefault;
+  if(myadmst->_pseudo==admse_node && mypath->_text->_admse==admse_name) return ((p_node)(myadmst->_item.p))->_name_isdefault;
+  if(myadmst->_pseudo==admse_node && mypath->_text->_admse==admse_direction) return ((p_node)(myadmst->_item.p))->_direction_isdefault;
+  if(myadmst->_pseudo==admse_node && mypath->_text->_admse==admse_discipline) return ((p_node)(myadmst->_item.p))->_discipline_isdefault;
+  if(myadmst->_pseudo==admse_node && mypath->_text->_admse==admse_grounded) return ((p_node)(myadmst->_item.p))->_grounded_isdefault;
+  if(myadmst->_pseudo==admse_node && mypath->_text->_admse==admse_location) return ((p_node)(myadmst->_item.p))->_location_isdefault;
+  if(myadmst->_pseudo==admse_node && mypath->_text->_admse==admse_attribute) return ((p_node)(myadmst->_item.p))->_attribute_isdefault;
+  if(myadmst->_pseudo==admse_branchalias && mypath->_text->_admse==admse_module) return ((p_branchalias)(myadmst->_item.p))->_module_isdefault;
+  if(myadmst->_pseudo==admse_branchalias && mypath->_text->_admse==admse_name) return ((p_branchalias)(myadmst->_item.p))->_name_isdefault;
+  if(myadmst->_pseudo==admse_branchalias && mypath->_text->_admse==admse_branch) return ((p_branchalias)(myadmst->_item.p))->_branch_isdefault;
+  if(myadmst->_pseudo==admse_branch && mypath->_text->_admse==admse_module) return ((p_branch)(myadmst->_item.p))->_module_isdefault;
+  if(myadmst->_pseudo==admse_branch && mypath->_text->_admse==admse_pnode) return ((p_branch)(myadmst->_item.p))->_pnode_isdefault;
+  if(myadmst->_pseudo==admse_branch && mypath->_text->_admse==admse_nnode) return ((p_branch)(myadmst->_item.p))->_nnode_isdefault;
+  if(myadmst->_pseudo==admse_branch && mypath->_text->_admse==admse_discipline) return ((p_branch)(myadmst->_item.p))->_discipline_isdefault;
+  if(myadmst->_pseudo==admse_branch && mypath->_text->_admse==admse_grounded) return ((p_branch)(myadmst->_item.p))->_grounded_isdefault;
+  if(myadmst->_pseudo==admse_branch && mypath->_text->_admse==admse_attribute) return ((p_branch)(myadmst->_item.p))->_attribute_isdefault;
+  if(myadmst->_pseudo==admse_variableprototype && mypath->_text->_admse==admse_module) return ((p_variableprototype)(myadmst->_item.p))->_module_isdefault;
+  if(myadmst->_pseudo==admse_variableprototype && mypath->_text->_admse==admse_lexval) return ((p_variableprototype)(myadmst->_item.p))->_lexval_isdefault;
+  if(myadmst->_pseudo==admse_variableprototype && mypath->_text->_admse==admse_block) return ((p_variableprototype)(myadmst->_item.p))->_block_isdefault;
+  if(myadmst->_pseudo==admse_variableprototype && mypath->_text->_admse==admse_dependency) return ((p_variableprototype)(myadmst->_item.p))->_dependency_isdefault;
+  if(myadmst->_pseudo==admse_variableprototype && mypath->_text->_admse==admse_static) return ((p_variableprototype)(myadmst->_item.p))->_static_isdefault;
+  if(myadmst->_pseudo==admse_variableprototype && mypath->_text->_admse==admse_dynamic) return ((p_variableprototype)(myadmst->_item.p))->_dynamic_isdefault;
+  if(myadmst->_pseudo==admse_variableprototype && mypath->_text->_admse==admse_sizetype) return ((p_variableprototype)(myadmst->_item.p))->_sizetype_isdefault;
+  if(myadmst->_pseudo==admse_variableprototype && mypath->_text->_admse==admse_parametertype) return ((p_variableprototype)(myadmst->_item.p))->_parametertype_isdefault;
+  if(myadmst->_pseudo==admse_variableprototype && mypath->_text->_admse==admse_type) return ((p_variableprototype)(myadmst->_item.p))->_type_isdefault;
+  if(myadmst->_pseudo==admse_variableprototype && mypath->_text->_admse==admse_maxsize) return ((p_variableprototype)(myadmst->_item.p))->_maxsize_isdefault;
+  if(myadmst->_pseudo==admse_variableprototype && mypath->_text->_admse==admse_minsize) return ((p_variableprototype)(myadmst->_item.p))->_minsize_isdefault;
+  if(myadmst->_pseudo==admse_variableprototype && mypath->_text->_admse==admse_input) return ((p_variableprototype)(myadmst->_item.p))->_input_isdefault;
+  if(myadmst->_pseudo==admse_variableprototype && mypath->_text->_admse==admse_output) return ((p_variableprototype)(myadmst->_item.p))->_output_isdefault;
+  if(myadmst->_pseudo==admse_variableprototype && mypath->_text->_admse==admse_scope) return ((p_variableprototype)(myadmst->_item.p))->_scope_isdefault;
+  if(myadmst->_pseudo==admse_variableprototype && mypath->_text->_admse==admse_default) return ((p_variableprototype)(myadmst->_item.p))->_default_isdefault;
+  if(myadmst->_pseudo==admse_variableprototype && mypath->_text->_admse==admse_derivate) return ((p_variableprototype)(myadmst->_item.p))->_derivate_isdefault;
+  if(myadmst->_pseudo==admse_variableprototype && mypath->_text->_admse==admse_isstate) return ((p_variableprototype)(myadmst->_item.p))->_isstate_isdefault;
+  if(myadmst->_pseudo==admse_variableprototype && mypath->_text->_admse==admse_usedinmodel) return ((p_variableprototype)(myadmst->_item.p))->_usedinmodel_isdefault;
+  if(myadmst->_pseudo==admse_variableprototype && mypath->_text->_admse==admse_usedininstance) return ((p_variableprototype)(myadmst->_item.p))->_usedininstance_isdefault;
+  if(myadmst->_pseudo==admse_variableprototype && mypath->_text->_admse==admse_usedininitial_step) return ((p_variableprototype)(myadmst->_item.p))->_usedininitial_step_isdefault;
+  if(myadmst->_pseudo==admse_variableprototype && mypath->_text->_admse==admse_usedinevaluate) return ((p_variableprototype)(myadmst->_item.p))->_usedinevaluate_isdefault;
+  if(myadmst->_pseudo==admse_variableprototype && mypath->_text->_admse==admse_usedinnoise) return ((p_variableprototype)(myadmst->_item.p))->_usedinnoise_isdefault;
+  if(myadmst->_pseudo==admse_variableprototype && mypath->_text->_admse==admse_usedinfinal) return ((p_variableprototype)(myadmst->_item.p))->_usedinfinal_isdefault;
+  if(myadmst->_pseudo==admse_variableprototype && mypath->_text->_admse==admse_setinmodel) return ((p_variableprototype)(myadmst->_item.p))->_setinmodel_isdefault;
+  if(myadmst->_pseudo==admse_variableprototype && mypath->_text->_admse==admse_setininstance) return ((p_variableprototype)(myadmst->_item.p))->_setininstance_isdefault;
+  if(myadmst->_pseudo==admse_variableprototype && mypath->_text->_admse==admse_setininitial_step) return ((p_variableprototype)(myadmst->_item.p))->_setininitial_step_isdefault;
+  if(myadmst->_pseudo==admse_variableprototype && mypath->_text->_admse==admse_setinevaluate) return ((p_variableprototype)(myadmst->_item.p))->_setinevaluate_isdefault;
+  if(myadmst->_pseudo==admse_variableprototype && mypath->_text->_admse==admse_setinnoise) return ((p_variableprototype)(myadmst->_item.p))->_setinnoise_isdefault;
+  if(myadmst->_pseudo==admse_variableprototype && mypath->_text->_admse==admse_setinfinal) return ((p_variableprototype)(myadmst->_item.p))->_setinfinal_isdefault;
+  if(myadmst->_pseudo==admse_variableprototype && mypath->_text->_admse==admse_setingetprev) return ((p_variableprototype)(myadmst->_item.p))->_setingetprev_isdefault;
+  if(myadmst->_pseudo==admse_variableprototype && mypath->_text->_admse==admse_TemperatureDependent) return ((p_variableprototype)(myadmst->_item.p))->_TemperatureDependent_isdefault;
+  if(myadmst->_pseudo==admse_variableprototype && mypath->_text->_admse==admse_OPdependent) return ((p_variableprototype)(myadmst->_item.p))->_OPdependent_isdefault;
+  if(myadmst->_pseudo==admse_variableprototype && mypath->_text->_admse==admse_insource) return ((p_variableprototype)(myadmst->_item.p))->_insource_isdefault;
+  if(myadmst->_pseudo==admse_variableprototype && mypath->_text->_admse==admse_vcount) return ((p_variableprototype)(myadmst->_item.p))->_vcount_isdefault;
+  if(myadmst->_pseudo==admse_variableprototype && mypath->_text->_admse==admse_vlast) return ((p_variableprototype)(myadmst->_item.p))->_vlast_isdefault;
+  if(myadmst->_pseudo==admse_variableprototype && mypath->_text->_admse==admse_arraydefault) return ((p_variableprototype)(myadmst->_item.p))->_arraydefault_isdefault;
+  if(myadmst->_pseudo==admse_variableprototype && mypath->_text->_admse==admse_attribute) return ((p_variableprototype)(myadmst->_item.p))->_attribute_isdefault;
+  if(myadmst->_pseudo==admse_variableprototype && mypath->_text->_admse==admse_alias) return ((p_variableprototype)(myadmst->_item.p))->_alias_isdefault;
+  if(myadmst->_pseudo==admse_variableprototype && mypath->_text->_admse==admse_range) return ((p_variableprototype)(myadmst->_item.p))->_range_isdefault;
+  if(myadmst->_pseudo==admse_variableprototype && mypath->_text->_admse==admse_probe) return ((p_variableprototype)(myadmst->_item.p))->_probe_isdefault;
+  if(myadmst->_pseudo==admse_variableprototype && mypath->_text->_admse==admse_ddxprobe) return ((p_variableprototype)(myadmst->_item.p))->_ddxprobe_isdefault;
+  if(myadmst->_pseudo==admse_variableprototype && mypath->_text->_admse==admse_variable) return ((p_variableprototype)(myadmst->_item.p))->_variable_isdefault;
+  if(myadmst->_pseudo==admse_variableprototype && mypath->_text->_admse==admse_assignment) return ((p_variableprototype)(myadmst->_item.p))->_assignment_isdefault;
+  if(myadmst->_pseudo==admse_variableprototype && mypath->_text->_admse==admse_instance) return ((p_variableprototype)(myadmst->_item.p))->_instance_isdefault;
+  if(myadmst->_pseudo==admse_source && mypath->_text->_admse==admse_module) return ((p_source)(myadmst->_item.p))->_module_isdefault;
+  if(myadmst->_pseudo==admse_source && mypath->_text->_admse==admse_branch) return ((p_source)(myadmst->_item.p))->_branch_isdefault;
+  if(myadmst->_pseudo==admse_source && mypath->_text->_admse==admse_nature) return ((p_source)(myadmst->_item.p))->_nature_isdefault;
+  if(myadmst->_pseudo==admse_source && mypath->_text->_admse==admse_discipline) return ((p_source)(myadmst->_item.p))->_discipline_isdefault;
+  if(myadmst->_pseudo==admse_source && mypath->_text->_admse==admse_static) return ((p_source)(myadmst->_item.p))->_static_isdefault;
+  if(myadmst->_pseudo==admse_source && mypath->_text->_admse==admse_dynamic) return ((p_source)(myadmst->_item.p))->_dynamic_isdefault;
+  if(myadmst->_pseudo==admse_source && mypath->_text->_admse==admse_whitenoise) return ((p_source)(myadmst->_item.p))->_whitenoise_isdefault;
+  if(myadmst->_pseudo==admse_source && mypath->_text->_admse==admse_flickernoise) return ((p_source)(myadmst->_item.p))->_flickernoise_isdefault;
+  if(myadmst->_pseudo==admse_source && mypath->_text->_admse==admse_grounded) return ((p_source)(myadmst->_item.p))->_grounded_isdefault;
+  if(myadmst->_pseudo==admse_source && mypath->_text->_admse==admse_switch) return ((p_source)(myadmst->_item.p))->_switch_isdefault;
+  if(myadmst->_pseudo==admse_source && mypath->_text->_admse==admse_type) return ((p_source)(myadmst->_item.p))->_type_isdefault;
+  if(myadmst->_pseudo==admse_source && mypath->_text->_admse==admse_probe) return ((p_source)(myadmst->_item.p))->_probe_isdefault;
+  if(myadmst->_pseudo==admse_source && mypath->_text->_admse==admse_attribute) return ((p_source)(myadmst->_item.p))->_attribute_isdefault;
+  if(myadmst->_pseudo==admse_range && mypath->_text->_admse==admse_module) return ((p_range)(myadmst->_item.p))->_module_isdefault;
+  if(myadmst->_pseudo==admse_range && mypath->_text->_admse==admse_infexpr) return ((p_range)(myadmst->_item.p))->_infexpr_isdefault;
+  if(myadmst->_pseudo==admse_range && mypath->_text->_admse==admse_supexpr) return ((p_range)(myadmst->_item.p))->_supexpr_isdefault;
+  if(myadmst->_pseudo==admse_range && mypath->_text->_admse==admse_name) return ((p_range)(myadmst->_item.p))->_name_isdefault;
+  if(myadmst->_pseudo==admse_range && mypath->_text->_admse==admse_infboundtype) return ((p_range)(myadmst->_item.p))->_infboundtype_isdefault;
+  if(myadmst->_pseudo==admse_range && mypath->_text->_admse==admse_supboundtype) return ((p_range)(myadmst->_item.p))->_supboundtype_isdefault;
+  if(myadmst->_pseudo==admse_range && mypath->_text->_admse==admse_type) return ((p_range)(myadmst->_item.p))->_type_isdefault;
+  if(myadmst->_pseudo==admse_jacobian && mypath->_text->_admse==admse_module) return ((p_jacobian)(myadmst->_item.p))->_module_isdefault;
+  if(myadmst->_pseudo==admse_jacobian && mypath->_text->_admse==admse_row) return ((p_jacobian)(myadmst->_item.p))->_row_isdefault;
+  if(myadmst->_pseudo==admse_jacobian && mypath->_text->_admse==admse_column) return ((p_jacobian)(myadmst->_item.p))->_column_isdefault;
+  if(myadmst->_pseudo==admse_jacobian && mypath->_text->_admse==admse_diagonal) return ((p_jacobian)(myadmst->_item.p))->_diagonal_isdefault;
+  if(myadmst->_pseudo==admse_jacobian && mypath->_text->_admse==admse_static) return ((p_jacobian)(myadmst->_item.p))->_static_isdefault;
+  if(myadmst->_pseudo==admse_jacobian && mypath->_text->_admse==admse_dynamic) return ((p_jacobian)(myadmst->_item.p))->_dynamic_isdefault;
+  if(myadmst->_pseudo==admse_analog && mypath->_text->_admse==admse_code) return ((p_analog)(myadmst->_item.p))->_code_isdefault;
+  if(myadmst->_pseudo==admse_math && mypath->_text->_admse==admse_name) return ((p_math)(myadmst->_item.p))->_name_isdefault;
+  if(myadmst->_pseudo==admse_math && mypath->_text->_admse==admse_value) return ((p_math)(myadmst->_item.p))->_value_isdefault;
+  if(myadmst->_pseudo==admse_math && mypath->_text->_admse==admse_static) return ((p_math)(myadmst->_item.p))->_static_isdefault;
+  if(myadmst->_pseudo==admse_math && mypath->_text->_admse==admse_dynamic) return ((p_math)(myadmst->_item.p))->_dynamic_isdefault;
+  if(myadmst->_pseudo==admse_math && mypath->_text->_admse==admse_dependency) return ((p_math)(myadmst->_item.p))->_dependency_isdefault;
+  if(myadmst->_pseudo==admse_math && mypath->_text->_admse==admse_attribute) return ((p_math)(myadmst->_item.p))->_attribute_isdefault;
+  if(myadmst->_pseudo==admse_string && mypath->_text->_admse==admse_value) return ((p_string)(myadmst->_item.p))->_value_isdefault;
+  if(myadmst->_pseudo==admse_subexpression && mypath->_text->_admse==admse_expression) return ((p_subexpression)(myadmst->_item.p))->_expression_isdefault;
+  if(myadmst->_pseudo==admse_mapply_unary && mypath->_text->_admse==admse_name) return ((p_mapply_unary)(myadmst->_item.p))->_name_isdefault;
+  if(myadmst->_pseudo==admse_mapply_unary && mypath->_text->_admse==admse_arg1) return ((p_mapply_unary)(myadmst->_item.p))->_arg1_isdefault;
+  if(myadmst->_pseudo==admse_mapply_binary && mypath->_text->_admse==admse_name) return ((p_mapply_binary)(myadmst->_item.p))->_name_isdefault;
+  if(myadmst->_pseudo==admse_mapply_binary && mypath->_text->_admse==admse_arg1) return ((p_mapply_binary)(myadmst->_item.p))->_arg1_isdefault;
+  if(myadmst->_pseudo==admse_mapply_binary && mypath->_text->_admse==admse_arg2) return ((p_mapply_binary)(myadmst->_item.p))->_arg2_isdefault;
+  if(myadmst->_pseudo==admse_mapply_ternary && mypath->_text->_admse==admse_name) return ((p_mapply_ternary)(myadmst->_item.p))->_name_isdefault;
+  if(myadmst->_pseudo==admse_mapply_ternary && mypath->_text->_admse==admse_arg1) return ((p_mapply_ternary)(myadmst->_item.p))->_arg1_isdefault;
+  if(myadmst->_pseudo==admse_mapply_ternary && mypath->_text->_admse==admse_arg2) return ((p_mapply_ternary)(myadmst->_item.p))->_arg2_isdefault;
+  if(myadmst->_pseudo==admse_mapply_ternary && mypath->_text->_admse==admse_arg3) return ((p_mapply_ternary)(myadmst->_item.p))->_arg3_isdefault;
+  if(myadmst->_pseudo==admse_number && mypath->_text->_admse==admse_lexval) return ((p_number)(myadmst->_item.p))->_lexval_isdefault;
+  if(myadmst->_pseudo==admse_number && mypath->_text->_admse==admse_scalingunit) return ((p_number)(myadmst->_item.p))->_scalingunit_isdefault;
+  if(myadmst->_pseudo==admse_function && mypath->_text->_admse==admse_lexval) return ((p_function)(myadmst->_item.p))->_lexval_isdefault;
+  if(myadmst->_pseudo==admse_function && mypath->_text->_admse==admse_unique_id) return ((p_function)(myadmst->_item.p))->_unique_id_isdefault;
+  if(myadmst->_pseudo==admse_function && mypath->_text->_admse==admse_definition) return ((p_function)(myadmst->_item.p))->_definition_isdefault;
+  if(myadmst->_pseudo==admse_function && mypath->_text->_admse==admse_class) return ((p_function)(myadmst->_item.p))->_class_isdefault;
+  if(myadmst->_pseudo==admse_function && mypath->_text->_admse==admse_arguments) return ((p_function)(myadmst->_item.p))->_arguments_isdefault;
+  if(myadmst->_pseudo==admse_variable && mypath->_text->_admse==admse_prototype) return ((p_variable)(myadmst->_item.p))->_prototype_isdefault;
+  if(myadmst->_pseudo==admse_array && mypath->_text->_admse==admse_variable) return ((p_array)(myadmst->_item.p))->_variable_isdefault;
+  if(myadmst->_pseudo==admse_array && mypath->_text->_admse==admse_index) return ((p_array)(myadmst->_item.p))->_index_isdefault;
+  if(myadmst->_pseudo==admse_probe && mypath->_text->_admse==admse_module) return ((p_probe)(myadmst->_item.p))->_module_isdefault;
+  if(myadmst->_pseudo==admse_probe && mypath->_text->_admse==admse_branch) return ((p_probe)(myadmst->_item.p))->_branch_isdefault;
+  if(myadmst->_pseudo==admse_probe && mypath->_text->_admse==admse_nature) return ((p_probe)(myadmst->_item.p))->_nature_isdefault;
+  if(myadmst->_pseudo==admse_probe && mypath->_text->_admse==admse_seen) return ((p_probe)(myadmst->_item.p))->_seen_isdefault;
+  if(myadmst->_pseudo==admse_probe && mypath->_text->_admse==admse_discipline) return ((p_probe)(myadmst->_item.p))->_discipline_isdefault;
+  if(myadmst->_pseudo==admse_probe && mypath->_text->_admse==admse_grounded) return ((p_probe)(myadmst->_item.p))->_grounded_isdefault;
+  if(myadmst->_pseudo==admse_probe && mypath->_text->_admse==admse_source) return ((p_probe)(myadmst->_item.p))->_source_isdefault;
+  if(myadmst->_pseudo==admse_expression && mypath->_text->_admse==admse_module) return ((p_expression)(myadmst->_item.p))->_module_isdefault;
+  if(myadmst->_pseudo==admse_expression && mypath->_text->_admse==admse_tree) return ((p_expression)(myadmst->_item.p))->_tree_isdefault;
+  if(myadmst->_pseudo==admse_expression && mypath->_text->_admse==admse_hasspecialnumber) return ((p_expression)(myadmst->_item.p))->_hasspecialnumber_isdefault;
+  if(myadmst->_pseudo==admse_expression && mypath->_text->_admse==admse_hasVoltageDependentFunction) return ((p_expression)(myadmst->_item.p))->_hasVoltageDependentFunction_isdefault;
+  if(myadmst->_pseudo==admse_expression && mypath->_text->_admse==admse_infinity) return ((p_expression)(myadmst->_item.p))->_infinity_isdefault;
+  if(myadmst->_pseudo==admse_expression && mypath->_text->_admse==admse_TemperatureDependent) return ((p_expression)(myadmst->_item.p))->_TemperatureDependent_isdefault;
+  if(myadmst->_pseudo==admse_expression && mypath->_text->_admse==admse_value) return ((p_expression)(myadmst->_item.p))->_value_isdefault;
+  if(myadmst->_pseudo==admse_expression && mypath->_text->_admse==admse_function) return ((p_expression)(myadmst->_item.p))->_function_isdefault;
+  if(myadmst->_pseudo==admse_expression && mypath->_text->_admse==admse_probe) return ((p_expression)(myadmst->_item.p))->_probe_isdefault;
+  if(myadmst->_pseudo==admse_expression && mypath->_text->_admse==admse_variable) return ((p_expression)(myadmst->_item.p))->_variable_isdefault;
+  if(myadmst->_pseudo==admse_instance && mypath->_text->_admse==admse_instantiator) return ((p_instance)(myadmst->_item.p))->_instantiator_isdefault;
+  if(myadmst->_pseudo==admse_instance && mypath->_text->_admse==admse_module) return ((p_instance)(myadmst->_item.p))->_module_isdefault;
+  if(myadmst->_pseudo==admse_instance && mypath->_text->_admse==admse_name) return ((p_instance)(myadmst->_item.p))->_name_isdefault;
+  if(myadmst->_pseudo==admse_instance && mypath->_text->_admse==admse_terminal) return ((p_instance)(myadmst->_item.p))->_terminal_isdefault;
+  if(myadmst->_pseudo==admse_instance && mypath->_text->_admse==admse_parameterset) return ((p_instance)(myadmst->_item.p))->_parameterset_isdefault;
+  if(myadmst->_pseudo==admse_instanceparameter && mypath->_text->_admse==admse_parameter) return ((p_instanceparameter)(myadmst->_item.p))->_parameter_isdefault;
+  if(myadmst->_pseudo==admse_instanceparameter && mypath->_text->_admse==admse_value) return ((p_instanceparameter)(myadmst->_item.p))->_value_isdefault;
+  if(myadmst->_pseudo==admse_instancenode && mypath->_text->_admse==admse_nodefrominstantiator) return ((p_instancenode)(myadmst->_item.p))->_nodefrominstantiator_isdefault;
+  if(myadmst->_pseudo==admse_instancenode && mypath->_text->_admse==admse_nodefrommodule) return ((p_instancenode)(myadmst->_item.p))->_nodefrommodule_isdefault;
+  if(myadmst->_pseudo==admse_nilled && mypath->_text->_admse==admse_module) return ((p_nilled)(myadmst->_item.p))->_module_isdefault;
+  if(myadmst->_pseudo==admse_nilled && mypath->_text->_admse==admse_name) return ((p_nilled)(myadmst->_item.p))->_name_isdefault;
+  if(myadmst->_pseudo==admse_assignment && mypath->_text->_admse==admse_module) return ((p_assignment)(myadmst->_item.p))->_module_isdefault;
+  if(myadmst->_pseudo==admse_assignment && mypath->_text->_admse==admse_lhs) return ((p_assignment)(myadmst->_item.p))->_lhs_isdefault;
+  if(myadmst->_pseudo==admse_assignment && mypath->_text->_admse==admse_rhs) return ((p_assignment)(myadmst->_item.p))->_rhs_isdefault;
+  if(myadmst->_pseudo==admse_assignment && mypath->_text->_admse==admse_lexval) return ((p_assignment)(myadmst->_item.p))->_lexval_isdefault;
+  if(myadmst->_pseudo==admse_assignment && mypath->_text->_admse==admse_name) return ((p_assignment)(myadmst->_item.p))->_name_isdefault;
+  if(myadmst->_pseudo==admse_assignment && mypath->_text->_admse==admse_attribute) return ((p_assignment)(myadmst->_item.p))->_attribute_isdefault;
+  if(myadmst->_pseudo==admse_contribution && mypath->_text->_admse==admse_module) return ((p_contribution)(myadmst->_item.p))->_module_isdefault;
+  if(myadmst->_pseudo==admse_contribution && mypath->_text->_admse==admse_lhs) return ((p_contribution)(myadmst->_item.p))->_lhs_isdefault;
+  if(myadmst->_pseudo==admse_contribution && mypath->_text->_admse==admse_rhs) return ((p_contribution)(myadmst->_item.p))->_rhs_isdefault;
+  if(myadmst->_pseudo==admse_contribution && mypath->_text->_admse==admse_lexval) return ((p_contribution)(myadmst->_item.p))->_lexval_isdefault;
+  if(myadmst->_pseudo==admse_contribution && mypath->_text->_admse==admse_branchalias) return ((p_contribution)(myadmst->_item.p))->_branchalias_isdefault;
+  if(myadmst->_pseudo==admse_contribution && mypath->_text->_admse==admse_name) return ((p_contribution)(myadmst->_item.p))->_name_isdefault;
+  if(myadmst->_pseudo==admse_contribution && mypath->_text->_admse==admse_whitenoise) return ((p_contribution)(myadmst->_item.p))->_whitenoise_isdefault;
+  if(myadmst->_pseudo==admse_contribution && mypath->_text->_admse==admse_flickernoise) return ((p_contribution)(myadmst->_item.p))->_flickernoise_isdefault;
+  if(myadmst->_pseudo==admse_contribution && mypath->_text->_admse==admse_attribute) return ((p_contribution)(myadmst->_item.p))->_attribute_isdefault;
+  if(myadmst->_pseudo==admse_conditional && mypath->_text->_admse==admse_module) return ((p_conditional)(myadmst->_item.p))->_module_isdefault;
+  if(myadmst->_pseudo==admse_conditional && mypath->_text->_admse==admse_if) return ((p_conditional)(myadmst->_item.p))->_if_isdefault;
+  if(myadmst->_pseudo==admse_conditional && mypath->_text->_admse==admse_then) return ((p_conditional)(myadmst->_item.p))->_then_isdefault;
+  if(myadmst->_pseudo==admse_conditional && mypath->_text->_admse==admse_else) return ((p_conditional)(myadmst->_item.p))->_else_isdefault;
+  if(myadmst->_pseudo==admse_conditional && mypath->_text->_admse==admse_name) return ((p_conditional)(myadmst->_item.p))->_name_isdefault;
+  if(myadmst->_pseudo==admse_forloop && mypath->_text->_admse==admse_module) return ((p_forloop)(myadmst->_item.p))->_module_isdefault;
+  if(myadmst->_pseudo==admse_forloop && mypath->_text->_admse==admse_initial) return ((p_forloop)(myadmst->_item.p))->_initial_isdefault;
+  if(myadmst->_pseudo==admse_forloop && mypath->_text->_admse==admse_condition) return ((p_forloop)(myadmst->_item.p))->_condition_isdefault;
+  if(myadmst->_pseudo==admse_forloop && mypath->_text->_admse==admse_update) return ((p_forloop)(myadmst->_item.p))->_update_isdefault;
+  if(myadmst->_pseudo==admse_forloop && mypath->_text->_admse==admse_forblock) return ((p_forloop)(myadmst->_item.p))->_forblock_isdefault;
+  if(myadmst->_pseudo==admse_forloop && mypath->_text->_admse==admse_name) return ((p_forloop)(myadmst->_item.p))->_name_isdefault;
+  if(myadmst->_pseudo==admse_whileloop && mypath->_text->_admse==admse_module) return ((p_whileloop)(myadmst->_item.p))->_module_isdefault;
+  if(myadmst->_pseudo==admse_whileloop && mypath->_text->_admse==admse_while) return ((p_whileloop)(myadmst->_item.p))->_while_isdefault;
+  if(myadmst->_pseudo==admse_whileloop && mypath->_text->_admse==admse_whileblock) return ((p_whileloop)(myadmst->_item.p))->_whileblock_isdefault;
+  if(myadmst->_pseudo==admse_whileloop && mypath->_text->_admse==admse_name) return ((p_whileloop)(myadmst->_item.p))->_name_isdefault;
+  if(myadmst->_pseudo==admse_case && mypath->_text->_admse==admse_module) return ((p_case)(myadmst->_item.p))->_module_isdefault;
+  if(myadmst->_pseudo==admse_case && mypath->_text->_admse==admse_case) return ((p_case)(myadmst->_item.p))->_case_isdefault;
+  if(myadmst->_pseudo==admse_case && mypath->_text->_admse==admse_name) return ((p_case)(myadmst->_item.p))->_name_isdefault;
+  if(myadmst->_pseudo==admse_case && mypath->_text->_admse==admse_caseitem) return ((p_case)(myadmst->_item.p))->_caseitem_isdefault;
+  if(myadmst->_pseudo==admse_caseitem && mypath->_text->_admse==admse_code) return ((p_caseitem)(myadmst->_item.p))->_code_isdefault;
+  if(myadmst->_pseudo==admse_caseitem && mypath->_text->_admse==admse_defaultcase) return ((p_caseitem)(myadmst->_item.p))->_defaultcase_isdefault;
+  if(myadmst->_pseudo==admse_caseitem && mypath->_text->_admse==admse_condition) return ((p_caseitem)(myadmst->_item.p))->_condition_isdefault;
+  if(myadmst->_pseudo==admse_blockvariable && mypath->_text->_admse==admse_block) return ((p_blockvariable)(myadmst->_item.p))->_block_isdefault;
+  if(myadmst->_pseudo==admse_blockvariable && mypath->_text->_admse==admse_variable) return ((p_blockvariable)(myadmst->_item.p))->_variable_isdefault;
+  if(myadmst->_pseudo==admse_block && mypath->_text->_admse==admse_module) return ((p_block)(myadmst->_item.p))->_module_isdefault;
+  if(myadmst->_pseudo==admse_block && mypath->_text->_admse==admse_lexval) return ((p_block)(myadmst->_item.p))->_lexval_isdefault;
+  if(myadmst->_pseudo==admse_block && mypath->_text->_admse==admse_block) return ((p_block)(myadmst->_item.p))->_block_isdefault;
+  if(myadmst->_pseudo==admse_block && mypath->_text->_admse==admse_item) return ((p_block)(myadmst->_item.p))->_item_isdefault;
+  if(myadmst->_pseudo==admse_block && mypath->_text->_admse==admse_attribute) return ((p_block)(myadmst->_item.p))->_attribute_isdefault;
+  if(myadmst->_pseudo==admse_block && mypath->_text->_admse==admse_variable) return ((p_block)(myadmst->_item.p))->_variable_isdefault;
+  if(myadmst->_pseudo==admse_block && mypath->_text->_admse==admse_probe) return ((p_block)(myadmst->_item.p))->_probe_isdefault;
+  if(myadmst->_pseudo==admse_callfunction && mypath->_text->_admse==admse_module) return ((p_callfunction)(myadmst->_item.p))->_module_isdefault;
+  if(myadmst->_pseudo==admse_callfunction && mypath->_text->_admse==admse_function) return ((p_callfunction)(myadmst->_item.p))->_function_isdefault;
+  if(myadmst->_pseudo==admse_evaluation && mypath->_text->_admse==admse_variable) return ((p_evaluation)(myadmst->_item.p))->_variable_isdefault;
+  if(myadmst->_pseudo==admse_attribute && mypath->_text->_admse==admse_name) return ((p_attribute)(myadmst->_item.p))->_name_isdefault;
+  if(myadmst->_pseudo==admse_attribute && mypath->_text->_admse==admse_value) return ((p_attribute)(myadmst->_item.p))->_value_isdefault;
+  if(myadmst->_pseudo==admse_lexval && mypath->_text->_admse==admse_string) return ((p_lexval)(myadmst->_item.p))->_string_isdefault;
+  if(myadmst->_pseudo==admse_lexval && mypath->_text->_admse==admse_f) return ((p_lexval)(myadmst->_item.p))->_f_isdefault;
+  if(myadmst->_pseudo==admse_lexval && mypath->_text->_admse==admse_l) return ((p_lexval)(myadmst->_item.p))->_l_isdefault;
+  if(myadmst->_pseudo==admse_lexval && mypath->_text->_admse==admse_c) return ((p_lexval)(myadmst->_item.p))->_c_isdefault;
+  return 0;
+}
+static int atomicgroupage (const p_path mypath,p_admst myadmst)
+{
+  return ((_p_cbcondition)((p_path)mypath->_u->data)->_callback)(((p_path)mypath->_u->data),myadmst);
+}
+static int unary00 (const p_path mypath,p_admst myadmst)
+{
+  return !((_p_cbcondition)((p_path)mypath->_u->data)->_callback)(((p_path)mypath->_u->data),myadmst);
+}
+static int atomictrue (const p_path mypath,p_admst myadmst)
+{
+  return 1;
+}
+static int atomicfalse (const p_path mypath,p_admst myadmst)
+{
+  return 0;
+}
+static int atomiceq (const p_path mypath,p_admst myadmst)
+{
+  p_ptraverse p0;
+  p_ptraverse p1;
+  p_slist myl0;
+  p_slist myl1;
+  int passed=1;
+  p_path root0=(p_path)mypath->_u->data;
+  p_path root1=(p_path)mypath->_u->next->data;
+  p_slist n000=(p_slist)root0->_bar->data;
+  p_slist n01=root0->_bar->next;
+  p_slist n001=n000->next;
+  p_path l000=(p_path)n000->data;
+  p_slist n100=(p_slist)root1->_bar->data;
+  p_slist n11=root1->_bar->next;
+  p_slist n101=n100->next;
+  p_path l100=(p_path)n100->data;
+  int isdatatypename0=(l000->_callback==(void*)location03datatypename)&&(n001==NULL)&&(n01==NULL);
+  int isquotedstring1=((l100->_callback==(void*)location09)||(l100->_callback==(void*)location09k))&&(n11==NULL)&&(n101==NULL)&&(n11==NULL);
+  if(isdatatypename0 && isquotedstring1)
+  {
+    p_admst myadmst0=aread(myadmst);
+    if(myadmst0)
+      return (retdatatypename(myadmst0)==l100->_text->_admse);
+    else
+      return (admse_empty==l100->_text->_admse);
+  }
+  p0=bar(myadmst,(p_path)mypath->_u->data);
+  p1=bar(myadmst,(p_path)mypath->_u->next->data);
+  myl0=p0->_admst;
+  myl1=p1->_admst;
+  if(adms_slist_length(myl0)!=adms_slist_length(myl1))
+    passed=0;
+  for(;myl0&&passed;myl0=myl0->next,myl1=myl1->next)
+  {
+    p_admst myadmst0=aread((p_admst)myl0->data);
+    p_admst myadmst1=aread((p_admst)myl1->data);
+    if(!myadmst0)
+      passed=0;
+    else
+    {
+      admse admse0=myadmst0->_pseudo;
+      admse admse1=myadmst1->_pseudo;
+      if(admse0==admse_empty)
+      {}
+      else if(admse0==admse_datatypename)
+      {
+        char* mystring=aprintf(mypath->_transform,myadmst1);
+        passed=(myadmst0->_item.i==ns_strtoe(mystring));
+        free(mystring);
+      }
+      else if((admse0==admse_basicinteger)&&(admse1==admse_basicstring))
+      {
+        char *s=adms_integertostring(myadmst0->_item.i);
+        passed=!strcmp(s,myadmst1->_item.s);
+        free(s);
+      }
+      else if((admse0==admse_basicstring)&&(admse1==admse_basicinteger))
+      {
+        char *s=adms_integertostring(myadmst1->_item.i);
+        passed=!strcmp(myadmst0->_item.s,s);
+        free(s);
+      }
+      else if((admse0==admse_basicenumeration)&&(admse1==admse_basicstring))
+        passed=!strcmp(ns_etostr(myadmst0->_item.i),myadmst1->_item.s);
+      else if((admse0==admse_basicstring)&&(admse1==admse_basicenumeration))
+        passed=!strcmp(myadmst0->_item.s,ns_etostr(myadmst1->_item.i));
+      else if((admse0==admse_basicenumeration)&&(admse1==admse_basicinteger))
+        passed=(myadmst0->_item.i==myadmst1->_item.i);
+      else if((admse0==admse_basicinteger)&&(admse1==admse_basicenumeration))
+        passed=(myadmst0->_item.i==myadmst1->_item.i);
+      else if(admse0!=admse1)
+        passed=0;
+      else if(admse0==admse_basicenumeration)
+        passed=(myadmst0->_item.i==myadmst1->_item.i);
+      else if(admse0==admse_basicinteger)
+        passed=(myadmst0->_item.i==myadmst1->_item.i);
+      else if(admse0==admse_basicreal)
+        passed=(myadmst0->_item.r>=myadmst1->_item.r-1.0e-307)&&(myadmst0->_item.r<=myadmst1->_item.r+1.0e-307);
+      else if(admse0==admse_basicstring)
+        passed=!strcmp(myadmst0->_item.s,myadmst1->_item.s);
+      else
+        passed=(myadmst0->_item.p==myadmst1->_item.p);
+    }
+  }
+  free_ptraverse(p0);
+  free_ptraverse(p1);
+  return passed;
+}
+static int atomicne (const p_path mypath,p_admst myadmst)
+{
+  return !atomiceq(mypath,myadmst);
+}
+static int atomiclt (const p_path mypath,p_admst myadmst)
+{
+  p_ptraverse p0=bar(myadmst,(p_path)mypath->_u->data);
+  p_ptraverse p1=bar(myadmst,(p_path)mypath->_u->next->data);
+  p_slist myl0=p0->_admst;
+  p_slist myl1=p1->_admst;
+  int passed=1;
+  if(adms_slist_length(myl0)!=adms_slist_length(myl1))
+    passed=0;
+  else
+  {
+    for(;myl0&&passed;myl0=myl0->next,myl1=myl1->next)
+    {
+      p_admst myadmst0=(p_admst)myl0->data;
+      p_admst myadmst1=(p_admst)myl1->data;
+      admse mytype0=myadmst0->_pseudo;
+      admse mytype1=myadmst1->_pseudo;
+      if((mytype0==admse_basicinteger)&&(mytype1==admse_basicinteger))
+      {
+        int myinteger0=myadmst0->_item.i;
+        int myinteger1=myadmst1->_item.i;
+        passed=(myinteger0<myinteger1);
+      }
+      else if((mytype0==admse_basicreal)&&(mytype1==admse_basicreal))
+      {
+        double myreal0=myadmst0->_item.r;
+        double myreal1=myadmst1->_item.r;
+        passed=(myreal0<myreal1);
+      }
+      else
+        adms_message_fatal(("%s: 'less than' - case not implemented - sorry!\n",adms_transform_uid(mypath->_transform)))
+    }
+  }
+  free_ptraverse(p0);
+  free_ptraverse(p1);
+  return passed;
+}
+static int atomicgt (const p_path mypath,p_admst myadmst)
+{
+  p_ptraverse p0=bar(myadmst,(p_path)mypath->_u->data);
+  p_ptraverse p1=bar(myadmst,(p_path)mypath->_u->next->data);
+  p_slist myl0=p0->_admst;
+  p_slist myl1=p1->_admst;
+  int passed=1;
+  if(adms_slist_length(myl0)!=adms_slist_length(myl1))
+    passed=0;
+  else
+  {
+    for(;myl0&&passed;myl0=myl0->next,myl1=myl1->next)
+    {
+      p_admst myadmst0=(p_admst)myl0->data;
+      p_admst myadmst1=(p_admst)myl1->data;
+      admse mytype0=myadmst0->_pseudo;
+      admse mytype1=myadmst1->_pseudo;
+      if((mytype0==admse_basicinteger)&&(mytype1==admse_basicinteger))
+      {
+        int myinteger0=myadmst0->_item.i;
+        int myinteger1=myadmst1->_item.i;
+        passed=(myinteger0>myinteger1);
+      }
+      else if((mytype0==admse_basicreal)&&(mytype1==admse_basicreal))
+      {
+        double myreal0=myadmst0->_item.r;
+        double myreal1=myadmst1->_item.r;
+        passed=(myreal0>myreal1);
+      }
+      else
+        adms_message_fatal(("%s: 'greater than' - case not implemented - sorry!\n",adms_transform_uid(mypath->_transform)))
+    }
+  }
+  free_ptraverse(p0);
+  free_ptraverse(p1);
+  return passed;
+}
+
+#if defined(STANDALONE)
+#define adms_transform_uid(txt) "unknown"
+#endif
+
+static void admstpatherrorimpl (const p_pparse mypparse,char const *s)
+{
+  adms_message_fatal_continue(("%s - invalid path \"%s\"\n",s,mypparse->_value))
+  adms_message_fatal(("see %s\n",adms_transform_uid(mypparse->_transform)))
+}
+
+
+
+/* Line 189 of yacc.c  */
+#line 13624 "y.tab.c"
+
+/* Enabling traces.  */
+#ifndef YYDEBUG
+# define YYDEBUG 0
+#endif
+
+/* Enabling verbose error messages.  */
+#ifdef YYERROR_VERBOSE
+# undef YYERROR_VERBOSE
+# define YYERROR_VERBOSE 1
+#else
+# define YYERROR_VERBOSE 0
+#endif
+
+/* Enabling the token table.  */
+#ifndef YYTOKEN_TABLE
+# define YYTOKEN_TABLE 0
+#endif
+
+
+/* Tokens.  */
+#ifndef YYTOKENTYPE
+# define YYTOKENTYPE
+   /* Put the tokens into the symbol table, so that GDB and other debuggers
+      know about them.  */
+   enum yytokentype {
+     tktk_cr = 258,
+     tktk_lf = 259,
+     tktk_tab = 260,
+     tktk_percent = 261,
+     tktk_percents = 262,
+     tktk_ticptr = 263,
+     tktk_anytext = 264,
+     tktk_goto_atext = 265,
+     tktk_cquote = 266,
+     tktk_openE = 267,
+     tktk_closeE = 268,
+     tktk_dollarvariable = 269,
+     tktk_kdollarvariable = 270,
+     tktk_arobavariable = 271,
+     tktk_karobavariable = 272,
+     tktk_croixcroixvariable = 273,
+     tktk_kcroixcroixvariable = 274,
+     tktk_croixvariable = 275,
+     tktk_kcroixvariable = 276,
+     tktk_oquote = 277,
+     tktk_notequal = 278,
+     tktk_croixstar = 279,
+     tktk_gt = 280,
+     tktk_lt = 281,
+     tktk_star = 282,
+     tktk_incrp = 283,
+     tktk_incrm = 284,
+     tktk_addp = 285,
+     tktk_column = 286,
+     tktk_comma = 287,
+     tktk_bar = 288,
+     tktk_addm = 289,
+     tktk_dotdot = 290,
+     tktk_dot = 291,
+     tktk_slash = 292,
+     tktk_equal = 293,
+     tktk_openCond = 294,
+     tktk_closeCond = 295,
+     tktk_admst = 296,
+     tktk_and = 297,
+     tktk_count = 298,
+     tktk_exists = 299,
+     tktk_false = 300,
+     tktk_id = 301,
+     tktk_index = 302,
+     tktk_isdefault = 303,
+     tktk_lower_mcase = 304,
+     tktk_nilled = 305,
+     tktk_not = 306,
+     tktk_or = 307,
+     tktk_position = 308,
+     tktk_returned = 309,
+     tktk_reverse = 310,
+     tktk_sort = 311,
+     tktk_text = 312,
+     tktk_true = 313,
+     tktk_uid = 314,
+     tktk_upper_mcase = 315,
+     tktk_ident = 316,
+     tktk_real = 317,
+     tktk_integer = 318
+   };
+#endif
+
+
+
+#if ! defined YYSTYPE && ! defined YYSTYPE_IS_DECLARED
+typedef union YYSTYPE
+{
+
+/* Line 214 of yacc.c  */
+#line 13631 "./admstpathYacc.y"
+
+p_slist _slist;
+p_path _path;
+p_text _text;
+
+
+
+/* Line 214 of yacc.c  */
+#line 13731 "y.tab.c"
+} YYSTYPE;
+# define YYSTYPE_IS_TRIVIAL 1
+# define yystype YYSTYPE /* obsolescent; will be withdrawn */
+# define YYSTYPE_IS_DECLARED 1
+#endif
+
+
+/* Copy the second part of user declarations.  */
+
+
+/* Line 264 of yacc.c  */
+#line 13743 "y.tab.c"
+
+#ifdef short
+# undef short
+#endif
+
+#ifdef YYTYPE_UINT8
+typedef YYTYPE_UINT8 yytype_uint8;
+#else
+typedef unsigned char yytype_uint8;
+#endif
+
+#ifdef YYTYPE_INT8
+typedef YYTYPE_INT8 yytype_int8;
+#elif (defined __STDC__ || defined __C99__FUNC__ \
+     || defined __cplusplus || defined _MSC_VER)
+typedef signed char yytype_int8;
+#else
+typedef short int yytype_int8;
+#endif
+
+#ifdef YYTYPE_UINT16
+typedef YYTYPE_UINT16 yytype_uint16;
+#else
+typedef unsigned short int yytype_uint16;
+#endif
+
+#ifdef YYTYPE_INT16
+typedef YYTYPE_INT16 yytype_int16;
+#else
+typedef short int yytype_int16;
+#endif
+
+#ifndef YYSIZE_T
+# ifdef __SIZE_TYPE__
+#  define YYSIZE_T __SIZE_TYPE__
+# elif defined size_t
+#  define YYSIZE_T size_t
+# elif ! defined YYSIZE_T && (defined __STDC__ || defined __C99__FUNC__ \
+     || defined __cplusplus || defined _MSC_VER)
+#  include <stddef.h> /* INFRINGES ON USER NAME SPACE */
+#  define YYSIZE_T size_t
+# else
+#  define YYSIZE_T unsigned int
+# endif
+#endif
+
+#define YYSIZE_MAXIMUM ((YYSIZE_T) -1)
+
+#ifndef YY_
+# if YYENABLE_NLS
+#  if ENABLE_NLS
+#   include <libintl.h> /* INFRINGES ON USER NAME SPACE */
+#   define YY_(msgid) dgettext ("bison-runtime", msgid)
+#  endif
+# endif
+# ifndef YY_
+#  define YY_(msgid) msgid
+# endif
+#endif
+
+/* Suppress unused-variable warnings by "using" E.  */
+#if ! defined lint || defined __GNUC__
+# define YYUSE(e) ((void) (e))
+#else
+# define YYUSE(e) /* empty */
+#endif
+
+/* Identity function, used to suppress warnings about constant conditions.  */
+#ifndef lint
+# define YYID(n) (n)
+#else
+#if (defined __STDC__ || defined __C99__FUNC__ \
+     || defined __cplusplus || defined _MSC_VER)
+static int
+YYID (int yyi)
+#else
+static int
+YYID (yyi)
+    int yyi;
+#endif
+{
+  return yyi;
+}
+#endif
+
+#if ! defined yyoverflow || YYERROR_VERBOSE
+
+/* The parser invokes alloca or malloc; define the necessary symbols.  */
+
+# ifdef YYSTACK_USE_ALLOCA
+#  if YYSTACK_USE_ALLOCA
+#   ifdef __GNUC__
+#    define YYSTACK_ALLOC __builtin_alloca
+#   elif defined __BUILTIN_VA_ARG_INCR
+#    include <alloca.h> /* INFRINGES ON USER NAME SPACE */
+#   elif defined _AIX
+#    define YYSTACK_ALLOC __alloca
+#   elif defined _MSC_VER
+#    include <malloc.h> /* INFRINGES ON USER NAME SPACE */
+#    define alloca _alloca
+#   else
+#    define YYSTACK_ALLOC alloca
+#    if ! defined _ALLOCA_H && ! defined _STDLIB_H && (defined __STDC__ || defined __C99__FUNC__ \
+     || defined __cplusplus || defined _MSC_VER)
+#     include <stdlib.h> /* INFRINGES ON USER NAME SPACE */
+#     ifndef _STDLIB_H
+#      define _STDLIB_H 1
+#     endif
+#    endif
+#   endif
+#  endif
+# endif
+
+# ifdef YYSTACK_ALLOC
+   /* Pacify GCC's `empty if-body' warning.  */
+#  define YYSTACK_FREE(Ptr) do { /* empty */; } while (YYID (0))
+#  ifndef YYSTACK_ALLOC_MAXIMUM
+    /* The OS might guarantee only one guard page at the bottom of the stack,
+       and a page size can be as small as 4096 bytes.  So we cannot safely
+       invoke alloca (N) if N exceeds 4096.  Use a slightly smaller number
+       to allow for a few compiler-allocated temporary stack slots.  */
+#   define YYSTACK_ALLOC_MAXIMUM 4032 /* reasonable circa 2006 */
+#  endif
+# else
+#  define YYSTACK_ALLOC YYMALLOC
+#  define YYSTACK_FREE YYFREE
+#  ifndef YYSTACK_ALLOC_MAXIMUM
+#   define YYSTACK_ALLOC_MAXIMUM YYSIZE_MAXIMUM
+#  endif
+#  if (defined __cplusplus && ! defined _STDLIB_H \
+       && ! ((defined YYMALLOC || defined malloc) \
+	     && (defined YYFREE || defined free)))
+#   include <stdlib.h> /* INFRINGES ON USER NAME SPACE */
+#   ifndef _STDLIB_H
+#    define _STDLIB_H 1
+#   endif
+#  endif
+#  ifndef YYMALLOC
+#   define YYMALLOC malloc
+#   if ! defined malloc && ! defined _STDLIB_H && (defined __STDC__ || defined __C99__FUNC__ \
+     || defined __cplusplus || defined _MSC_VER)
+void *malloc (YYSIZE_T); /* INFRINGES ON USER NAME SPACE */
+#   endif
+#  endif
+#  ifndef YYFREE
+#   define YYFREE free
+#   if ! defined free && ! defined _STDLIB_H && (defined __STDC__ || defined __C99__FUNC__ \
+     || defined __cplusplus || defined _MSC_VER)
+void free (void *); /* INFRINGES ON USER NAME SPACE */
+#   endif
+#  endif
+# endif
+#endif /* ! defined yyoverflow || YYERROR_VERBOSE */
+
+
+#if (! defined yyoverflow \
+     && (! defined __cplusplus \
+	 || (defined YYSTYPE_IS_TRIVIAL && YYSTYPE_IS_TRIVIAL)))
+
+/* A type that is properly aligned for any stack member.  */
+union yyalloc
+{
+  yytype_int16 yyss_alloc;
+  YYSTYPE yyvs_alloc;
+};
+
+/* The size of the maximum gap between one aligned stack and the next.  */
+# define YYSTACK_GAP_MAXIMUM (sizeof (union yyalloc) - 1)
+
+/* The size of an array large to enough to hold all stacks, each with
+   N elements.  */
+# define YYSTACK_BYTES(N) \
+     ((N) * (sizeof (yytype_int16) + sizeof (YYSTYPE)) \
+      + YYSTACK_GAP_MAXIMUM)
+
+/* Copy COUNT objects from FROM to TO.  The source and destination do
+   not overlap.  */
+# ifndef YYCOPY
+#  if defined __GNUC__ && 1 < __GNUC__
+#   define YYCOPY(To, From, Count) \
+      __builtin_memcpy (To, From, (Count) * sizeof (*(From)))
+#  else
+#   define YYCOPY(To, From, Count)		\
+      do					\
+	{					\
+	  YYSIZE_T yyi;				\
+	  for (yyi = 0; yyi < (Count); yyi++)	\
+	    (To)[yyi] = (From)[yyi];		\
+	}					\
+      while (YYID (0))
+#  endif
+# endif
+
+/* Relocate STACK from its old location to the new one.  The
+   local variables YYSIZE and YYSTACKSIZE give the old and new number of
+   elements in the stack, and YYPTR gives the new location of the
+   stack.  Advance YYPTR to a properly aligned location for the next
+   stack.  */
+# define YYSTACK_RELOCATE(Stack_alloc, Stack)				\
+    do									\
+      {									\
+	YYSIZE_T yynewbytes;						\
+	YYCOPY (&yyptr->Stack_alloc, Stack, yysize);			\
+	Stack = &yyptr->Stack_alloc;					\
+	yynewbytes = yystacksize * sizeof (*Stack) + YYSTACK_GAP_MAXIMUM; \
+	yyptr += yynewbytes / sizeof (*yyptr);				\
+      }									\
+    while (YYID (0))
+
+#endif
+
+/* YYFINAL -- State number of the termination state.  */
+#define YYFINAL  95
+/* YYLAST -- Last index in YYTABLE.  */
+#define YYLAST   493
+
+/* YYNTOKENS -- Number of terminals.  */
+#define YYNTOKENS  64
+/* YYNNTS -- Number of nonterminals.  */
+#define YYNNTS  23
+/* YYNRULES -- Number of rules.  */
+#define YYNRULES  92
+/* YYNRULES -- Number of states.  */
+#define YYNSTATES  185
+
+/* YYTRANSLATE(YYLEX) -- Bison symbol number corresponding to YYLEX.  */
+#define YYUNDEFTOK  2
+#define YYMAXUTOK   318
+
+#define YYTRANSLATE(YYX)						\
+  ((unsigned int) (YYX) <= YYMAXUTOK ? yytranslate[YYX] : YYUNDEFTOK)
+
+/* YYTRANSLATE[YYLEX] -- Bison symbol number corresponding to YYLEX.  */
+static const yytype_uint8 yytranslate[] =
+{
+       0,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     1,     2,     3,     4,
+       5,     6,     7,     8,     9,    10,    11,    12,    13,    14,
+      15,    16,    17,    18,    19,    20,    21,    22,    23,    24,
+      25,    26,    27,    28,    29,    30,    31,    32,    33,    34,
+      35,    36,    37,    38,    39,    40,    41,    42,    43,    44,
+      45,    46,    47,    48,    49,    50,    51,    52,    53,    54,
+      55,    56,    57,    58,    59,    60,    61,    62,    63
+};
+
+#if YYDEBUG
+/* YYPRHS[YYN] -- Index of the first RHS symbol of rule number YYN in
+   YYRHS.  */
+static const yytype_uint16 yyprhs[] =
+{
+       0,     0,     3,     5,     8,    10,    14,    16,    18,    22,
+      24,    28,    32,    34,    38,    42,    44,    47,    50,    52,
+      55,    58,    60,    63,    66,    70,    72,    76,    79,    81,
+      83,    87,    89,    91,    93,    95,    97,    99,   101,   103,
+     105,   107,   109,   113,   117,   121,   125,   127,   132,   137,
+     142,   147,   152,   157,   162,   167,   172,   179,   184,   189,
+     194,   196,   198,   202,   205,   207,   210,   212,   214,   216,
+     218,   220,   224,   226,   230,   234,   236,   238,   240,   244,
+     246,   250,   252,   255,   259,   263,   267,   271,   275,   280,
+     285,   290,   294
+};
+
+/* YYRHS -- A `-1'-separated list of the rules' RHS.  */
+static const yytype_int8 yyrhs[] =
+{
+      65,     0,    -1,    66,    -1,    10,    79,    -1,    67,    -1,
+      66,    32,    67,    -1,    68,    -1,    69,    -1,    68,    33,
+      69,    -1,    70,    -1,    69,    30,    70,    -1,    69,    34,
+      70,    -1,    71,    -1,    70,    27,    71,    -1,    70,    31,
+      71,    -1,    72,    -1,    30,    72,    -1,    34,    72,    -1,
+      73,    -1,    73,    28,    -1,    73,    29,    -1,    74,    -1,
+      74,    37,    -1,    37,    74,    -1,    37,    74,    37,    -1,
+      75,    -1,    74,    37,    75,    -1,    77,    81,    -1,    81,
+      -1,    77,    -1,    12,    67,    13,    -1,    61,    -1,    36,
+      -1,    35,    -1,    63,    -1,    62,    -1,    61,    -1,    47,
+      -1,    15,    -1,    17,    -1,    21,    -1,    19,    -1,    14,
+      79,    13,    -1,    16,    79,    13,    -1,    20,    79,    13,
+      -1,    18,    79,    13,    -1,    78,    -1,    76,    12,    67,
+      13,    -1,    59,    12,    67,    13,    -1,    46,    12,    67,
+      13,    -1,    56,    12,    67,    13,    -1,    55,    12,    67,
+      13,    -1,    60,    12,    67,    13,    -1,    49,    12,    67,
+      13,    -1,    43,    12,    67,    13,    -1,    41,    12,    36,
+      13,    -1,    47,    12,    67,    32,    67,    13,    -1,    57,
+      12,    78,    13,    -1,    54,    12,    78,    13,    -1,    53,
+      12,    36,    13,    -1,    24,    -1,     8,    -1,    22,    79,
+      11,    -1,    22,    11,    -1,    80,    -1,    79,    80,    -1,
+       3,    -1,     4,    -1,     5,    -1,     9,    -1,     7,    -1,
+      14,    79,    13,    -1,    15,    -1,     6,    67,    13,    -1,
+      39,    82,    40,    -1,    83,    -1,    67,    -1,    84,    -1,
+      83,    52,    84,    -1,    85,    -1,    84,    42,    85,    -1,
+      86,    -1,    51,    86,    -1,    12,    83,    13,    -1,    67,
+      38,    67,    -1,    67,    23,    67,    -1,    67,    26,    67,
+      -1,    67,    25,    67,    -1,    50,    12,    67,    13,    -1,
+      44,    12,    67,    13,    -1,    48,    12,    61,    13,    -1,
+      58,    12,    13,    -1,    45,    12,    13,    -1
+};
+
+/* YYRLINE[YYN] -- source line where rule number YYN was defined.  */
+static const yytype_uint16 yyrline[] =
+{
+       0, 13638, 13638, 13641, 13649, 13653, 13659, 13669, 13674, 13681,
+   13685, 13695, 13707, 13711, 13721, 13733, 13737, 13746, 13757, 13761,
+   13772, 13785, 13790, 13795, 13804, 13815, 13820, 13827, 13832, 13839,
+   13843, 13851, 13857, 13863, 13869, 13875, 13881, 13895, 13901, 13907,
+   13913, 13919, 13925, 13933, 13941, 13949, 13957, 13972, 13979, 13986,
+   13993, 14000, 14007, 14014, 14021, 14028, 14034, 14042, 14049, 14056,
+   14062, 14068, 14080, 14093, 14101, 14106, 14113, 14117, 14121, 14125,
+   14129, 14134, 14139, 14145, 14151, 14157, 14161, 14170, 14174, 14184,
+   14188, 14198, 14202, 14211, 14218, 14226, 14234, 14242, 14250, 14257,
+   14264, 14270, 14276
+};
+#endif
+
+#if YYDEBUG || YYERROR_VERBOSE || YYTOKEN_TABLE
+/* YYTNAME[SYMBOL-NUM] -- String name of the symbol SYMBOL-NUM.
+   First, the terminals, then, starting at YYNTOKENS, nonterminals.  */
+static const char *const yytname[] =
+{
+  "$end", "error", "$undefined", "tktk_cr", "tktk_lf", "tktk_tab",
+  "tktk_percent", "tktk_percents", "tktk_ticptr", "tktk_anytext",
+  "tktk_goto_atext", "tktk_cquote", "tktk_openE", "tktk_closeE",
+  "tktk_dollarvariable", "tktk_kdollarvariable", "tktk_arobavariable",
+  "tktk_karobavariable", "tktk_croixcroixvariable",
+  "tktk_kcroixcroixvariable", "tktk_croixvariable", "tktk_kcroixvariable",
+  "tktk_oquote", "tktk_notequal", "tktk_croixstar", "tktk_gt", "tktk_lt",
+  "tktk_star", "tktk_incrp", "tktk_incrm", "tktk_addp", "tktk_column",
+  "tktk_comma", "tktk_bar", "tktk_addm", "tktk_dotdot", "tktk_dot",
+  "tktk_slash", "tktk_equal", "tktk_openCond", "tktk_closeCond",
+  "tktk_admst", "tktk_and", "tktk_count", "tktk_exists", "tktk_false",
+  "tktk_id", "tktk_index", "tktk_isdefault", "tktk_lower_mcase",
+  "tktk_nilled", "tktk_not", "tktk_or", "tktk_position", "tktk_returned",
+  "tktk_reverse", "tktk_sort", "tktk_text", "tktk_true", "tktk_uid",
+  "tktk_upper_mcase", "tktk_ident", "tktk_real", "tktk_integer", "$accept",
+  "root", "pathroot_l", "pathroot", "pathbar", "pathadd", "pathmult",
+  "pathunary", "pathincr", "pathsep0", "pathsep", "locationcondition",
+  "identifier", "location", "quotedstring", "atext", "atextitem",
+  "condition", "expression", "binaryor", "binaryand", "unary", "atomic", 0
+};
+#endif
+
+# ifdef YYPRINT
+/* YYTOKNUM[YYLEX-NUM] -- Internal token number corresponding to
+   token YYLEX-NUM.  */
+static const yytype_uint16 yytoknum[] =
+{
+       0,   256,   257,   258,   259,   260,   261,   262,   263,   264,
+     265,   266,   267,   268,   269,   270,   271,   272,   273,   274,
+     275,   276,   277,   278,   279,   280,   281,   282,   283,   284,
+     285,   286,   287,   288,   289,   290,   291,   292,   293,   294,
+     295,   296,   297,   298,   299,   300,   301,   302,   303,   304,
+     305,   306,   307,   308,   309,   310,   311,   312,   313,   314,
+     315,   316,   317,   318
+};
+# endif
+
+/* YYR1[YYN] -- Symbol number of symbol that rule YYN derives.  */
+static const yytype_uint8 yyr1[] =
+{
+       0,    64,    65,    65,    66,    66,    67,    68,    68,    69,
+      69,    69,    70,    70,    70,    71,    71,    71,    72,    72,
+      72,    73,    73,    73,    73,    74,    74,    75,    75,    75,
+      75,    76,    77,    77,    77,    77,    77,    77,    77,    77,
+      77,    77,    77,    77,    77,    77,    77,    77,    77,    77,
+      77,    77,    77,    77,    77,    77,    77,    77,    77,    77,
+      77,    77,    78,    78,    79,    79,    80,    80,    80,    80,
+      80,    80,    80,    80,    81,    82,    82,    83,    83,    84,
+      84,    85,    85,    86,    86,    86,    86,    86,    86,    86,
+      86,    86,    86
+};
+
+/* YYR2[YYN] -- Number of symbols composing right hand side of rule YYN.  */
+static const yytype_uint8 yyr2[] =
+{
+       0,     2,     1,     2,     1,     3,     1,     1,     3,     1,
+       3,     3,     1,     3,     3,     1,     2,     2,     1,     2,
+       2,     1,     2,     2,     3,     1,     3,     2,     1,     1,
+       3,     1,     1,     1,     1,     1,     1,     1,     1,     1,
+       1,     1,     3,     3,     3,     3,     1,     4,     4,     4,
+       4,     4,     4,     4,     4,     4,     6,     4,     4,     4,
+       1,     1,     3,     2,     1,     2,     1,     1,     1,     1,
+       1,     3,     1,     3,     3,     1,     1,     1,     3,     1,
+       3,     1,     2,     3,     3,     3,     3,     3,     4,     4,
+       4,     3,     3
+};
+
+/* YYDEFACT[STATE-NAME] -- Default rule to reduce with in state
+   STATE-NUM when YYTABLE doesn't specify something else to do.  Zero
+   means the default is an error.  */
+static const yytype_uint8 yydefact[] =
+{
+       0,    61,     0,     0,     0,    38,     0,    39,     0,    41,
+       0,    40,     0,    60,     0,     0,    33,    32,     0,     0,
+       0,     0,     0,    37,     0,     0,     0,     0,     0,     0,
+       0,     0,    36,    35,    34,     0,     2,     4,     6,     7,
+       9,    12,    15,    18,    21,    25,     0,    29,    46,    28,
+      66,    67,    68,     0,    70,    69,     0,    72,     3,    64,
+       0,     0,     0,     0,     0,    63,     0,    16,    17,    23,
+       0,     0,     0,     0,     0,     0,     0,    76,     0,    75,
+      77,    79,    81,     0,     0,     0,     0,     0,     0,     0,
+       0,     0,     0,     0,     0,     1,     0,     0,     0,     0,
+       0,     0,    19,    20,    22,     0,    27,     0,     0,    65,
+      30,    42,    43,    45,    44,    62,    24,     0,     0,     0,
+       0,     0,     0,     0,    82,     0,     0,     0,     0,     0,
+      74,     0,     0,     0,     0,     0,     0,     0,     0,     0,
+       0,     0,     0,     0,     0,     5,     8,    10,    11,    13,
+      14,    26,     0,    73,    71,    83,     0,    92,     0,     0,
+      91,    85,    87,    86,    84,    78,    80,    55,    54,    49,
+       0,    53,    59,    58,    51,    50,    57,    48,    52,    47,
+      89,    90,    88,     0,    56
+};
+
+/* YYDEFGOTO[NTERM-NUM].  */
+static const yytype_int8 yydefgoto[] =
+{
+      -1,    35,    36,   123,    38,    39,    40,    41,    42,    43,
+      44,    45,    46,    47,    48,    58,    59,    49,    78,    79,
+      80,    81,    82
+};
+
+/* YYPACT[STATE-NUM] -- Index in YYTABLE of the portion describing
+   STATE-NUM.  */
+#define YYPACT_NINF -104
+static const yytype_int16 yypact[] =
+{
+      20,  -104,   478,   240,   478,  -104,   478,  -104,   478,  -104,
+     478,  -104,   103,  -104,   296,   296,  -104,  -104,   352,   128,
+     -10,    13,    15,    17,    19,    21,    34,    37,    50,    66,
+      77,    80,    83,  -104,  -104,    97,    67,  -104,    69,   -25,
+     -20,  -104,  -104,   -13,    74,  -104,    91,    65,  -104,  -104,
+    -104,  -104,  -104,   240,  -104,  -104,   478,  -104,   478,  -104,
+     102,   413,   426,   439,   452,  -104,   375,  -104,  -104,    79,
+     128,   108,   109,   111,   112,   184,   113,    22,    90,    81,
+      89,  -104,  -104,    96,   240,   240,   240,   240,    98,   115,
+     240,   240,   115,   240,   240,  -104,   240,   240,   240,   240,
+     240,   240,  -104,  -104,   352,   240,  -104,   122,   465,  -104,
+    -104,  -104,  -104,  -104,  -104,  -104,   352,    75,    -9,   240,
+     125,    78,   240,    22,  -104,   138,   240,   240,   240,   240,
+    -104,   128,   128,   140,   141,   142,   124,   144,   146,   147,
+     148,   153,   155,   167,   180,  -104,   -25,   -20,   -20,  -104,
+    -104,  -104,   181,  -104,  -104,  -104,   182,  -104,   194,   196,
+    -104,  -104,  -104,  -104,  -104,    89,  -104,  -104,  -104,  -104,
+     240,  -104,  -104,  -104,  -104,  -104,  -104,  -104,  -104,  -104,
+    -104,  -104,  -104,   197,  -104
+};
+
+/* YYPGOTO[NTERM-NUM].  */
+static const yytype_int16 yypgoto[] =
+{
+    -104,  -104,  -104,     0,  -104,    44,   -47,   -36,    57,  -104,
+     179,  -103,  -104,  -104,   -72,     2,   -40,   164,  -104,   143,
+      84,    85,   137
+};
+
+/* YYTABLE[YYPACT[STATE-NUM]].  What to do in state STATE-NUM.  If
+   positive, shift that token.  If negative, reduce the rule which
+   number is the opposite.  If zero, do what YYDEFACT says.
+   If YYTABLE_NINF, syntax error.  */
+#define YYTABLE_NINF -32
+static const yytype_int16 yytable[] =
+{
+      37,   151,    83,    60,   155,    98,    61,   100,    62,    99,
+      63,   101,    64,   151,    66,   102,   103,   139,   109,    77,
+     142,   109,   109,   109,   109,    84,   109,    85,     1,    86,
+       2,    87,     3,    88,     4,     5,     6,     7,     8,     9,
+      10,    11,    12,   131,    13,   126,    89,   127,   128,    90,
+      14,   147,   148,   107,    15,    16,    17,    18,   108,    19,
+     129,    20,    91,    21,   149,   150,    22,    23,   109,    24,
+     117,    67,    68,    25,    26,    27,    28,    29,    92,    30,
+      31,    32,    33,    34,   134,   135,   136,   137,   110,    93,
+     140,   141,    94,   143,   144,   -31,   145,    95,   126,    96,
+     127,   128,    97,   105,    19,   152,    50,    51,    52,    53,
+      54,   104,    55,   129,    65,   110,   116,    56,    57,   156,
+     119,   120,   159,   121,   122,   125,   161,   162,   163,   164,
+     130,   132,   133,   131,   138,   153,     1,    12,   157,   158,
+      70,   146,     4,     5,     6,     7,     8,     9,    10,    11,
+      12,   160,    13,   167,   168,   169,   170,   171,    14,   172,
+     173,   174,    15,    16,    17,    18,   175,    19,   176,    20,
+     183,    21,    71,    72,    22,    23,    73,    24,    74,    75,
+     177,    25,    26,    27,    28,    29,    76,    30,    31,    32,
+      33,    34,     1,   178,   179,   180,    70,    69,     4,     5,
+       6,     7,     8,     9,    10,    11,    12,   181,    13,   182,
+     184,   106,   124,   118,    14,   165,     0,   166,    15,    16,
+      17,    18,     0,    19,     0,    20,     0,    21,    71,    72,
+      22,    23,    73,    24,    74,     0,     0,    25,    26,    27,
+      28,    29,    76,    30,    31,    32,    33,    34,     1,     0,
+       0,     0,     3,     0,     4,     5,     6,     7,     8,     9,
+      10,    11,    12,     0,    13,     0,     0,     0,     0,     0,
+      14,     0,     0,     0,    15,    16,    17,    18,     0,    19,
+       0,    20,     0,    21,     0,     0,    22,    23,     0,    24,
+       0,     0,     0,    25,    26,    27,    28,    29,     0,    30,
+      31,    32,    33,    34,     1,     0,     0,     0,     3,     0,
+       4,     5,     6,     7,     8,     9,    10,    11,    12,     0,
+      13,     0,     0,     0,     0,     0,     0,     0,     0,     0,
+       0,    16,    17,    18,     0,    19,     0,    20,     0,    21,
+       0,     0,    22,    23,     0,    24,     0,     0,     0,    25,
+      26,    27,    28,    29,     0,    30,    31,    32,    33,    34,
+       1,     0,     0,     0,     3,     0,     4,     5,     6,     7,
+       8,     9,    10,    11,    12,     0,    13,     0,    50,    51,
+      52,    53,    54,     0,    55,     0,   115,    16,    17,    56,
+      57,    19,     0,    20,     0,    21,     0,     0,    22,    23,
+       0,    24,     0,     0,     0,    25,    26,    27,    28,    29,
+       0,    30,    31,    32,    33,    34,    50,    51,    52,    53,
+      54,     0,    55,     0,     0,     0,   111,    56,    57,    50,
+      51,    52,    53,    54,     0,    55,     0,     0,     0,   112,
+      56,    57,    50,    51,    52,    53,    54,     0,    55,     0,
+       0,     0,   113,    56,    57,    50,    51,    52,    53,    54,
+       0,    55,     0,     0,     0,   114,    56,    57,    50,    51,
+      52,    53,    54,     0,    55,     0,     0,     0,   154,    56,
+      57,    50,    51,    52,    53,    54,     0,    55,     0,     0,
+       0,     0,    56,    57
+};
+
+static const yytype_int16 yycheck[] =
+{
+       0,   104,    12,     3,    13,    30,     4,    27,     6,    34,
+       8,    31,    10,   116,    12,    28,    29,    89,    58,    19,
+      92,    61,    62,    63,    64,    12,    66,    12,     8,    12,
+      10,    12,    12,    12,    14,    15,    16,    17,    18,    19,
+      20,    21,    22,    52,    24,    23,    12,    25,    26,    12,
+      30,    98,    99,    53,    34,    35,    36,    37,    56,    39,
+      38,    41,    12,    43,   100,   101,    46,    47,   108,    49,
+      70,    14,    15,    53,    54,    55,    56,    57,    12,    59,
+      60,    61,    62,    63,    84,    85,    86,    87,    13,    12,
+      90,    91,    12,    93,    94,    12,    96,     0,    23,    32,
+      25,    26,    33,    12,    39,   105,     3,     4,     5,     6,
+       7,    37,     9,    38,    11,    13,    37,    14,    15,   119,
+      12,    12,   122,    12,    12,    12,   126,   127,   128,   129,
+      40,    42,    36,    52,    36,    13,     8,    22,    13,    61,
+      12,    97,    14,    15,    16,    17,    18,    19,    20,    21,
+      22,    13,    24,    13,    13,    13,    32,    13,    30,    13,
+      13,    13,    34,    35,    36,    37,    13,    39,    13,    41,
+     170,    43,    44,    45,    46,    47,    48,    49,    50,    51,
+      13,    53,    54,    55,    56,    57,    58,    59,    60,    61,
+      62,    63,     8,    13,    13,    13,    12,    18,    14,    15,
+      16,    17,    18,    19,    20,    21,    22,    13,    24,    13,
+      13,    47,    75,    70,    30,   131,    -1,   132,    34,    35,
+      36,    37,    -1,    39,    -1,    41,    -1,    43,    44,    45,
+      46,    47,    48,    49,    50,    -1,    -1,    53,    54,    55,
+      56,    57,    58,    59,    60,    61,    62,    63,     8,    -1,
+      -1,    -1,    12,    -1,    14,    15,    16,    17,    18,    19,
+      20,    21,    22,    -1,    24,    -1,    -1,    -1,    -1,    -1,
+      30,    -1,    -1,    -1,    34,    35,    36,    37,    -1,    39,
+      -1,    41,    -1,    43,    -1,    -1,    46,    47,    -1,    49,
+      -1,    -1,    -1,    53,    54,    55,    56,    57,    -1,    59,
+      60,    61,    62,    63,     8,    -1,    -1,    -1,    12,    -1,
+      14,    15,    16,    17,    18,    19,    20,    21,    22,    -1,
+      24,    -1,    -1,    -1,    -1,    -1,    -1,    -1,    -1,    -1,
+      -1,    35,    36,    37,    -1,    39,    -1,    41,    -1,    43,
+      -1,    -1,    46,    47,    -1,    49,    -1,    -1,    -1,    53,
+      54,    55,    56,    57,    -1,    59,    60,    61,    62,    63,
+       8,    -1,    -1,    -1,    12,    -1,    14,    15,    16,    17,
+      18,    19,    20,    21,    22,    -1,    24,    -1,     3,     4,
+       5,     6,     7,    -1,     9,    -1,    11,    35,    36,    14,
+      15,    39,    -1,    41,    -1,    43,    -1,    -1,    46,    47,
+      -1,    49,    -1,    -1,    -1,    53,    54,    55,    56,    57,
+      -1,    59,    60,    61,    62,    63,     3,     4,     5,     6,
+       7,    -1,     9,    -1,    -1,    -1,    13,    14,    15,     3,
+       4,     5,     6,     7,    -1,     9,    -1,    -1,    -1,    13,
+      14,    15,     3,     4,     5,     6,     7,    -1,     9,    -1,
+      -1,    -1,    13,    14,    15,     3,     4,     5,     6,     7,
+      -1,     9,    -1,    -1,    -1,    13,    14,    15,     3,     4,
+       5,     6,     7,    -1,     9,    -1,    -1,    -1,    13,    14,
+      15,     3,     4,     5,     6,     7,    -1,     9,    -1,    -1,
+      -1,    -1,    14,    15
+};
+
+/* YYSTOS[STATE-NUM] -- The (internal number of the) accessing
+   symbol of state STATE-NUM.  */
+static const yytype_uint8 yystos[] =
+{
+       0,     8,    10,    12,    14,    15,    16,    17,    18,    19,
+      20,    21,    22,    24,    30,    34,    35,    36,    37,    39,
+      41,    43,    46,    47,    49,    53,    54,    55,    56,    57,
+      59,    60,    61,    62,    63,    65,    66,    67,    68,    69,
+      70,    71,    72,    73,    74,    75,    76,    77,    78,    81,
+       3,     4,     5,     6,     7,     9,    14,    15,    79,    80,
+      67,    79,    79,    79,    79,    11,    79,    72,    72,    74,
+      12,    44,    45,    48,    50,    51,    58,    67,    82,    83,
+      84,    85,    86,    12,    12,    12,    12,    12,    12,    12,
+      12,    12,    12,    12,    12,     0,    32,    33,    30,    34,
+      27,    31,    28,    29,    37,    12,    81,    67,    79,    80,
+      13,    13,    13,    13,    13,    11,    37,    67,    83,    12,
+      12,    12,    12,    67,    86,    12,    23,    25,    26,    38,
+      40,    52,    42,    36,    67,    67,    67,    67,    36,    78,
+      67,    67,    78,    67,    67,    67,    69,    70,    70,    71,
+      71,    75,    67,    13,    13,    13,    67,    13,    61,    67,
+      13,    67,    67,    67,    67,    84,    85,    13,    13,    13,
+      32,    13,    13,    13,    13,    13,    13,    13,    13,    13,
+      13,    13,    13,    67,    13
+};
+
+#define yyerrok		(yyerrstatus = 0)
+#define yyclearin	(yychar = YYEMPTY)
+#define YYEMPTY		(-2)
+#define YYEOF		0
+
+#define YYACCEPT	goto yyacceptlab
+#define YYABORT		goto yyabortlab
+#define YYERROR		goto yyerrorlab
+
+
+/* Like YYERROR except do call yyerror.  This remains here temporarily
+   to ease the transition to the new meaning of YYERROR, for GCC.
+   Once GCC version 2 has supplanted version 1, this can go.  */
+
+#define YYFAIL		goto yyerrlab
+
+#define YYRECOVERING()  (!!yyerrstatus)
+
+#define YYBACKUP(Token, Value)					\
+do								\
+  if (yychar == YYEMPTY && yylen == 1)				\
+    {								\
+      yychar = (Token);						\
+      yylval = (Value);						\
+      yytoken = YYTRANSLATE (yychar);				\
+      YYPOPSTACK (1);						\
+      goto yybackup;						\
+    }								\
+  else								\
+    {								\
+      yyerror (YY_("syntax error: cannot back up")); \
+      YYERROR;							\
+    }								\
+while (YYID (0))
+
+
+#define YYTERROR	1
+#define YYERRCODE	256
+
+
+/* YYLLOC_DEFAULT -- Set CURRENT to span from RHS[1] to RHS[N].
+   If N is 0, then set CURRENT to the empty location which ends
+   the previous symbol: RHS[0] (always defined).  */
+
+#define YYRHSLOC(Rhs, K) ((Rhs)[K])
+#ifndef YYLLOC_DEFAULT
+# define YYLLOC_DEFAULT(Current, Rhs, N)				\
+    do									\
+      if (YYID (N))                                                    \
+	{								\
+	  (Current).first_line   = YYRHSLOC (Rhs, 1).first_line;	\
+	  (Current).first_column = YYRHSLOC (Rhs, 1).first_column;	\
+	  (Current).last_line    = YYRHSLOC (Rhs, N).last_line;		\
+	  (Current).last_column  = YYRHSLOC (Rhs, N).last_column;	\
+	}								\
+      else								\
+	{								\
+	  (Current).first_line   = (Current).last_line   =		\
+	    YYRHSLOC (Rhs, 0).last_line;				\
+	  (Current).first_column = (Current).last_column =		\
+	    YYRHSLOC (Rhs, 0).last_column;				\
+	}								\
+    while (YYID (0))
+#endif
+
+
+/* YY_LOCATION_PRINT -- Print the location on the stream.
+   This macro was not mandated originally: define only if we know
+   we won't break user code: when these are the locations we know.  */
+
+#ifndef YY_LOCATION_PRINT
+# if YYLTYPE_IS_TRIVIAL
+#  define YY_LOCATION_PRINT(File, Loc)			\
+     fprintf (File, "%d.%d-%d.%d",			\
+	      (Loc).first_line, (Loc).first_column,	\
+	      (Loc).last_line,  (Loc).last_column)
+# else
+#  define YY_LOCATION_PRINT(File, Loc) ((void) 0)
+# endif
+#endif
+
+
+/* YYLEX -- calling `yylex' with the right arguments.  */
+
+#ifdef YYLEX_PARAM
+# define YYLEX yylex (YYLEX_PARAM)
+#else
+# define YYLEX yylex ()
+#endif
+
+/* Enable debugging if requested.  */
+#if YYDEBUG
+
+# ifndef YYFPRINTF
+#  include <stdio.h> /* INFRINGES ON USER NAME SPACE */
+#  define YYFPRINTF fprintf
+# endif
+
+# define YYDPRINTF(Args)			\
+do {						\
+  if (yydebug)					\
+    YYFPRINTF Args;				\
+} while (YYID (0))
+
+# define YY_SYMBOL_PRINT(Title, Type, Value, Location)			  \
+do {									  \
+  if (yydebug)								  \
+    {									  \
+      YYFPRINTF (stderr, "%s ", Title);					  \
+      yy_symbol_print (stderr,						  \
+		  Type, Value); \
+      YYFPRINTF (stderr, "\n");						  \
+    }									  \
+} while (YYID (0))
+
+
+/*--------------------------------.
+| Print this symbol on YYOUTPUT.  |
+`--------------------------------*/
+
+/*ARGSUSED*/
+#if (defined __STDC__ || defined __C99__FUNC__ \
+     || defined __cplusplus || defined _MSC_VER)
+static void
+yy_symbol_value_print (FILE *yyoutput, int yytype, YYSTYPE const * const yyvaluep)
+#else
+static void
+yy_symbol_value_print (yyoutput, yytype, yyvaluep)
+    FILE *yyoutput;
+    int yytype;
+    YYSTYPE const * const yyvaluep;
+#endif
+{
+  if (!yyvaluep)
+    return;
+# ifdef YYPRINT
+  if (yytype < YYNTOKENS)
+    YYPRINT (yyoutput, yytoknum[yytype], *yyvaluep);
+# else
+  YYUSE (yyoutput);
+# endif
+  switch (yytype)
+    {
+      default:
+	break;
+    }
+}
+
+
+/*--------------------------------.
+| Print this symbol on YYOUTPUT.  |
+`--------------------------------*/
+
+#if (defined __STDC__ || defined __C99__FUNC__ \
+     || defined __cplusplus || defined _MSC_VER)
+static void
+yy_symbol_print (FILE *yyoutput, int yytype, YYSTYPE const * const yyvaluep)
+#else
+static void
+yy_symbol_print (yyoutput, yytype, yyvaluep)
+    FILE *yyoutput;
+    int yytype;
+    YYSTYPE const * const yyvaluep;
+#endif
+{
+  if (yytype < YYNTOKENS)
+    YYFPRINTF (yyoutput, "token %s (", yytname[yytype]);
+  else
+    YYFPRINTF (yyoutput, "nterm %s (", yytname[yytype]);
+
+  yy_symbol_value_print (yyoutput, yytype, yyvaluep);
+  YYFPRINTF (yyoutput, ")");
+}
+
+/*------------------------------------------------------------------.
+| yy_stack_print -- Print the state stack from its BOTTOM up to its |
+| TOP (included).                                                   |
+`------------------------------------------------------------------*/
+
+#if (defined __STDC__ || defined __C99__FUNC__ \
+     || defined __cplusplus || defined _MSC_VER)
+static void
+yy_stack_print (yytype_int16 *yybottom, yytype_int16 *yytop)
+#else
+static void
+yy_stack_print (yybottom, yytop)
+    yytype_int16 *yybottom;
+    yytype_int16 *yytop;
+#endif
+{
+  YYFPRINTF (stderr, "Stack now");
+  for (; yybottom <= yytop; yybottom++)
+    {
+      int yybot = *yybottom;
+      YYFPRINTF (stderr, " %d", yybot);
+    }
+  YYFPRINTF (stderr, "\n");
+}
+
+# define YY_STACK_PRINT(Bottom, Top)				\
+do {								\
+  if (yydebug)							\
+    yy_stack_print ((Bottom), (Top));				\
+} while (YYID (0))
+
+
+/*------------------------------------------------.
+| Report that the YYRULE is going to be reduced.  |
+`------------------------------------------------*/
+
+#if (defined __STDC__ || defined __C99__FUNC__ \
+     || defined __cplusplus || defined _MSC_VER)
+static void
+yy_reduce_print (YYSTYPE *yyvsp, int yyrule)
+#else
+static void
+yy_reduce_print (yyvsp, yyrule)
+    YYSTYPE *yyvsp;
+    int yyrule;
+#endif
+{
+  int yynrhs = yyr2[yyrule];
+  int yyi;
+  unsigned long int yylno = yyrline[yyrule];
+  YYFPRINTF (stderr, "Reducing stack by rule %d (line %lu):\n",
+	     yyrule - 1, yylno);
+  /* The symbols being reduced.  */
+  for (yyi = 0; yyi < yynrhs; yyi++)
+    {
+      YYFPRINTF (stderr, "   $%d = ", yyi + 1);
+      yy_symbol_print (stderr, yyrhs[yyprhs[yyrule] + yyi],
+		       &(yyvsp[(yyi + 1) - (yynrhs)])
+		       		       );
+      YYFPRINTF (stderr, "\n");
+    }
+}
+
+# define YY_REDUCE_PRINT(Rule)		\
+do {					\
+  if (yydebug)				\
+    yy_reduce_print (yyvsp, Rule); \
+} while (YYID (0))
+
+/* Nonzero means print parse trace.  It is left uninitialized so that
+   multiple parsers can coexist.  */
+int yydebug;
+#else /* !YYDEBUG */
+# define YYDPRINTF(Args)
+# define YY_SYMBOL_PRINT(Title, Type, Value, Location)
+# define YY_STACK_PRINT(Bottom, Top)
+# define YY_REDUCE_PRINT(Rule)
+#endif /* !YYDEBUG */
+
+
+/* YYINITDEPTH -- initial size of the parser's stacks.  */
+#ifndef	YYINITDEPTH
+# define YYINITDEPTH 200
+#endif
+
+/* YYMAXDEPTH -- maximum size the stacks can grow to (effective only
+   if the built-in stack extension method is used).
+
+   Do not make this value too large; the results are undefined if
+   YYSTACK_ALLOC_MAXIMUM < YYSTACK_BYTES (YYMAXDEPTH)
+   evaluated with infinite-precision integer arithmetic.  */
+
+#ifndef YYMAXDEPTH
+# define YYMAXDEPTH 10000
+#endif
+
+

+
+#if YYERROR_VERBOSE
+
+# ifndef yystrlen
+#  if defined __GLIBC__ && defined _STRING_H
+#   define yystrlen strlen
+#  else
+/* Return the length of YYSTR.  */
+#if (defined __STDC__ || defined __C99__FUNC__ \
+     || defined __cplusplus || defined _MSC_VER)
+static YYSIZE_T
+yystrlen (const char *yystr)
+#else
+static YYSIZE_T
+yystrlen (yystr)
+    const char *yystr;
+#endif
+{
+  YYSIZE_T yylen;
+  for (yylen = 0; yystr[yylen]; yylen++)
+    continue;
+  return yylen;
+}
+#  endif
+# endif
+
+# ifndef yystpcpy
+#  if defined __GLIBC__ && defined _STRING_H && defined _GNU_SOURCE
+#   define yystpcpy stpcpy
+#  else
+/* Copy YYSRC to YYDEST, returning the address of the terminating '\0' in
+   YYDEST.  */
+#if (defined __STDC__ || defined __C99__FUNC__ \
+     || defined __cplusplus || defined _MSC_VER)
+static char *
+yystpcpy (char *yydest, const char *yysrc)
+#else
+static char *
+yystpcpy (yydest, yysrc)
+    char *yydest;
+    const char *yysrc;
+#endif
+{
+  char *yyd = yydest;
+  const char *yys = yysrc;
+
+  while ((*yyd++ = *yys++) != '\0')
+    continue;
+
+  return yyd - 1;
+}
+#  endif
+# endif
+
+# ifndef yytnamerr
+/* Copy to YYRES the contents of YYSTR after stripping away unnecessary
+   quotes and backslashes, so that it's suitable for yyerror.  The
+   heuristic is that double-quoting is unnecessary unless the string
+   contains an apostrophe, a comma, or backslash (other than
+   backslash-backslash).  YYSTR is taken from yytname.  If YYRES is
+   null, do not copy; instead, return the length of what the result
+   would have been.  */
+static YYSIZE_T
+yytnamerr (char *yyres, const char *yystr)
+{
+  if (*yystr == '"')
+    {
+      YYSIZE_T yyn = 0;
+      char const *yyp = yystr;
+
+      for (;;)
+	switch (*++yyp)
+	  {
+	  case '\'':
+	  case ',':
+	    goto do_not_strip_quotes;
+
+	  case '\\':
+	    if (*++yyp != '\\')
+	      goto do_not_strip_quotes;
+	    /* Fall through.  */
+	  default:
+	    if (yyres)
+	      yyres[yyn] = *yyp;
+	    yyn++;
+	    break;
+
+	  case '"':
+	    if (yyres)
+	      yyres[yyn] = '\0';
+	    return yyn;
+	  }
+    do_not_strip_quotes: ;
+    }
+
+  if (! yyres)
+    return yystrlen (yystr);
+
+  return yystpcpy (yyres, yystr) - yyres;
+}
+# endif
+
+/* Copy into YYRESULT an error message about the unexpected token
+   YYCHAR while in state YYSTATE.  Return the number of bytes copied,
+   including the terminating null byte.  If YYRESULT is null, do not
+   copy anything; just return the number of bytes that would be
+   copied.  As a special case, return 0 if an ordinary "syntax error"
+   message will do.  Return YYSIZE_MAXIMUM if overflow occurs during
+   size calculation.  */
+static YYSIZE_T
+yysyntax_error (char *yyresult, int yystate, int yychar)
+{
+  int yyn = yypact[yystate];
+
+  if (! (YYPACT_NINF < yyn && yyn <= YYLAST))
+    return 0;
+  else
+    {
+      int yytype = YYTRANSLATE (yychar);
+      YYSIZE_T yysize0 = yytnamerr (0, yytname[yytype]);
+      YYSIZE_T yysize = yysize0;
+      YYSIZE_T yysize1;
+      int yysize_overflow = 0;
+      enum { YYERROR_VERBOSE_ARGS_MAXIMUM = 5 };
+      char const *yyarg[YYERROR_VERBOSE_ARGS_MAXIMUM];
+      int yyx;
+
+# if 0
+      /* This is so xgettext sees the translatable formats that are
+	 constructed on the fly.  */
+      YY_("syntax error, unexpected %s");
+      YY_("syntax error, unexpected %s, expecting %s");
+      YY_("syntax error, unexpected %s, expecting %s or %s");
+      YY_("syntax error, unexpected %s, expecting %s or %s or %s");
+      YY_("syntax error, unexpected %s, expecting %s or %s or %s or %s");
+# endif
+      char *yyfmt;
+      char const *yyf;
+      static char const yyunexpected[] = "syntax error, unexpected %s";
+      static char const yyexpecting[] = ", expecting %s";
+      static char const yyor[] = " or %s";
+      char yyformat[sizeof yyunexpected
+		    + sizeof yyexpecting - 1
+		    + ((YYERROR_VERBOSE_ARGS_MAXIMUM - 2)
+		       * (sizeof yyor - 1))];
+      char const *yyprefix = yyexpecting;
+
+      /* Start YYX at -YYN if negative to avoid negative indexes in
+	 YYCHECK.  */
+      int yyxbegin = yyn < 0 ? -yyn : 0;
+
+      /* Stay within bounds of both yycheck and yytname.  */
+      int yychecklim = YYLAST - yyn + 1;
+      int yyxend = yychecklim < YYNTOKENS ? yychecklim : YYNTOKENS;
+      int yycount = 1;
+
+      yyarg[0] = yytname[yytype];
+      yyfmt = yystpcpy (yyformat, yyunexpected);
+
+      for (yyx = yyxbegin; yyx < yyxend; ++yyx)
+	if (yycheck[yyx + yyn] == yyx && yyx != YYTERROR)
+	  {
+	    if (yycount == YYERROR_VERBOSE_ARGS_MAXIMUM)
+	      {
+		yycount = 1;
+		yysize = yysize0;
+		yyformat[sizeof yyunexpected - 1] = '\0';
+		break;
+	      }
+	    yyarg[yycount++] = yytname[yyx];
+	    yysize1 = yysize + yytnamerr (0, yytname[yyx]);
+	    yysize_overflow |= (yysize1 < yysize);
+	    yysize = yysize1;
+	    yyfmt = yystpcpy (yyfmt, yyprefix);
+	    yyprefix = yyor;
+	  }
+
+      yyf = YY_(yyformat);
+      yysize1 = yysize + yystrlen (yyf);
+      yysize_overflow |= (yysize1 < yysize);
+      yysize = yysize1;
+
+      if (yysize_overflow)
+	return YYSIZE_MAXIMUM;
+
+      if (yyresult)
+	{
+	  /* Avoid sprintf, as that infringes on the user's name space.
+	     Don't have undefined behavior even if the translation
+	     produced a string with the wrong number of "%s"s.  */
+	  char *yyp = yyresult;
+	  int yyi = 0;
+	  while ((*yyp = *yyf) != '\0')
+	    {
+	      if (*yyp == '%' && yyf[1] == 's' && yyi < yycount)
+		{
+		  yyp += yytnamerr (yyp, yyarg[yyi++]);
+		  yyf += 2;
+		}
+	      else
+		{
+		  yyp++;
+		  yyf++;
+		}
+	    }
+	}
+      return yysize;
+    }
+}
+#endif /* YYERROR_VERBOSE */
+

+
+/*-----------------------------------------------.
+| Release the memory associated to this symbol.  |
+`-----------------------------------------------*/
+
+/*ARGSUSED*/
+#if (defined __STDC__ || defined __C99__FUNC__ \
+     || defined __cplusplus || defined _MSC_VER)
+static void
+yydestruct (const char *yymsg, int yytype, YYSTYPE *yyvaluep)
+#else
+static void
+yydestruct (yymsg, yytype, yyvaluep)
+    const char *yymsg;
+    int yytype;
+    YYSTYPE *yyvaluep;
+#endif
+{
+  YYUSE (yyvaluep);
+
+  if (!yymsg)
+    yymsg = "Deleting";
+  YY_SYMBOL_PRINT (yymsg, yytype, yyvaluep, yylocationp);
+
+  switch (yytype)
+    {
+
+      default:
+	break;
+    }
+}
+
+/* Prevent warnings from -Wmissing-prototypes.  */
+#ifdef YYPARSE_PARAM
+#if defined __STDC__ || defined __cplusplus
+int yyparse (void *YYPARSE_PARAM);
+#else
+int yyparse ();
+#endif
+#else /* ! YYPARSE_PARAM */
+#if defined __STDC__ || defined __cplusplus
+int yyparse (void);
+#else
+int yyparse ();
+#endif
+#endif /* ! YYPARSE_PARAM */
+
+
+/* The lookahead symbol.  */
+int yychar;
+
+/* The semantic value of the lookahead symbol.  */
+YYSTYPE yylval;
+
+/* Number of syntax errors so far.  */
+int yynerrs;
+
+
+
+/*-------------------------.
+| yyparse or yypush_parse.  |
+`-------------------------*/
+
+#ifdef YYPARSE_PARAM
+#if (defined __STDC__ || defined __C99__FUNC__ \
+     || defined __cplusplus || defined _MSC_VER)
+int
+yyparse (void *YYPARSE_PARAM)
+#else
+int
+yyparse (YYPARSE_PARAM)
+    void *YYPARSE_PARAM;
+#endif
+#else /* ! YYPARSE_PARAM */
+#if (defined __STDC__ || defined __C99__FUNC__ \
+     || defined __cplusplus || defined _MSC_VER)
+int
+yyparse (void)
+#else
+int
+yyparse ()
+
+#endif
+#endif
+{
+
+
+    int yystate;
+    /* Number of tokens to shift before error messages enabled.  */
+    int yyerrstatus;
+
+    /* The stacks and their tools:
+       `yyss': related to states.
+       `yyvs': related to semantic values.
+
+       Refer to the stacks thru separate pointers, to allow yyoverflow
+       to reallocate them elsewhere.  */
+
+    /* The state stack.  */
+    yytype_int16 yyssa[YYINITDEPTH];
+    yytype_int16 *yyss;
+    yytype_int16 *yyssp;
+
+    /* The semantic value stack.  */
+    YYSTYPE yyvsa[YYINITDEPTH];
+    YYSTYPE *yyvs;
+    YYSTYPE *yyvsp;
+
+    YYSIZE_T yystacksize;
+
+  int yyn;
+  int yyresult;
+  /* Lookahead token as an internal (translated) token number.  */
+  int yytoken;
+  /* The variables used to return semantic value and location from the
+     action routines.  */
+  YYSTYPE yyval;
+
+#if YYERROR_VERBOSE
+  /* Buffer for error messages, and its allocated size.  */
+  char yymsgbuf[128];
+  char *yymsg = yymsgbuf;
+  YYSIZE_T yymsg_alloc = sizeof yymsgbuf;
+#endif
+
+#define YYPOPSTACK(N)   (yyvsp -= (N), yyssp -= (N))
+
+  /* The number of symbols on the RHS of the reduced rule.
+     Keep to zero when no symbol should be popped.  */
+  int yylen = 0;
+
+  yytoken = 0;
+  yyss = yyssa;
+  yyvs = yyvsa;
+  yystacksize = YYINITDEPTH;
+
+  YYDPRINTF ((stderr, "Starting parse\n"));
+
+  yystate = 0;
+  yyerrstatus = 0;
+  yynerrs = 0;
+  yychar = YYEMPTY; /* Cause a token to be read.  */
+
+  /* Initialize stack pointers.
+     Waste one element of value and location stack
+     so that they stay on the same level as the state stack.
+     The wasted elements are never initialized.  */
+  yyssp = yyss;
+  yyvsp = yyvs;
+
+  goto yysetstate;
+
+/*------------------------------------------------------------.
+| yynewstate -- Push a new state, which is found in yystate.  |
+`------------------------------------------------------------*/
+ yynewstate:
+  /* In all cases, when you get here, the value and location stacks
+     have just been pushed.  So pushing a state here evens the stacks.  */
+  yyssp++;
+
+ yysetstate:
+  *yyssp = yystate;
+
+  if (yyss + yystacksize - 1 <= yyssp)
+    {
+      /* Get the current used size of the three stacks, in elements.  */
+      YYSIZE_T yysize = yyssp - yyss + 1;
+
+#ifdef yyoverflow
+      {
+	/* Give user a chance to reallocate the stack.  Use copies of
+	   these so that the &'s don't force the real ones into
+	   memory.  */
+	YYSTYPE *yyvs1 = yyvs;
+	yytype_int16 *yyss1 = yyss;
+
+	/* Each stack pointer address is followed by the size of the
+	   data in use in that stack, in bytes.  This used to be a
+	   conditional around just the two extra args, but that might
+	   be undefined if yyoverflow is a macro.  */
+	yyoverflow (YY_("memory exhausted"),
+		    &yyss1, yysize * sizeof (*yyssp),
+		    &yyvs1, yysize * sizeof (*yyvsp),
+		    &yystacksize);
+
+	yyss = yyss1;
+	yyvs = yyvs1;
+      }
+#else /* no yyoverflow */
+# ifndef YYSTACK_RELOCATE
+      goto yyexhaustedlab;
+# else
+      /* Extend the stack our own way.  */
+      if (YYMAXDEPTH <= yystacksize)
+	goto yyexhaustedlab;
+      yystacksize *= 2;
+      if (YYMAXDEPTH < yystacksize)
+	yystacksize = YYMAXDEPTH;
+
+      {
+	yytype_int16 *yyss1 = yyss;
+	union yyalloc *yyptr =
+	  (union yyalloc *) YYSTACK_ALLOC (YYSTACK_BYTES (yystacksize));
+	if (! yyptr)
+	  goto yyexhaustedlab;
+	YYSTACK_RELOCATE (yyss_alloc, yyss);
+	YYSTACK_RELOCATE (yyvs_alloc, yyvs);
+#  undef YYSTACK_RELOCATE
+	if (yyss1 != yyssa)
+	  YYSTACK_FREE (yyss1);
+      }
+# endif
+#endif /* no yyoverflow */
+
+      yyssp = yyss + yysize - 1;
+      yyvsp = yyvs + yysize - 1;
+
+      YYDPRINTF ((stderr, "Stack size increased to %lu\n",
+		  (unsigned long int) yystacksize));
+
+      if (yyss + yystacksize - 1 <= yyssp)
+	YYABORT;
+    }
+
+  YYDPRINTF ((stderr, "Entering state %d\n", yystate));
+
+  if (yystate == YYFINAL)
+    YYACCEPT;
+
+  goto yybackup;
+
+/*-----------.
+| yybackup.  |
+`-----------*/
+yybackup:
+
+  /* Do appropriate processing given the current state.  Read a
+     lookahead token if we need one and don't already have one.  */
+
+  /* First try to decide what to do without reference to lookahead token.  */
+  yyn = yypact[yystate];
+  if (yyn == YYPACT_NINF)
+    goto yydefault;
+
+  /* Not known => get a lookahead token if don't already have one.  */
+
+  /* YYCHAR is either YYEMPTY or YYEOF or a valid lookahead symbol.  */
+  if (yychar == YYEMPTY)
+    {
+      YYDPRINTF ((stderr, "Reading a token: "));
+      yychar = YYLEX;
+    }
+
+  if (yychar <= YYEOF)
+    {
+      yychar = yytoken = YYEOF;
+      YYDPRINTF ((stderr, "Now at end of input.\n"));
+    }
+  else
+    {
+      yytoken = YYTRANSLATE (yychar);
+      YY_SYMBOL_PRINT ("Next token is", yytoken, &yylval, &yylloc);
+    }
+
+  /* If the proper action on seeing token YYTOKEN is to reduce or to
+     detect an error, take that action.  */
+  yyn += yytoken;
+  if (yyn < 0 || YYLAST < yyn || yycheck[yyn] != yytoken)
+    goto yydefault;
+  yyn = yytable[yyn];
+  if (yyn <= 0)
+    {
+      if (yyn == 0 || yyn == YYTABLE_NINF)
+	goto yyerrlab;
+      yyn = -yyn;
+      goto yyreduce;
+    }
+
+  /* Count tokens shifted since error; after three, turn off error
+     status.  */
+  if (yyerrstatus)
+    yyerrstatus--;
+
+  /* Shift the lookahead token.  */
+  YY_SYMBOL_PRINT ("Shifting", yytoken, &yylval, &yylloc);
+
+  /* Discard the shifted token.  */
+  yychar = YYEMPTY;
+
+  yystate = yyn;
+  *++yyvsp = yylval;
+
+  goto yynewstate;
+
+
+/*-----------------------------------------------------------.
+| yydefault -- do the default action for the current state.  |
+`-----------------------------------------------------------*/
+yydefault:
+  yyn = yydefact[yystate];
+  if (yyn == 0)
+    goto yyerrlab;
+  goto yyreduce;
+
+
+/*-----------------------------.
+| yyreduce -- Do a reduction.  |
+`-----------------------------*/
+yyreduce:
+  /* yyn is the number of a rule to reduce with.  */
+  yylen = yyr2[yyn];
+
+  /* If YYLEN is nonzero, implement the default value of the action:
+     `$$ = $1'.
+
+     Otherwise, the following line sets YYVAL to garbage.
+     This behavior is undocumented and Bison
+     users should not rely upon it.  Assigning to YYVAL
+     unconditionally makes the parser a bit smaller, and it avoids a
+     GCC warning that YYVAL may be used uninitialized.  */
+  yyval = yyvsp[1-yylen];
+
+
+  YY_REDUCE_PRINT (yyn);
+  switch (yyn)
+    {
+        case 2:
+
+/* Line 1455 of yacc.c  */
+#line 13639 "./admstpathYacc.y"
+    {/*0*/
+ ;}
+    break;
+
+  case 3:
+
+/* Line 1455 of yacc.c  */
+#line 13642 "./admstpathYacc.y"
+    {/*1*/
+   p_text mytext=adms_text_new(((p_pparse)mypparse)->_transform,"");
+   mytext->_token=adms_slist_reverse((yyvsp[(2) - (2)]._slist));
+   ((p_pparse)mypparse)->_text=mytext;
+ ;}
+    break;
+
+  case 4:
+
+/* Line 1455 of yacc.c  */
+#line 13650 "./admstpathYacc.y"
+    {/*0*/
+   ((p_pparse)mypparse)->_path=(yyvsp[(1) - (1)]._path);
+ ;}
+    break;
+
+  case 5:
+
+/* Line 1455 of yacc.c  */
+#line 13654 "./admstpathYacc.y"
+    {/*1*/
+   ((p_pparse)mypparse)->_path=(yyvsp[(3) - (3)]._path);
+ ;}
+    break;
+
+  case 6:
+
+/* Line 1455 of yacc.c  */
+#line 13660 "./admstpathYacc.y"
+    {/*0*/
+   (yyval._path)=adms_path_new(((p_pparse)mypparse)->_transform,NULL);
+   adms_slist_inreverse(&(yyvsp[(1) - (1)]._slist));
+   (yyval._path)->_bar=(yyvsp[(1) - (1)]._slist);
+   (yyval._path)->_callback=NULL;
+   (yyval._path)->_callbackname="path";
+ ;}
+    break;
+
+  case 7:
+
+/* Line 1455 of yacc.c  */
+#line 13670 "./admstpathYacc.y"
+    {/*0*/
+   (yyval._slist)=NULL;
+   adms_slist_push(&(yyval._slist),(p_adms)(yyvsp[(1) - (1)]._slist));
+ ;}
+    break;
+
+  case 8:
+
+/* Line 1455 of yacc.c  */
+#line 13675 "./admstpathYacc.y"
+    {/*1*/
+   (yyval._slist)=(yyvsp[(1) - (3)]._slist);
+   adms_slist_push(&(yyval._slist),(p_adms)(yyvsp[(3) - (3)]._slist));
+ ;}
+    break;
+
+  case 9:
+
+/* Line 1455 of yacc.c  */
+#line 13682 "./admstpathYacc.y"
+    {/*0*/
+   (yyval._slist)=(yyvsp[(1) - (1)]._slist);
+ ;}
+    break;
+
+  case 10:
+
+/* Line 1455 of yacc.c  */
+#line 13686 "./admstpathYacc.y"
+    {/*1*/
+   p_path addp=adms_path_new(((p_pparse)mypparse)->_transform,NULL);
+   addp->_callback=(void*)addptraverse;
+   addp->_callbackname="addptraverse";
+   adms_slist_push(&addp->_arg,(p_adms)(yyvsp[(3) - (3)]._slist));
+   adms_slist_push(&addp->_arg,(p_adms)(yyvsp[(1) - (3)]._slist));
+   (yyval._slist)=NULL;
+   adms_slist_push(&(yyval._slist),(p_adms)addp);
+ ;}
+    break;
+
+  case 11:
+
+/* Line 1455 of yacc.c  */
+#line 13696 "./admstpathYacc.y"
+    {/*2*/
+   p_path addm=adms_path_new(((p_pparse)mypparse)->_transform,NULL);
+   addm->_callback=(void*)addmtraverse;
+   addm->_callbackname="addmtraverse";
+   adms_slist_push(&addm->_arg,(p_adms)(yyvsp[(3) - (3)]._slist));
+   adms_slist_push(&addm->_arg,(p_adms)(yyvsp[(1) - (3)]._slist));
+   (yyval._slist)=NULL;
+   adms_slist_push(&(yyval._slist),(p_adms)addm);
+ ;}
+    break;
+
+  case 12:
+
+/* Line 1455 of yacc.c  */
+#line 13708 "./admstpathYacc.y"
+    {/*0*/
+   (yyval._slist)=(yyvsp[(1) - (1)]._slist);
+ ;}
+    break;
+
+  case 13:
+
+/* Line 1455 of yacc.c  */
+#line 13712 "./admstpathYacc.y"
+    {/*1*/
+   p_path multp=adms_path_new(((p_pparse)mypparse)->_transform,NULL);
+   multp->_callback=(void*)multtimetraverse;
+   multp->_callbackname="multtimetraverse";
+   adms_slist_push(&multp->_arg,(p_adms)(yyvsp[(3) - (3)]._slist));
+   adms_slist_push(&multp->_arg,(p_adms)(yyvsp[(1) - (3)]._slist));
+   (yyval._slist)=NULL;
+   adms_slist_push(&(yyval._slist),(p_adms)multp);
+ ;}
+    break;
+
+  case 14:
+
+/* Line 1455 of yacc.c  */
+#line 13722 "./admstpathYacc.y"
+    {/*2*/
+   p_path multm=adms_path_new(((p_pparse)mypparse)->_transform,NULL);
+   multm->_callback=(void*)multdivtraverse;
+   multm->_callbackname="multdivtraverse";
+   adms_slist_push(&multm->_arg,(p_adms)(yyvsp[(3) - (3)]._slist));
+   adms_slist_push(&multm->_arg,(p_adms)(yyvsp[(1) - (3)]._slist));
+   (yyval._slist)=NULL;
+   adms_slist_push(&(yyval._slist),(p_adms)multm);
+ ;}
+    break;
+
+  case 15:
+
+/* Line 1455 of yacc.c  */
+#line 13734 "./admstpathYacc.y"
+    {/*0*/
+   (yyval._slist)=(yyvsp[(1) - (1)]._slist);
+ ;}
+    break;
+
+  case 16:
+
+/* Line 1455 of yacc.c  */
+#line 13738 "./admstpathYacc.y"
+    {/*1*/
+   p_path unaryp=adms_path_new(((p_pparse)mypparse)->_transform,NULL);
+   unaryp->_callback=(void*)unaryptraverse;
+   unaryp->_callbackname="unaryptraverse";
+   adms_slist_push(&unaryp->_arg,(p_adms)(yyvsp[(2) - (2)]._slist));
+   (yyval._slist)=NULL;
+   adms_slist_push(&(yyval._slist),(p_adms)unaryp);
+ ;}
+    break;
+
+  case 17:
+
+/* Line 1455 of yacc.c  */
+#line 13747 "./admstpathYacc.y"
+    {/*2*/
+   p_path unarym=adms_path_new(((p_pparse)mypparse)->_transform,NULL);
+   unarym->_callback=(void*)unarymtraverse;
+   unarym->_callbackname="unarymtraverse";
+   adms_slist_push(&unarym->_arg,(p_adms)(yyvsp[(2) - (2)]._slist));
+   (yyval._slist)=NULL;
+   adms_slist_push(&(yyval._slist),(p_adms)unarym);
+ ;}
+    break;
+
+  case 18:
+
+/* Line 1455 of yacc.c  */
+#line 13758 "./admstpathYacc.y"
+    {/*0*/
+   (yyval._slist)=(yyvsp[(1) - (1)]._slist);
+ ;}
+    break;
+
+  case 19:
+
+/* Line 1455 of yacc.c  */
+#line 13762 "./admstpathYacc.y"
+    {/*1*/
+   p_path incrp=adms_path_new(((p_pparse)mypparse)->_transform,NULL);
+   p_path path0=(p_path)adms_slist_last((yyvsp[(1) - (2)]._slist))->data;
+   path0->_keeplist=1;
+   incrp->_callback=(void*)incrptraverse;
+   incrp->_callbackname="incrptraverse";
+   adms_slist_push(&incrp->_arg,(p_adms)(yyvsp[(1) - (2)]._slist));
+   (yyval._slist)=NULL;
+   adms_slist_push(&(yyval._slist),(p_adms)incrp);
+ ;}
+    break;
+
+  case 20:
+
+/* Line 1455 of yacc.c  */
+#line 13773 "./admstpathYacc.y"
+    {/*2*/
+   p_path incrm=adms_path_new(((p_pparse)mypparse)->_transform,NULL);
+   p_path path0=(p_path)adms_slist_last((yyvsp[(1) - (2)]._slist))->data;
+   path0->_keeplist=1;
+   incrm->_callback=(void*)incrmtraverse;
+   incrm->_callbackname="incrmtraverse";
+   adms_slist_push(&incrm->_arg,(p_adms)(yyvsp[(1) - (2)]._slist));
+   (yyval._slist)=NULL;
+   adms_slist_push(&(yyval._slist),(p_adms)incrm);
+ ;}
+    break;
+
+  case 21:
+
+/* Line 1455 of yacc.c  */
+#line 13786 "./admstpathYacc.y"
+    {/*0*/
+   (yyval._slist)=(yyvsp[(1) - (1)]._slist);
+   adms_slist_inreverse(&(yyval._slist));
+ ;}
+    break;
+
+  case 22:
+
+/* Line 1455 of yacc.c  */
+#line 13791 "./admstpathYacc.y"
+    {/*1*/
+   (yyval._slist)=(yyvsp[(1) - (2)]._slist);
+   adms_slist_inreverse(&(yyval._slist));
+ ;}
+    break;
+
+  case 23:
+
+/* Line 1455 of yacc.c  */
+#line 13796 "./admstpathYacc.y"
+    {/*2*/
+   p_path pathroot=adms_path_new(((p_pparse)mypparse)->_transform,NULL);
+   pathroot->_callback=(void*)root00;
+   pathroot->_callbackname="root00";
+   (yyval._slist)=(yyvsp[(2) - (2)]._slist);
+   adms_slist_inreverse(&(yyval._slist));
+   adms_slist_push(&(yyval._slist),(p_adms)pathroot);
+ ;}
+    break;
+
+  case 24:
+
+/* Line 1455 of yacc.c  */
+#line 13805 "./admstpathYacc.y"
+    {/*3*/
+   p_path pathroot=adms_path_new(((p_pparse)mypparse)->_transform,NULL);
+   pathroot->_callback=(void*)root00;
+   pathroot->_callbackname="root00";
+   (yyval._slist)=(yyvsp[(2) - (3)]._slist);
+   adms_slist_inreverse(&(yyval._slist));
+   adms_slist_push(&(yyval._slist),(p_adms)pathroot);
+ ;}
+    break;
+
+  case 25:
+
+/* Line 1455 of yacc.c  */
+#line 13816 "./admstpathYacc.y"
+    {/*0*/
+   (yyval._slist)=NULL;
+   adms_slist_push(&(yyval._slist),(p_adms)(yyvsp[(1) - (1)]._path));
+ ;}
+    break;
+
+  case 26:
+
+/* Line 1455 of yacc.c  */
+#line 13821 "./admstpathYacc.y"
+    {/*1*/
+   (yyval._slist)=(yyvsp[(1) - (3)]._slist);
+   adms_slist_push(&(yyval._slist),(p_adms)(yyvsp[(3) - (3)]._path));
+ ;}
+    break;
+
+  case 27:
+
+/* Line 1455 of yacc.c  */
+#line 13828 "./admstpathYacc.y"
+    {/*0*/
+   (yyval._path)=(yyvsp[(1) - (2)]._path);
+   if((yyvsp[(2) - (2)]._path)) adms_slist_push(&(yyval._path)->_c,(p_adms)(yyvsp[(2) - (2)]._path));
+ ;}
+    break;
+
+  case 28:
+
+/* Line 1455 of yacc.c  */
+#line 13833 "./admstpathYacc.y"
+    {/*1*/
+   (yyval._path)=adms_path_new(((p_pparse)mypparse)->_transform,NULL);
+   (yyval._path)->_callback=(void*)location00;
+   (yyval._path)->_callbackname="location00";
+   if((yyvsp[(1) - (1)]._path)) adms_slist_push(&(yyval._path)->_c,(p_adms)(yyvsp[(1) - (1)]._path));
+ ;}
+    break;
+
+  case 29:
+
+/* Line 1455 of yacc.c  */
+#line 13840 "./admstpathYacc.y"
+    {/*2*/
+   (yyval._path)=(yyvsp[(1) - (1)]._path);
+ ;}
+    break;
+
+  case 30:
+
+/* Line 1455 of yacc.c  */
+#line 13844 "./admstpathYacc.y"
+    {/*3*/
+   (yyval._path)=(yyvsp[(2) - (3)]._path);
+   (yyval._path)->_callbackname="groupage";
+   (yyval._path)->_callback=(void*)groupage;
+ ;}
+    break;
+
+  case 31:
+
+/* Line 1455 of yacc.c  */
+#line 13852 "./admstpathYacc.y"
+    {/*0*/
+   (yyval._path)=BASICSTRING((p_pparse)mypparse,adms_knclone(((p_pparse)mypparse)->_tkstart,((p_pparse)mypparse)->_tklen));
+ ;}
+    break;
+
+  case 32:
+
+/* Line 1455 of yacc.c  */
+#line 13858 "./admstpathYacc.y"
+    {/*0 dot*/
+   (yyval._path)=adms_path_new(((p_pparse)mypparse)->_transform,NULL);
+   (yyval._path)->_callback=(void*)location00;
+   (yyval._path)->_callbackname="location00.dot";
+ ;}
+    break;
+
+  case 33:
+
+/* Line 1455 of yacc.c  */
+#line 13864 "./admstpathYacc.y"
+    {/*1 dotdot*/
+   (yyval._path)=adms_path_new(((p_pparse)mypparse)->_transform,NULL);
+   (yyval._path)->_callback=(void*)location01;
+   (yyval._path)->_callbackname="location01.dotdot";
+ ;}
+    break;
+
+  case 34:
+
+/* Line 1455 of yacc.c  */
+#line 13870 "./admstpathYacc.y"
+    {/*10 intp*/
+   (yyval._path)=BASICINTEGER((p_pparse)mypparse,adms_knclone(((p_pparse)mypparse)->_tkstart,((p_pparse)mypparse)->_tklen));
+   (yyval._path)->_callback=(void*)location10;
+   (yyval._path)->_callbackname="location10.intp";
+ ;}
+    break;
+
+  case 35:
+
+/* Line 1455 of yacc.c  */
+#line 13876 "./admstpathYacc.y"
+    {/*7 realp*/
+   (yyval._path)=BASICSTRING((p_pparse)mypparse,adms_knclone(((p_pparse)mypparse)->_tkstart,((p_pparse)mypparse)->_tklen));
+   (yyval._path)->_callback=(void*)location07;
+   (yyval._path)->_callbackname="location07.realp";
+ ;}
+    break;
+
+  case 36:
+
+/* Line 1455 of yacc.c  */
+#line 13882 "./admstpathYacc.y"
+    {/*3 ident*/
+   (yyval._path)=BASICSTRING((p_pparse)mypparse,adms_knclone(((p_pparse)mypparse)->_tkstart,((p_pparse)mypparse)->_tklen));
+   if(((yyval._path)->_text->_admse==admse_past_name)||((yyval._path)->_text->_admse==admse_datatypefamily))
+   {
+     (yyval._path)->_callback=(void*)location03dummy;
+     (yyval._path)->_callbackname="location03dummy";
+   }
+   else
+   {
+     (yyval._path)->_callback=(void*)location03;
+     (yyval._path)->_callbackname="location03";
+   }
+ ;}
+    break;
+
+  case 37:
+
+/* Line 1455 of yacc.c  */
+#line 13896 "./admstpathYacc.y"
+    {/*3 ident.index*/
+   (yyval._path)=BASICSTRING((p_pparse)mypparse,"index");
+   (yyval._path)->_callback=(void*)location03;
+   (yyval._path)->_callbackname="location03.ident.index";
+ ;}
+    break;
+
+  case 38:
+
+/* Line 1455 of yacc.c  */
+#line 13902 "./admstpathYacc.y"
+    {/*4 kdollar*/
+   (yyval._path)=BASICSTRING((p_pparse)mypparse,adms_knclone(((p_pparse)mypparse)->_tkstart,((p_pparse)mypparse)->_tklen));
+   (yyval._path)->_callback=(void*)location04;
+   (yyval._path)->_callbackname="location04.kdollar";
+ ;}
+    break;
+
+  case 39:
+
+/* Line 1455 of yacc.c  */
+#line 13908 "./admstpathYacc.y"
+    {/*5 karoba*/
+   (yyval._path)=BASICSTRING((p_pparse)mypparse,adms_knclone(((p_pparse)mypparse)->_tkstart,((p_pparse)mypparse)->_tklen));
+   (yyval._path)->_callback=(void*)location05;
+   (yyval._path)->_callbackname="location05.karoba";
+ ;}
+    break;
+
+  case 40:
+
+/* Line 1455 of yacc.c  */
+#line 13914 "./admstpathYacc.y"
+    {/*13 kcroix*/
+   (yyval._path)=BASICSTRING((p_pparse)mypparse,adms_knclone(((p_pparse)mypparse)->_tkstart,((p_pparse)mypparse)->_tklen));
+   (yyval._path)->_callback=(void*)location13;
+   (yyval._path)->_callbackname="location13.kcroix";
+ ;}
+    break;
+
+  case 41:
+
+/* Line 1455 of yacc.c  */
+#line 13920 "./admstpathYacc.y"
+    {/*12 kcroixcroix*/
+   (yyval._path)=BASICSTRING((p_pparse)mypparse,adms_knclone(((p_pparse)mypparse)->_tkstart,((p_pparse)mypparse)->_tklen));
+   (yyval._path)->_callback=(void*)location12;
+   (yyval._path)->_callbackname="location12.kcroixcroix";
+ ;}
+    break;
+
+  case 42:
+
+/* Line 1455 of yacc.c  */
+#line 13926 "./admstpathYacc.y"
+    {/*4 dollar*/
+   p_text mytext=adms_text_new(((p_pparse)mypparse)->_transform,"$");
+   mytext->_token=adms_slist_reverse((yyvsp[(2) - (3)]._slist));
+   (yyval._path)=adms_path_new(((p_pparse)mypparse)->_transform,mytext);
+   (yyval._path)->_callback=(void*)location04;
+   (yyval._path)->_callbackname="location04.dollar";
+ ;}
+    break;
+
+  case 43:
+
+/* Line 1455 of yacc.c  */
+#line 13934 "./admstpathYacc.y"
+    {/*5 aroba*/
+   p_text mytext=adms_text_new(((p_pparse)mypparse)->_transform,"@");
+   mytext->_token=adms_slist_reverse((yyvsp[(2) - (3)]._slist));
+   (yyval._path)=adms_path_new(((p_pparse)mypparse)->_transform,mytext);
+   (yyval._path)->_callback=(void*)location05;
+   (yyval._path)->_callbackname="location05.aroba";
+ ;}
+    break;
+
+  case 44:
+
+/* Line 1455 of yacc.c  */
+#line 13942 "./admstpathYacc.y"
+    {/*13 croix*/
+   p_text mytext=adms_text_new(((p_pparse)mypparse)->_transform,"#");
+   mytext->_token=adms_slist_reverse((yyvsp[(2) - (3)]._slist));
+   (yyval._path)=adms_path_new(((p_pparse)mypparse)->_transform,mytext);
+   (yyval._path)->_callback=(void*)location13;
+   (yyval._path)->_callbackname="location13.croix";
+ ;}
+    break;
+
+  case 45:
+
+/* Line 1455 of yacc.c  */
+#line 13950 "./admstpathYacc.y"
+    {/*12 croixcroix*/
+   p_text mytext=adms_text_new(((p_pparse)mypparse)->_transform,"##");
+   mytext->_token=adms_slist_reverse((yyvsp[(2) - (3)]._slist));
+   (yyval._path)=adms_path_new(((p_pparse)mypparse)->_transform,mytext);
+   (yyval._path)->_callback=(void*)location12;
+   (yyval._path)->_callbackname="location12.croixcroix";
+ ;}
+    break;
+
+  case 46:
+
+/* Line 1455 of yacc.c  */
+#line 13958 "./admstpathYacc.y"
+    {/*9 q*/
+   (yyval._path)=adms_path_new(((p_pparse)mypparse)->_transform,NULL);
+   (yyval._path)->_text=(yyvsp[(1) - (1)]._text);
+   if((adms_slist_length((yyval._path)->_text->_token)==1)&&((yyval._path)->_text->_token->data->_datatypename==admse_admst))
+   {
+     (yyval._path)->_callback=(void*)location09k;
+     (yyval._path)->_callbackname="location09k.q";
+   }
+   else
+   {
+     (yyval._path)->_callback=(void*)location09;
+     (yyval._path)->_callbackname="location09.q";
+   }
+ ;}
+    break;
+
+  case 47:
+
+/* Line 1455 of yacc.c  */
+#line 13973 "./admstpathYacc.y"
+    {/*2 function.ident*/
+   (yyval._path)=(yyvsp[(1) - (4)]._path);
+   adms_slist_push(&(yyval._path)->_u,(p_adms)(yyvsp[(3) - (4)]._path));
+   (yyval._path)->_callback=(void*)location02;
+   (yyval._path)->_callbackname="location02.function.ident";
+ ;}
+    break;
+
+  case 48:
+
+/* Line 1455 of yacc.c  */
+#line 13980 "./admstpathYacc.y"
+    {/*20 function.uid*/
+   (yyval._path)=adms_path_new(((p_pparse)mypparse)->_transform,NULL);
+   adms_slist_push(&(yyval._path)->_u,(p_adms)(yyvsp[(3) - (4)]._path));
+   (yyval._path)->_callback=(void*)location20;
+   (yyval._path)->_callbackname="location20.function.uid";
+ ;}
+    break;
+
+  case 49:
+
+/* Line 1455 of yacc.c  */
+#line 13987 "./admstpathYacc.y"
+    {/*21 function.id*/
+   (yyval._path)=adms_path_new(((p_pparse)mypparse)->_transform,NULL);
+   adms_slist_push(&(yyval._path)->_u,(p_adms)(yyvsp[(3) - (4)]._path));
+   (yyval._path)->_callback=(void*)location21;
+   (yyval._path)->_callbackname="location21.function.id";
+ ;}
+    break;
+
+  case 50:
+
+/* Line 1455 of yacc.c  */
+#line 13994 "./admstpathYacc.y"
+    {/*22 function.sort*/
+   (yyval._path)=adms_path_new(((p_pparse)mypparse)->_transform,NULL);
+   adms_slist_push(&(yyval._path)->_u,(p_adms)(yyvsp[(3) - (4)]._path));
+   (yyval._path)->_callback=(void*)location22;
+   (yyval._path)->_callbackname="location22.function.sort";
+ ;}
+    break;
+
+  case 51:
+
+/* Line 1455 of yacc.c  */
+#line 14001 "./admstpathYacc.y"
+    {/*23 function.reverse*/
+   (yyval._path)=adms_path_new(((p_pparse)mypparse)->_transform,NULL);
+   adms_slist_push(&(yyval._path)->_u,(p_adms)(yyvsp[(3) - (4)]._path));
+   (yyval._path)->_callback=(void*)location23;
+   (yyval._path)->_callbackname="location23.function.reverse";
+ ;}
+    break;
+
+  case 52:
+
+/* Line 1455 of yacc.c  */
+#line 14008 "./admstpathYacc.y"
+    {/*24 function.uc*/
+   (yyval._path)=adms_path_new(((p_pparse)mypparse)->_transform,NULL);
+   adms_slist_push(&(yyval._path)->_u,(p_adms)(yyvsp[(3) - (4)]._path));
+   (yyval._path)->_callback=(void*)location24;
+   (yyval._path)->_callbackname="location24.function.uc";
+ ;}
+    break;
+
+  case 53:
+
+/* Line 1455 of yacc.c  */
+#line 14015 "./admstpathYacc.y"
+    {/*25 function.lc*/
+   (yyval._path)=adms_path_new(((p_pparse)mypparse)->_transform,NULL);
+   adms_slist_push(&(yyval._path)->_u,(p_adms)(yyvsp[(3) - (4)]._path));
+   (yyval._path)->_callback=(void*)location25;
+   (yyval._path)->_callbackname="location25.function.lc";
+ ;}
+    break;
+
+  case 54:
+
+/* Line 1455 of yacc.c  */
+#line 14022 "./admstpathYacc.y"
+    {/*27 function.count*/
+   (yyval._path)=adms_path_new(((p_pparse)mypparse)->_transform,NULL);
+   adms_slist_push(&(yyval._path)->_u,(p_adms)(yyvsp[(3) - (4)]._path));
+   (yyval._path)->_callback=(void*)location27;
+   (yyval._path)->_callbackname="location27.function.count";
+ ;}
+    break;
+
+  case 55:
+
+/* Line 1455 of yacc.c  */
+#line 14029 "./admstpathYacc.y"
+    {/*14 function.admst*/
+   (yyval._path)=adms_path_new(((p_pparse)mypparse)->_transform,NULL);
+   (yyval._path)->_callback=(void*)location14;
+   (yyval._path)->_callbackname="location14.function.admst";
+ ;}
+    break;
+
+  case 56:
+
+/* Line 1455 of yacc.c  */
+#line 14035 "./admstpathYacc.y"
+    {/*19 function.index*/
+   (yyval._path)=adms_path_new(((p_pparse)mypparse)->_transform,NULL);
+   adms_slist_push(&(yyval._path)->_u,(p_adms)(yyvsp[(5) - (6)]._path));
+   adms_slist_push(&(yyval._path)->_u,(p_adms)(yyvsp[(3) - (6)]._path));
+   (yyval._path)->_callback=(void*)location19;
+   (yyval._path)->_callbackname="location19.function.index";
+ ;}
+    break;
+
+  case 57:
+
+/* Line 1455 of yacc.c  */
+#line 14043 "./admstpathYacc.y"
+    {/*26 function.text*/
+   (yyval._path)=adms_path_new(((p_pparse)mypparse)->_transform,NULL);
+   (yyval._path)->_text=(yyvsp[(3) - (4)]._text);
+   (yyval._path)->_callback=(void*)location26;
+   (yyval._path)->_callbackname="location26.function.text";
+ ;}
+    break;
+
+  case 58:
+
+/* Line 1455 of yacc.c  */
+#line 14050 "./admstpathYacc.y"
+    {/*15 function.returned*/
+   (yyval._path)=adms_path_new(((p_pparse)mypparse)->_transform,NULL);
+   (yyval._path)->_text=(yyvsp[(3) - (4)]._text);
+   (yyval._path)->_callback=(void*)location15;
+   (yyval._path)->_callbackname="location15.function.returned";
+ ;}
+    break;
+
+  case 59:
+
+/* Line 1455 of yacc.c  */
+#line 14057 "./admstpathYacc.y"
+    {/*18 function.pos*/
+   (yyval._path)=adms_path_new(((p_pparse)mypparse)->_transform,NULL);
+   (yyval._path)->_callback=(void*)location18;
+   (yyval._path)->_callbackname="location18.function.pos";
+ ;}
+    break;
+
+  case 60:
+
+/* Line 1455 of yacc.c  */
+#line 14063 "./admstpathYacc.y"
+    {/*130 croixstar*/
+   (yyval._path)=adms_path_new(((p_pparse)mypparse)->_transform,NULL);
+   (yyval._path)->_callback=(void*)location130;
+   (yyval._path)->_callbackname="location130.croixstar";
+ ;}
+    break;
+
+  case 61:
+
+/* Line 1455 of yacc.c  */
+#line 14069 "./admstpathYacc.y"
+    {/*200 ticptr*/
+   (yyval._path)=adms_path_new(((p_pparse)mypparse)->_transform,NULL);
+   p_text mytext=adms_text_new(((p_pparse)mypparse)->_transform,"'%p'");
+   adms_slist_push(&(mytext->_token),(p_adms)adms_admst_newks(adms_kclone("%p")));
+   mytext->_admse=admse__p;
+   (yyval._path)->_text=mytext;
+   (yyval._path)->_callback=(void*)location200;
+   (yyval._path)->_callbackname="location200.ticptr";
+ ;}
+    break;
+
+  case 62:
+
+/* Line 1455 of yacc.c  */
+#line 14081 "./admstpathYacc.y"
+    {/*0*/
+   p_text mytext=adms_text_new(((p_pparse)mypparse)->_transform,"qtext");
+   mytext->_token=adms_slist_reverse((yyvsp[(2) - (3)]._slist));
+   if(adms_slist_length(mytext->_token)==1)
+   {
+     if(mytext->_token->data->_datatypename==admse_path)
+       mytext->_admse=admse__path;
+     else if(mytext->_token->data->_datatypename==admse_admst)
+       mytext->_admse=ns_strtoe(((p_admst)mytext->_token->data)->_item.s);
+   }
+   (yyval._text)=mytext;
+ ;}
+    break;
+
+  case 63:
+
+/* Line 1455 of yacc.c  */
+#line 14094 "./admstpathYacc.y"
+    {/*1*/
+   p_text mytext=adms_text_new(((p_pparse)mypparse)->_transform,"");
+   adms_slist_push(&(mytext->_token),(p_adms)adms_admst_newks(adms_kclone("")));
+   (yyval._text)=mytext;
+ ;}
+    break;
+
+  case 64:
+
+/* Line 1455 of yacc.c  */
+#line 14102 "./admstpathYacc.y"
+    {/*0*/
+   (yyval._slist)=NULL;
+   adms_slist_push(&(yyval._slist),(p_adms)(yyvsp[(1) - (1)]._path));
+ ;}
+    break;
+
+  case 65:
+
+/* Line 1455 of yacc.c  */
+#line 14107 "./admstpathYacc.y"
+    {/*1*/
+   (yyval._slist)=(yyvsp[(1) - (2)]._slist);
+   adms_slist_push(&(yyval._slist),(p_adms)(yyvsp[(2) - (2)]._path));
+ ;}
+    break;
+
+  case 66:
+
+/* Line 1455 of yacc.c  */
+#line 14114 "./admstpathYacc.y"
+    {/*0*/
+   (yyval._path)=(p_path)adms_admst_newks(adms_kclone("\n"));
+ ;}
+    break;
+
+  case 67:
+
+/* Line 1455 of yacc.c  */
+#line 14118 "./admstpathYacc.y"
+    {/*1*/
+   (yyval._path)=(p_path)adms_admst_newks(adms_kclone("\r"));
+ ;}
+    break;
+
+  case 68:
+
+/* Line 1455 of yacc.c  */
+#line 14122 "./admstpathYacc.y"
+    {/*2*/
+   (yyval._path)=(p_path)adms_admst_newks(adms_kclone("\t"));
+ ;}
+    break;
+
+  case 69:
+
+/* Line 1455 of yacc.c  */
+#line 14126 "./admstpathYacc.y"
+    {/*3*/
+   (yyval._path)=(p_path)adms_admst_newks(adms_knclone(((p_pparse)mypparse)->_tkstart,((p_pparse)mypparse)->_tklen));
+ ;}
+    break;
+
+  case 70:
+
+/* Line 1455 of yacc.c  */
+#line 14130 "./admstpathYacc.y"
+    {/*4*/
+   (yyval._path)=(p_path)adms_text_new(((p_pparse)mypparse)->_transform,"%s");
+   ((p_text)(yyval._path))->_admse=admse__s;
+ ;}
+    break;
+
+  case 71:
+
+/* Line 1455 of yacc.c  */
+#line 14135 "./admstpathYacc.y"
+    {/*5*/
+   (yyval._path)=(p_path)adms_text_new(((p_pparse)mypparse)->_transform,"$");
+   ((p_text)(yyval._path))->_token=adms_slist_reverse((yyvsp[(2) - (3)]._slist));
+ ;}
+    break;
+
+  case 72:
+
+/* Line 1455 of yacc.c  */
+#line 14140 "./admstpathYacc.y"
+    {/*6*/
+   char* mytvalue=adms_knclone(((p_pparse)mypparse)->_tkstart,((p_pparse)mypparse)->_tklen);
+   (yyval._path)=(p_path)adms_text_new(((p_pparse)mypparse)->_transform,"$");
+   adms_slist_push(&(((p_text)(yyval._path))->_token),(p_adms)adms_admst_newks(mytvalue));
+ ;}
+    break;
+
+  case 73:
+
+/* Line 1455 of yacc.c  */
+#line 14146 "./admstpathYacc.y"
+    {/*7*/
+   (yyval._path)=(yyvsp[(2) - (3)]._path);
+ ;}
+    break;
+
+  case 74:
+
+/* Line 1455 of yacc.c  */
+#line 14152 "./admstpathYacc.y"
+    {/*0*/
+   (yyval._path)=(yyvsp[(2) - (3)]._path);
+ ;}
+    break;
+
+  case 75:
+
+/* Line 1455 of yacc.c  */
+#line 14158 "./admstpathYacc.y"
+    {/*0*/
+   (yyval._path)=(yyvsp[(1) - (1)]._path);
+ ;}
+    break;
+
+  case 76:
+
+/* Line 1455 of yacc.c  */
+#line 14162 "./admstpathYacc.y"
+    {/*1*/
+   (yyval._path)=adms_path_new(((p_pparse)mypparse)->_transform,NULL);
+   (yyval._path)->_callback=(void*)expression01;
+   (yyval._path)->_callbackname="expression01";
+   adms_slist_push(&(yyval._path)->_u,(p_adms)(yyvsp[(1) - (1)]._path));
+ ;}
+    break;
+
+  case 77:
+
+/* Line 1455 of yacc.c  */
+#line 14171 "./admstpathYacc.y"
+    {/*0*/
+   (yyval._path)=(yyvsp[(1) - (1)]._path);
+ ;}
+    break;
+
+  case 78:
+
+/* Line 1455 of yacc.c  */
+#line 14175 "./admstpathYacc.y"
+    {/*1*/
+   (yyval._path)=adms_path_new(((p_pparse)mypparse)->_transform,NULL);
+   (yyval._path)->_callback=(void*)binaryor;
+   (yyval._path)->_callbackname="binaryor";
+   adms_slist_push(&(yyval._path)->_b,(p_adms)(yyvsp[(3) - (3)]._path));
+   adms_slist_push(&(yyval._path)->_b,(p_adms)(yyvsp[(1) - (3)]._path));
+ ;}
+    break;
+
+  case 79:
+
+/* Line 1455 of yacc.c  */
+#line 14185 "./admstpathYacc.y"
+    {/*0*/
+   (yyval._path)=(yyvsp[(1) - (1)]._path);
+ ;}
+    break;
+
+  case 80:
+
+/* Line 1455 of yacc.c  */
+#line 14189 "./admstpathYacc.y"
+    {/*1*/
+   (yyval._path)=adms_path_new(((p_pparse)mypparse)->_transform,NULL);
+   (yyval._path)->_callback=(void*)binaryand;
+   (yyval._path)->_callbackname="binaryand";
+   adms_slist_push(&(yyval._path)->_b,(p_adms)(yyvsp[(3) - (3)]._path));
+   adms_slist_push(&(yyval._path)->_b,(p_adms)(yyvsp[(1) - (3)]._path));
+ ;}
+    break;
+
+  case 81:
+
+/* Line 1455 of yacc.c  */
+#line 14199 "./admstpathYacc.y"
+    {/*0*/
+   (yyval._path)=(yyvsp[(1) - (1)]._path);
+ ;}
+    break;
+
+  case 82:
+
+/* Line 1455 of yacc.c  */
+#line 14203 "./admstpathYacc.y"
+    {/*1*/
+   (yyval._path)=adms_path_new(((p_pparse)mypparse)->_transform,NULL);
+   (yyval._path)->_callback=(void*)unary00;
+   (yyval._path)->_callbackname="unary00";
+   adms_slist_push(&(yyval._path)->_u,(p_adms)(yyvsp[(2) - (2)]._path));
+ ;}
+    break;
+
+  case 83:
+
+/* Line 1455 of yacc.c  */
+#line 14212 "./admstpathYacc.y"
+    {/*3 groupage*/
+   (yyval._path)=adms_path_new(((p_pparse)mypparse)->_transform,NULL);
+   (yyval._path)->_callback=(void*)atomicgroupage;
+   (yyval._path)->_callbackname="atomicgroupage";
+   adms_slist_push(&(yyval._path)->_u,(p_adms)(yyvsp[(2) - (3)]._path));
+ ;}
+    break;
+
+  case 84:
+
+/* Line 1455 of yacc.c  */
+#line 14219 "./admstpathYacc.y"
+    {/*8 eq*/
+   (yyval._path)=adms_path_new(((p_pparse)mypparse)->_transform,NULL);
+   (yyval._path)->_callback=(void*)atomiceq;
+   (yyval._path)->_callbackname="atomiceq";
+   adms_slist_push(&(yyval._path)->_u,(p_adms)(yyvsp[(3) - (3)]._path));
+   adms_slist_push(&(yyval._path)->_u,(p_adms)(yyvsp[(1) - (3)]._path));
+ ;}
+    break;
+
+  case 85:
+
+/* Line 1455 of yacc.c  */
+#line 14227 "./admstpathYacc.y"
+    {/*9 ne*/
+   (yyval._path)=adms_path_new(((p_pparse)mypparse)->_transform,NULL);
+   (yyval._path)->_callback=(void*)atomicne;
+   (yyval._path)->_callbackname="atomicne";
+   adms_slist_push(&(yyval._path)->_u,(p_adms)(yyvsp[(3) - (3)]._path));
+   adms_slist_push(&(yyval._path)->_u,(p_adms)(yyvsp[(1) - (3)]._path));
+ ;}
+    break;
+
+  case 86:
+
+/* Line 1455 of yacc.c  */
+#line 14235 "./admstpathYacc.y"
+    {/*10 lt*/
+   (yyval._path)=adms_path_new(((p_pparse)mypparse)->_transform,NULL);
+   (yyval._path)->_callback=(void*)atomiclt;
+   (yyval._path)->_callbackname="atomiclt";
+   adms_slist_push(&(yyval._path)->_u,(p_adms)(yyvsp[(3) - (3)]._path));
+   adms_slist_push(&(yyval._path)->_u,(p_adms)(yyvsp[(1) - (3)]._path));
+ ;}
+    break;
+
+  case 87:
+
+/* Line 1455 of yacc.c  */
+#line 14243 "./admstpathYacc.y"
+    {/*11 gt*/
+   (yyval._path)=adms_path_new(((p_pparse)mypparse)->_transform,NULL);
+   (yyval._path)->_callback=(void*)atomicgt;
+   (yyval._path)->_callbackname="atomicgt";
+   adms_slist_push(&(yyval._path)->_u,(p_adms)(yyvsp[(3) - (3)]._path));
+   adms_slist_push(&(yyval._path)->_u,(p_adms)(yyvsp[(1) - (3)]._path));
+ ;}
+    break;
+
+  case 88:
+
+/* Line 1455 of yacc.c  */
+#line 14251 "./admstpathYacc.y"
+    {/*0 nilled*/
+   (yyval._path)=adms_path_new(((p_pparse)mypparse)->_transform,NULL);
+   (yyval._path)->_callback=(void*)atomicnilled;
+   (yyval._path)->_callbackname="atomicnilled";
+   adms_slist_push(&(yyval._path)->_u,(p_adms)(yyvsp[(3) - (4)]._path));
+ ;}
+    break;
+
+  case 89:
+
+/* Line 1455 of yacc.c  */
+#line 14258 "./admstpathYacc.y"
+    {/*1 exists*/
+   (yyval._path)=adms_path_new(((p_pparse)mypparse)->_transform,NULL);
+   (yyval._path)->_callback=(void*)atomicexists;
+   (yyval._path)->_callbackname="atomicexists";
+   adms_slist_push(&(yyval._path)->_u,(p_adms)(yyvsp[(3) - (4)]._path));
+ ;}
+    break;
+
+  case 90:
+
+/* Line 1455 of yacc.c  */
+#line 14265 "./admstpathYacc.y"
+    {/*2 isdefault*/
+   (yyval._path)=BASICSTRING((p_pparse)mypparse,adms_knclone(((p_pparse)mypparse)->_tkstart,((p_pparse)mypparse)->_tklen));
+   (yyval._path)->_callback=(void*)atomicisdefault;
+   (yyval._path)->_callbackname="atomicisdefault";
+ ;}
+    break;
+
+  case 91:
+
+/* Line 1455 of yacc.c  */
+#line 14271 "./admstpathYacc.y"
+    {/*5 true*/
+   (yyval._path)=adms_path_new(((p_pparse)mypparse)->_transform,NULL);
+   (yyval._path)->_callback=(void*)atomictrue;
+   (yyval._path)->_callbackname="atomictrue";
+ ;}
+    break;
+
+  case 92:
+
+/* Line 1455 of yacc.c  */
+#line 14277 "./admstpathYacc.y"
+    {/*6 false*/
+   (yyval._path)=adms_path_new(((p_pparse)mypparse)->_transform,NULL);
+   (yyval._path)->_callback=(void*)atomicfalse;
+   (yyval._path)->_callbackname="atomicfalse";
+ ;}
+    break;
+
+
+
+/* Line 1455 of yacc.c  */
+#line 16227 "y.tab.c"
+      default: break;
+    }
+  YY_SYMBOL_PRINT ("-> $$ =", yyr1[yyn], &yyval, &yyloc);
+
+  YYPOPSTACK (yylen);
+  yylen = 0;
+  YY_STACK_PRINT (yyss, yyssp);
+
+  *++yyvsp = yyval;
+
+  /* Now `shift' the result of the reduction.  Determine what state
+     that goes to, based on the state we popped back to and the rule
+     number reduced by.  */
+
+  yyn = yyr1[yyn];
+
+  yystate = yypgoto[yyn - YYNTOKENS] + *yyssp;
+  if (0 <= yystate && yystate <= YYLAST && yycheck[yystate] == *yyssp)
+    yystate = yytable[yystate];
+  else
+    yystate = yydefgoto[yyn - YYNTOKENS];
+
+  goto yynewstate;
+
+
+/*------------------------------------.
+| yyerrlab -- here on detecting error |
+`------------------------------------*/
+yyerrlab:
+  /* If not already recovering from an error, report this error.  */
+  if (!yyerrstatus)
+    {
+      ++yynerrs;
+#if ! YYERROR_VERBOSE
+      yyerror (YY_("syntax error"));
+#else
+      {
+	YYSIZE_T yysize = yysyntax_error (0, yystate, yychar);
+	if (yymsg_alloc < yysize && yymsg_alloc < YYSTACK_ALLOC_MAXIMUM)
+	  {
+	    YYSIZE_T yyalloc = 2 * yysize;
+	    if (! (yysize <= yyalloc && yyalloc <= YYSTACK_ALLOC_MAXIMUM))
+	      yyalloc = YYSTACK_ALLOC_MAXIMUM;
+	    if (yymsg != yymsgbuf)
+	      YYSTACK_FREE (yymsg);
+	    yymsg = (char *) YYSTACK_ALLOC (yyalloc);
+	    if (yymsg)
+	      yymsg_alloc = yyalloc;
+	    else
+	      {
+		yymsg = yymsgbuf;
+		yymsg_alloc = sizeof yymsgbuf;
+	      }
+	  }
+
+	if (0 < yysize && yysize <= yymsg_alloc)
+	  {
+	    (void) yysyntax_error (yymsg, yystate, yychar);
+	    yyerror (yymsg);
+	  }
+	else
+	  {
+	    yyerror (YY_("syntax error"));
+	    if (yysize != 0)
+	      goto yyexhaustedlab;
+	  }
+      }
+#endif
+    }
+
+
+
+  if (yyerrstatus == 3)
+    {
+      /* If just tried and failed to reuse lookahead token after an
+	 error, discard it.  */
+
+      if (yychar <= YYEOF)
+	{
+	  /* Return failure if at end of input.  */
+	  if (yychar == YYEOF)
+	    YYABORT;
+	}
+      else
+	{
+	  yydestruct ("Error: discarding",
+		      yytoken, &yylval);
+	  yychar = YYEMPTY;
+	}
+    }
+
+  /* Else will try to reuse lookahead token after shifting the error
+     token.  */
+  goto yyerrlab1;
+
+
+/*---------------------------------------------------.
+| yyerrorlab -- error raised explicitly by YYERROR.  |
+`---------------------------------------------------*/
+yyerrorlab:
+
+  /* Pacify compilers like GCC when the user code never invokes
+     YYERROR and the label yyerrorlab therefore never appears in user
+     code.  */
+  if (/*CONSTCOND*/ 0)
+     goto yyerrorlab;
+
+  /* Do not reclaim the symbols of the rule which action triggered
+     this YYERROR.  */
+  YYPOPSTACK (yylen);
+  yylen = 0;
+  YY_STACK_PRINT (yyss, yyssp);
+  yystate = *yyssp;
+  goto yyerrlab1;
+
+
+/*-------------------------------------------------------------.
+| yyerrlab1 -- common code for both syntax error and YYERROR.  |
+`-------------------------------------------------------------*/
+yyerrlab1:
+  yyerrstatus = 3;	/* Each real token shifted decrements this.  */
+
+  for (;;)
+    {
+      yyn = yypact[yystate];
+      if (yyn != YYPACT_NINF)
+	{
+	  yyn += YYTERROR;
+	  if (0 <= yyn && yyn <= YYLAST && yycheck[yyn] == YYTERROR)
+	    {
+	      yyn = yytable[yyn];
+	      if (0 < yyn)
+		break;
+	    }
+	}
+
+      /* Pop the current state because it cannot handle the error token.  */
+      if (yyssp == yyss)
+	YYABORT;
+
+
+      yydestruct ("Error: popping",
+		  yystos[yystate], yyvsp);
+      YYPOPSTACK (1);
+      yystate = *yyssp;
+      YY_STACK_PRINT (yyss, yyssp);
+    }
+
+  *++yyvsp = yylval;
+
+
+  /* Shift the error token.  */
+  YY_SYMBOL_PRINT ("Shifting", yystos[yyn], yyvsp, yylsp);
+
+  yystate = yyn;
+  goto yynewstate;
+
+
+/*-------------------------------------.
+| yyacceptlab -- YYACCEPT comes here.  |
+`-------------------------------------*/
+yyacceptlab:
+  yyresult = 0;
+  goto yyreturn;
+
+/*-----------------------------------.
+| yyabortlab -- YYABORT comes here.  |
+`-----------------------------------*/
+yyabortlab:
+  yyresult = 1;
+  goto yyreturn;
+
+#if !defined(yyoverflow) || YYERROR_VERBOSE
+/*-------------------------------------------------.
+| yyexhaustedlab -- memory exhaustion comes here.  |
+`-------------------------------------------------*/
+yyexhaustedlab:
+  yyerror (YY_("memory exhausted"));
+  yyresult = 2;
+  /* Fall through.  */
+#endif
+
+yyreturn:
+  if (yychar != YYEMPTY)
+     yydestruct ("Cleanup: discarding lookahead",
+		 yytoken, &yylval);
+  /* Do not reclaim the symbols of the rule which action triggered
+     this YYABORT or YYACCEPT.  */
+  YYPOPSTACK (yylen);
+  YY_STACK_PRINT (yyss, yyssp);
+  while (yyssp != yyss)
+    {
+      yydestruct ("Cleanup: popping",
+		  yystos[*yyssp], yyvsp);
+      YYPOPSTACK (1);
+    }
+#ifndef yyoverflow
+  if (yyss != yyssa)
+    YYSTACK_FREE (yyss);
+#endif
+#if YYERROR_VERBOSE
+  if (yymsg != yymsgbuf)
+    YYSTACK_FREE (yymsg);
+#endif
+  /* Make sure YYID is used.  */
+  return YYID (yyresult);
+}
+
+
+
+/* Line 1675 of yacc.c  */
+#line 14284 "./admstpathYacc.y"
+
+static const char* tparsecb (p_pparse mypparse)
+{
+  const char* t=mypparse->_position;
+  mypparse->_tkstart=t;
+  if(0) {}
+  else if(*t=='\''&&INSIDEPATH)
+  {
+    adms_slist_pull(&globalctxt);
+    t+=1, mypparse->_tkid=tktk_cquote;
+  }
+  else if(*t=='%')
+  {
+    if(*(t+1)=='\0')
+      t+=1, mypparse->_tkid=tktk_anytext;
+    else if(*(t+1)=='s')
+      t+=2, mypparse->_tkid=tktk_percents;
+    else if(*(t+1)=='%')
+    {
+      mypparse->_tkstart=t+1;
+      t+=2, mypparse->_tkid=tktk_anytext;
+    }
+    else if(*(t+1)=='(')
+    {
+      paren++; adms_slist_push(&parenidx,(p_adms)(long)paren); SETPATH
+      mypparse->_tkstart=t+2;
+      t+=2, mypparse->_tkid=tktk_percent;
+    }
+    else
+      t+=2, mypparse->_tkid=tktk_anytext;
+  }
+  else if(*t=='\\')
+  {
+    if(*(t+1)=='\0')
+      t+=1, mypparse->_tkid=tktk_anytext;
+    if(*(t+1)=='n')
+      t+=2, mypparse->_tkid=tktk_cr;
+    else if(*(t+1)=='r')
+      t+=2, mypparse->_tkid=tktk_cr;
+    else if(*(t+1)=='t')
+      t+=2, mypparse->_tkid=tktk_tab;
+    else
+    {
+      mypparse->_tkstart=t+1;
+      t+=2, mypparse->_tkid=tktk_anytext;
+    }
+  }
+  else if(*t=='$')
+  {
+    if(*(t+1)=='\0')
+      t+=1, mypparse->_tkid=tktk_anytext;
+    if(*(t+1)=='(')
+    {
+      paren++; adms_slist_push(&parenidx,(p_adms)(long)paren); SETTEXT
+      mypparse->_tkstart=t+2;
+      t+=2, mypparse->_tkid=tktk_dollarvariable;
+    }
+    else
+    {
+      mypparse->_tkstart=t+1;
+      t++;
+      while(*t&&(isalnum(*t)||*t=='_'))
+        t++;
+      mypparse->_tkid=tktk_kdollarvariable;
+    }
+  }
+  else if(ISTEXT&&(*t==')'))
+  {
+    if(PARENMATCH)
+    {
+      t+=1, mypparse->_tkid=tktk_closeE, adms_slist_pull(&parenidx);
+      adms_slist_pull(&globalctxt);
+    }
+    else
+      t+=1, mypparse->_tkid=tktk_anytext;
+    paren--;
+  }
+  else
+  {
+    if(INSIDEPATH)
+    {
+      if(ISTEXT) while(*t&&(*t!=')')&&(*t!='\0')&&(*t!='%')&&(*t!='\\')&&(*t!='$')&&(*t!='\''))
+      {
+        if(*t=='(') paren++;
+        t++;
+      }
+      else if(ISTIC) while(*t&&(*t!='\0')&&(*t!='%')&&(*t!='\\')&&(*t!='$')&&(*t!='\''))
+      {
+        t++;
+      }
+      else
+        adms_message_fatal(("%s:'%s' unexpected error in apath parser - please report to r29173 at users.sourceforge.net\n",adms_transform_uid(mypparse->_transform),mypparse->_value))
+    }
+    else
+    {
+      if(ISTEXT) while(*t&&(*t!=')')&&(*t!='\0')&&(*t!='%')&&(*t!='\\')&&(*t!='$'))
+      {
+        if(*t=='(') paren++;
+        t++;
+      }
+      else if(ISTIC) while(*t&&(*t!='\0')&&(*t!='%')&&(*t!='\\')&&(*t!='$'))
+      {
+        t++;
+      }
+      else
+        adms_message_fatal(("%s:'%s' unexpected error in apath parser - please report to r29173 at users.sourceforge.net\n",adms_transform_uid(mypparse->_transform),mypparse->_value))
+    }
+    mypparse->_tkid=tktk_anytext;
+  }
+  mypparse->_tklen=(int)(long)(t-mypparse->_tkstart);
+  return t;
+}
+static int admstpathlex (p_pparse mypparse)
+{
+  const char* t=mypparse->_position;
+  const char* tstart;
+  if(*t=='\0')
+    return 0;
+  if(goto_atext)
+  {
+    goto_atext=0;
+    return tktk_goto_atext;
+  }
+  while(*t&&isspace(*t)) t++;
+  tstart=t;
+  if(!strncmp(t,"\'%p\'",4)&&!isalpha(*(t+4)))
+    t+=4, mypparse->_tkid=tktk_ticptr;
+  else if(!ISPATH)
+    t=tparsecb(mypparse);
+  else if(!strncmp(t,"(",1))
+  {
+    t+=1, mypparse->_tkid=tktk_openE;
+    paren++;
+  }
+  else if(!strncmp(t,")",1))
+  {
+    if(PARENMATCH)
+    {
+      t+=1, mypparse->_tkid=tktk_closeE, adms_slist_pull(&parenidx);
+      adms_slist_pull(&globalctxt);
+    }
+    else
+      t+=1, mypparse->_tkid=tktk_closeE;
+    paren--;
+  }
+  else if(*t=='$'&&*(t+1)=='(')
+  {
+    t++;
+    t++;
+    paren++; adms_slist_push(&parenidx,(p_adms)(long)paren); SETTEXT
+    mypparse->_tkid=tktk_dollarvariable;
+  }
+  else if(*t=='$')
+  {
+    t++;
+    while(isalnum(*t)||*t=='_') t++;
+    mypparse->_tkstart=tstart+1;
+    mypparse->_tklen=(int)(long)(t-(tstart+1));
+    mypparse->_tkid=tktk_kdollarvariable;
+  }
+  else if(*t=='@'&&*(t+1)=='(')
+  {
+    t++;
+    t++;
+    paren++; adms_slist_push(&parenidx,(p_adms)(long)paren); SETTEXT
+    mypparse->_tkid=tktk_arobavariable;
+  }
+  else if(*t=='@')
+  {
+    t++;
+    while(isalnum(*t)||*t=='_') t++;
+    mypparse->_tkstart=tstart+1;
+    mypparse->_tklen=(int)(long)(t-(tstart+1));
+    mypparse->_tkid=tktk_karobavariable;
+  }
+  else if(*t=='#'&&*(t+1)=='#'&&*(t+2)=='(')
+  {
+    t++;
+    t++;
+    t++;
+    paren++; adms_slist_push(&parenidx,(p_adms)(long)paren); SETTEXT
+    mypparse->_tkid=tktk_croixcroixvariable;
+  }
+  else if(*t=='#'&&*(t+1)=='#')
+  {
+    t++;
+    t++;
+    while(isalnum(*t)||*t=='_') t++;
+    mypparse->_tkstart=tstart+1;
+    mypparse->_tklen=(int)(long)(t-(tstart+1));
+    mypparse->_tkid=tktk_kcroixcroixvariable;
+  }
+  else if(*t=='#'&&*(t+1)=='(')
+  {
+    t++;
+    t++;
+    paren++; adms_slist_push(&parenidx,(p_adms)(long)paren); SETTEXT
+    mypparse->_tkid=tktk_croixvariable;
+  }
+  else if(*t=='#')
+  {
+    t++;
+    while(isalnum(*t)||*t=='_') t++;
+    mypparse->_tkstart=tstart+1;
+    mypparse->_tklen=(int)(long)(t-(tstart+1));
+    mypparse->_tkid=tktk_kcroixvariable;
+  }
+  else if(*t=='\'')
+  {
+    SETTIC
+    t+=1, mypparse->_tkid=tktk_oquote;
+  }
+  else if(!strncmp(t,"!=",2))
+    t+=2, mypparse->_tkid=tktk_notequal;
+  else if(!strncmp(t,"#*",2))
+    t+=2, mypparse->_tkid=tktk_croixstar;
+  else if(!strncmp(t,">",1))
+    t+=1, mypparse->_tkid=tktk_gt;
+  else if(!strncmp(t,"<",1))
+    t+=1, mypparse->_tkid=tktk_lt;
+  else if(!strncmp(t,"*",1))
+    t+=1, mypparse->_tkid=tktk_star;
+  else if(!strncmp(t,"++",2))
+    t+=2, mypparse->_tkid=tktk_incrp;
+  else if(!strncmp(t,"--",2))
+    t+=2, mypparse->_tkid=tktk_incrm;
+  else if(!strncmp(t,"+",1))
+    t+=1, mypparse->_tkid=tktk_addp;
+  else if(!strncmp(t,":",1))
+    t+=1, mypparse->_tkid=tktk_column;
+  else if(!strncmp(t,",",1))
+    t+=1, mypparse->_tkid=tktk_comma;
+  else if(!strncmp(t,"|",1))
+    t+=1, mypparse->_tkid=tktk_bar;
+  else if(!strncmp(t,"-",1))
+    t+=1, mypparse->_tkid=tktk_addm;
+  else if(!strncmp(t,"..",2))
+    t+=2, mypparse->_tkid=tktk_dotdot;
+  else if(!strncmp(t,".",1))
+    t+=1, mypparse->_tkid=tktk_dot;
+  else if(!strncmp(t,"/",1))
+    t+=1, mypparse->_tkid=tktk_slash;
+  else if(!strncmp(t,"=",1))
+    t+=1, mypparse->_tkid=tktk_equal;
+  else if(!strncmp(t,"[",1))
+    t+=1, mypparse->_tkid=tktk_openCond;
+  else if(!strncmp(t,"]",1))
+    t+=1, mypparse->_tkid=tktk_closeCond;
+  else if(!strncmp(t,"admst",5)&&!isalpha(*(t+5)))
+    t+=5, mypparse->_tkid=tktk_admst;
+  else if(!strncmp(t,"and",3)&&!isalpha(*(t+3)))
+    t+=3, mypparse->_tkid=tktk_and;
+  else if(!strncmp(t,"count",5)&&!isalpha(*(t+5)))
+    t+=5, mypparse->_tkid=tktk_count;
+  else if(!strncmp(t,"exists",6)&&!isalpha(*(t+6)))
+    t+=6, mypparse->_tkid=tktk_exists;
+  else if(!strncmp(t,"false",5)&&!isalpha(*(t+5)))
+    t+=5, mypparse->_tkid=tktk_false;
+  else if(!strncmp(t,"id",2)&&!isalpha(*(t+2)))
+    t+=2, mypparse->_tkid=tktk_id;
+  else if(!strncmp(t,"index",5)&&!isalpha(*(t+5)))
+    t+=5, mypparse->_tkid=tktk_index;
+  else if(!strncmp(t,"isdefault",9)&&!isalpha(*(t+9)))
+    t+=9, mypparse->_tkid=tktk_isdefault;
+  else if(!strncmp(t,"lower-case",10)&&!isalpha(*(t+10)))
+    t+=10, mypparse->_tkid=tktk_lower_mcase;
+  else if(!strncmp(t,"nilled",6)&&!isalpha(*(t+6)))
+    t+=6, mypparse->_tkid=tktk_nilled;
+  else if(!strncmp(t,"not",3)&&!isalpha(*(t+3)))
+    t+=3, mypparse->_tkid=tktk_not;
+  else if(!strncmp(t,"or",2)&&!isalpha(*(t+2)))
+    t+=2, mypparse->_tkid=tktk_or;
+  else if(!strncmp(t,"position",8)&&!isalpha(*(t+8)))
+    t+=8, mypparse->_tkid=tktk_position;
+  else if(!strncmp(t,"returned",8)&&!isalpha(*(t+8)))
+    t+=8, mypparse->_tkid=tktk_returned;
+  else if(!strncmp(t,"reverse",7)&&!isalpha(*(t+7)))
+    t+=7, mypparse->_tkid=tktk_reverse;
+  else if(!strncmp(t,"sort",4)&&!isalpha(*(t+4)))
+    t+=4, mypparse->_tkid=tktk_sort;
+  else if(!strncmp(t,"text",4)&&!isalpha(*(t+4)))
+    t+=4, mypparse->_tkid=tktk_text;
+  else if(!strncmp(t,"true",4)&&!isalpha(*(t+4)))
+    t+=4, mypparse->_tkid=tktk_true;
+  else if(!strncmp(t,"uid",3)&&!isalpha(*(t+3)))
+    t+=3, mypparse->_tkid=tktk_uid;
+  else if(!strncmp(t,"upper-case",10)&&!isalpha(*(t+10)))
+    t+=10, mypparse->_tkid=tktk_upper_mcase;
+  else if(isalpha(*t))
+  {
+    while(isalnum(*t)||*t=='_'||*t==':') t++;
+    mypparse->_tkid=tktk_ident;
+    mypparse->_tkstart=tstart;
+    mypparse->_tklen=(int)(long)(t-tstart);
+  }
+  else if(isdigit(*t))
+  {
+    while(isdigit(*t)) t++;
+    if(*t=='.')
+    {
+      t++;
+      while(isdigit(*t)) t++;
+      mypparse->_tkid=tktk_real;
+      mypparse->_tkstart=tstart;
+      mypparse->_tklen=(int)(long)(t-tstart);
+    }
+    else
+    {
+      mypparse->_tkid=tktk_integer;
+      mypparse->_tkstart=tstart;
+      mypparse->_tklen=(int)(long)(t-tstart);
+    }
+  }
+  else
+  {
+    if(*t=='\0')
+      return 0;
+    adms_message_fatal(("%s: invalid path - unexpected character: %s\n",
+    adms_transform_uid(mypparse->_transform),
+    mypparse->_value))
+  }
+  mypparse->_position=t;
+  return mypparse->_tkid;
+}
+p_path pparse (p_transform mytransform,const char* aname,const char* avalue)
+{
+  p_path mypath;
+  p_pparse mypparse=adms_pparse_new(mytransform,aname,avalue);
+  goto_atext=0;
+  SETPATH
+  globalignorequote=0;
+  if(*avalue)
+  {
+    mypparse->_position=avalue;
+    admstpathparse (mypparse);
+    mypath=mypparse->_path;
+    mypath->_aname=adms_kclone(aname);
+    mypath->_avalue=avalue?adms_kclone(avalue):adms_kclone("");
+  }
+  else
+  {
+    mypath=NULL;
+    adms_message_fatal_continue(("invalid path \"%s\"\n",mypparse->_value))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mypparse->_transform)))
+  }
+  adms_slist_pull(&globalctxt);
+  adms_pparse_free(mypparse);
+  return mypath;
+}
+p_text tparse (p_ktransform mytransform, const char* aname, const char* avalue)
+{
+  p_text mytext;
+  if(!avalue)
+    mytext=adms_text_new(mytransform,avalue);
+  else if(*avalue=='\0')
+  {
+    p_text mytext=adms_text_new(mytransform,avalue);
+    adms_slist_push(&(mytext->_token),(p_adms)adms_admst_newks(adms_kclone("")));
+    return mytext;
+  }
+  else
+  {
+    p_pparse mypparse=adms_pparse_new(mytransform,aname,avalue);
+    SETTIC
+    goto_atext=1;
+    globalignorequote=1;
+    mypparse->_position=avalue;
+    admstpathparse (mypparse);
+    mytext=mypparse->_text;
+    adms_pparse_free(mypparse);
+    mytext->_value=adms_kclone(avalue);
+    adms_slist_pull(&globalctxt);
+  }
+  mytext->_admse=ns_strtoe(avalue);
+  if((adms_slist_length(mytext->_token)==1)&&(mytext->_token->data->_datatypename==admse_path))
+    mytext->_admse=admse__path;
+  else if(!strcmp(avalue,"%p"))
+    mytext->_admse=admse__p;
+  mytext->_aname=adms_kclone(aname);
+  return mytext;
+}
+int apath_main (int argc,char **argv)
+{
+  int argci;
+  int doatext=0;
+  char*avalue=(argc==1)?"a/b/c|c|c|c":argv[1];
+  for(argci=1;argci<argc;argci++)
+  {
+#if YYDEBUG
+    admstpathdebug=admstpathdebug||!strcmp("-d",argv[argci]);
+#endif
+    doatext=!strcmp("-t",argv[argci]);
+  }
+  printf("parsing=%s\n",doatext?"text":"apath");
+  goto_atext=doatext;
+  printf("%s \"%s\"\n",argv[0],avalue);
+  rootnew(adms_admsmain_new("admsmain"));
+  if(doatext)
+    dbtext(tparse(adms_transform_new("<stdin>","<stdin>",0,"*",NULL),"input:text",avalue));
+  else
+  {
+    char*aname="stdin";
+    p_pparse mypparse=adms_pparse_new(adms_transform_new("<stdin>","<stdin>",0,"*",NULL),aname,avalue);
+    mypparse->_position=avalue;
+    SETPATH
+    globalignorequote=0;
+    admstpathparse (mypparse);
+    printf("<p id=\"%s\">",avalue);
+    mypparse->_path->_aname=adms_kclone(aname);
+    postpath(mypparse->_path);
+    dbpath(mypparse->_path);
+    printf("</p>\n");
+  }
+  return 0;
+}
+
diff --git a/admsXml/admstpathYacc.h b/admsXml/admstpathYacc.h
new file mode 100644
index 0000000..a727711
--- /dev/null
+++ b/admsXml/admstpathYacc.h
@@ -0,0 +1,37 @@
+
+/* ------- code automatically created by ./mkelements.pl -------------- */
+
+
+#include "adms.h"
+
+#undef win32_interface
+#if defined(WIN32)
+#  if defined(insideadmstpathYacc)
+#    define win32_interface __declspec(dllexport)
+#  else
+#    define win32_interface __declspec(dllimport)
+#  endif
+#else
+#  define win32_interface extern
+#endif
+
+win32_interface p_slist globalfileoutputlist;
+win32_interface int break_found;
+
+win32_interface FILE *OUT(void);
+win32_interface int is_admst (const char* name);
+win32_interface int apath_main (int argc,char **argv);
+win32_interface void dbxx (p_transform mytransform);
+win32_interface void postxx (p_transform mytransform);
+win32_interface void sanityxx (p_transform mytransform);
+win32_interface p_transform lookfortemplates(p_transform mytransform,const char*match);
+win32_interface p_path pparse (p_transform mytransform,const char* aname,const char* avalue);
+win32_interface p_text tparse (p_ktransform mytransform,const char* aname,const char* avalue);
+win32_interface p_admst adms_pull_admst (p_transform mytransform);
+win32_interface p_admstvariable lookup_dollar (const char* myid);
+win32_interface void tprintf (p_kadmst myadmst, p_ktext mytext);
+win32_interface char* tsprintf (p_kadmst myadmst, p_ktext mytext);
+win32_interface void free_ptraverse (p_ptraverse p);
+win32_interface p_ptraverse bar (p_admst dot,p_path mypath0);
+win32_interface void Xassert (p_transform mytransform,p_admst dot,p_admst dotdot);
+win32_interface void xtraverse (p_slist mytransforms,p_admst dot,p_admst dotdot);
diff --git a/admsXml/constants.vams b/admsXml/constants.vams
new file mode 100644
index 0000000..230545b
--- /dev/null
+++ b/admsXml/constants.vams
@@ -0,0 +1,42 @@
+/*
+  Copyright � 2007Accellera Organization, Inc.
+  Standard definitions
+  This file contains the standard definition package constants.vams for Verilog-AMS HDL.
+*/
+
+// Mathematical and physical constants
+`ifdef CONSTANTS_VAMS
+`else
+  `define CONSTANTS_VAMS 1
+  // M_ is a mathmatical constant
+  `define M_E 2.7182818284590452354
+  `define M_LOG2E 1.4426950408889634074
+  `define M_LOG10E 0.43429448190325182765
+  `define M_LN2 0.69314718055994530942
+  `define M_LN10 2.30258509299404568402
+  `define M_PI 3.14159265358979323846
+  `define M_TWO_PI 6.28318530717958647652
+  `define M_PI_2 1.57079632679489661923
+  `define M_PI_4 0.78539816339744830962
+  `define M_1_PI 0.31830988618379067154
+  `define M_2_PI 0.63661977236758134308
+  `define M_2_SQRTPI 1.12837916709551257390
+  `define M_SQRT2 1.41421356237309504880
+  `define M_SQRT1_2 0.70710678118654752440
+  // The following constants have been taken from http://physics.nist.gov
+  // P_ is a physical constant
+  // charge of electron in coulombs
+  `define P_Q 1.602176462e-19
+  // speed of light in vacuum in meters/sec
+  `define P_C 2.99792458e8
+  // Boltzmann's constant in joules/kelvin
+  `define P_K 1.3806503e-23
+  // Planck�s constant in joules*sec
+  `define P_H 6.62606876e-34
+  // permittivity of vacuum in farads/meter
+  `define P_EPS0 8.854187817e-12
+  // permeability of vacuum in henrys/meter
+  `define P_U0 (4.0e-7 * `M_PI) (12.566370614e-7)
+  // zero celsius in kelvin
+  `define P_CELSIUS0 273.15
+`endif
diff --git a/admsXml/constants.vams.c b/admsXml/constants.vams.c
new file mode 100644
index 0000000..2ffb94e
--- /dev/null
+++ b/admsXml/constants.vams.c
@@ -0,0 +1,46 @@
+/* File automatically created by ./mkctext.pl*/
+
+const char * constants_vams = ""
+"/*\n"
+"  Copyright � 2007Accellera Organization, Inc.\n"
+"  Standard definitions\n"
+"  This file contains the standard definition package constants.vams for Verilog-AMS HDL.\n"
+"*/\n"
+"\n"
+"// Mathematical and physical constants\n"
+"`ifdef CONSTANTS_VAMS\n"
+"`else\n"
+"  `define CONSTANTS_VAMS 1\n"
+"  // M_ is a mathmatical constant\n"
+"  `define M_E 2.7182818284590452354\n"
+"  `define M_LOG2E 1.4426950408889634074\n"
+"  `define M_LOG10E 0.43429448190325182765\n"
+"  `define M_LN2 0.69314718055994530942\n"
+"  `define M_LN10 2.30258509299404568402\n"
+"  `define M_PI 3.14159265358979323846\n"
+"  `define M_TWO_PI 6.28318530717958647652\n"
+"  `define M_PI_2 1.57079632679489661923\n"
+"  `define M_PI_4 0.78539816339744830962\n"
+"  `define M_1_PI 0.31830988618379067154\n"
+"  `define M_2_PI 0.63661977236758134308\n"
+"  `define M_2_SQRTPI 1.12837916709551257390\n"
+"  `define M_SQRT2 1.41421356237309504880\n"
+"  `define M_SQRT1_2 0.70710678118654752440\n"
+"  // The following constants have been taken from http://physics.nist.gov\n"
+"  // P_ is a physical constant\n"
+"  // charge of electron in coulombs\n"
+"  `define P_Q 1.602176462e-19\n"
+"  // speed of light in vacuum in meters/sec\n"
+"  `define P_C 2.99792458e8\n"
+"  // Boltzmann's constant in joules/kelvin\n"
+"  `define P_K 1.3806503e-23\n"
+"  // Planck�s constant in joules*sec\n"
+"  `define P_H 6.62606876e-34\n"
+"  // permittivity of vacuum in farads/meter\n"
+"  `define P_EPS0 8.854187817e-12\n"
+"  // permeability of vacuum in henrys/meter\n"
+"  `define P_U0 (4.0e-7 * `M_PI) (12.566370614e-7)\n"
+"  // zero celsius in kelvin\n"
+"  `define P_CELSIUS0 273.15\n"
+"`endif\n"
+;
diff --git a/admsXml/disciplines.vams b/admsXml/disciplines.vams
new file mode 100644
index 0000000..7b459a2
--- /dev/null
+++ b/admsXml/disciplines.vams
@@ -0,0 +1,239 @@
+/*
+  Copyright � 2007Accellera Organization, Inc.
+  Standard definitions
+  This file contains the standard definition package disciplines.vams for Verilog-AMS HDL.
+*/
+
+`ifdef DISCIPLINES_VAMS
+`else
+`define DISCIPLINES_VAMS 1
+//
+// Natures and Disciplines
+//
+discipline logic
+  domain discrete;
+enddiscipline
+/*
+* Default absolute tolerances may be overridden by setting the
+* appropriate _ABSTOL prior to including this file
+*/
+// Electrical
+// Current in amperes
+nature Current
+  units = "A";
+  access = I;
+  idt_nature = Charge;
+`ifdef CURRENT_ABSTOL
+  abstol = `CURRENT_ABSTOL;
+`else
+  abstol = 1e-12;
+`endif
+endnature
+// Charge in coulombs
+nature Charge
+  units = "coul";
+  access = Q;
+  ddt_nature = Current;
+`ifdef CHARGE_ABSTOL
+  abstol = `CHARGE_ABSTOL;
+`else
+  abstol = 1e-14;
+`endif
+endnature
+// Potential in volts
+nature Voltage
+  units = "V";
+  access = V;
+  idt_nature = Flux;
+`ifdef VOLTAGE_ABSTOL
+  abstol = `VOLTAGE_ABSTOL;
+`else
+  abstol = 1e-6;
+`endif
+endnature
+// Flux in Webers
+nature Flux
+  units = "Wb";
+  access = Phi;
+  ddt_nature = Voltage;
+`ifdef FLUX_ABSTOL
+  abstol = `FLUX_ABSTOL;
+`else
+  abstol = 1e-9;
+`endif
+endnature
+// Conservative discipline
+discipline electrical
+  potential Voltage;
+  flow Current;
+enddiscipline
+// Signal flow disciplines
+discipline voltage
+  potential Voltage;
+enddiscipline
+discipline current
+  potential Current;
+enddiscipline
+// Magnetic
+// Magnetomotive force in Ampere-Turns.
+nature Magneto_Motive_Force
+  units = "A*turn";
+  access = MMF;
+`ifdef MAGNETO_MOTIVE_FORCE_ABSTOL
+  abstol = `MAGNETO_MOTIVE_FORCE_ABSTOL;
+`else
+  abstol = 1e-12;
+`endif
+endnature
+// Conservative discipline
+discipline magnetic
+  potential Magneto_Motive_Force;
+  flow Flux;
+enddiscipline
+// Thermal
+// Temperature in Kelvin
+nature Temperature
+  units = "K";
+  access = Temp;
+`ifdef TEMPERATURE_ABSTOL
+  abstol = `TEMPERATURE_ABSTOL;
+`else
+  abstol = 1e-4;
+`endif
+endnature
+// Power in Watts
+nature Power
+  units = "W";
+  access = Pwr;
+`ifdef POWER_ABSTOL
+  abstol = `POWER_ABSTOL;
+`else
+  abstol = 1e-9;
+`endif
+endnature
+// Conservative discipline
+discipline thermal
+  potential Temperature;
+  flow Power;
+enddiscipline
+// Kinematic
+// Position in meters
+nature Position
+  units = "m";
+  access = Pos;
+  ddt_nature = Velocity;
+`ifdef POSITION_ABSTOL
+  abstol = `POSITION_ABSTOL;
+`else
+  abstol = 1e-6;
+`endif
+endnature
+// Velocity in meters per second
+nature Velocity
+  units = "m/s";
+  access = Vel;
+  ddt_nature = Acceleration;
+  idt_nature = Position;
+`ifdef VELOCITY_ABSTOL
+  abstol = `VELOCITY_ABSTOL;
+`else
+  abstol = 1e-6;
+`endif
+endnature
+// Acceleration in meters per second squared
+nature Acceleration
+  units = "m/s^2";
+  access = Acc;
+  ddt_nature = Impulse;
+  idt_nature = Velocity;
+`ifdef ACCELERATION_ABSTOL
+  abstol = `ACCELERATION_ABSTOL;
+`else
+  abstol = 1e-6;
+`endif
+endnature
+// Impulse in meters per second cubed
+nature Impulse
+  units = "m/s^3";
+  access = Imp;
+  idt_nature = Acceleration;
+`ifdef IMPULSE_ABSTOL
+  abstol = `IMPULSE_ABSTOL;
+`else
+  abstol = 1e-6;
+`endif
+endnature
+// Force in Newtons
+nature Force
+  units = "N";
+  access = F;
+`ifdef FORCE_ABSTOL
+  abstol = `FORCE_ABSTOL;
+`else
+  abstol = 1e-6;
+`endif
+endnature
+// Conservative disciplines
+discipline kinematic
+  potential Position;
+  flow Force;
+enddiscipline
+discipline kinematic_v
+  potential Velocity;
+  flow Force;
+enddiscipline
+// Rotational
+// Angle in radians
+nature Angle
+  units = "rads";
+  access = Theta;
+  ddt_nature = Angular_Velocity;
+`ifdef ANGLE_ABSTOL
+  abstol = `ANGLE_ABSTOL;
+`else
+  abstol = 1e-6;
+`endif
+endnature
+// Angular Velocity in radians per second
+nature Angular_Velocity
+  units = "rads/s";
+  access = Omega;
+  ddt_nature = Angular_Acceleration;
+  idt_nature = Angle;
+`ifdef ANGULAR_VELOCITY_ABSTOL
+  abstol = `ANGULAR_VELOCITY_ABSTOL;
+`else
+  abstol = 1e-6;
+`endif
+endnature
+// Angular acceleration in radians per second squared
+nature Angular_Acceleration
+  units = "rads/s^2";
+  access = Alpha;
+  idt_nature = Angular_Velocity;
+`ifdef ANGULAR_ACCELERATION_ABSTOL
+  abstol = `ANGULAR_ACCELERATION_ABSTOL;
+`else
+  abstol = 1e-6;
+`endif
+endnature
+// Torque in Newtons
+nature Angular_Force
+  units = "N*m";
+  access = Tau;
+`ifdef ANGULAR_FORCE_ABSTOL
+  abstol = `ANGULAR_FORCE_ABSTOL;
+`else
+  abstol = 1e-6;
+`endif
+endnature
+// Conservative disciplines
+discipline rotational
+  potential Angle;
+  flow Angular_Force;
+enddiscipline
+discipline rotational_omega
+  potential Angular_Velocity;
+  flow Angular_Force;
+enddiscipline
+`endif
diff --git a/admsXml/disciplines.vams.c b/admsXml/disciplines.vams.c
new file mode 100644
index 0000000..6be272e
--- /dev/null
+++ b/admsXml/disciplines.vams.c
@@ -0,0 +1,243 @@
+/* File automatically created by ./mkctext.pl*/
+
+const char * disciplines_vams = ""
+"/*\n"
+"  Copyright � 2007Accellera Organization, Inc.\n"
+"  Standard definitions\n"
+"  This file contains the standard definition package disciplines.vams for Verilog-AMS HDL.\n"
+"*/\n"
+"\n"
+"`ifdef DISCIPLINES_VAMS\n"
+"`else\n"
+"`define DISCIPLINES_VAMS 1\n"
+"//\n"
+"// Natures and Disciplines\n"
+"//\n"
+"discipline logic\n"
+"  domain discrete;\n"
+"enddiscipline\n"
+"/*\n"
+"* Default absolute tolerances may be overridden by setting the\n"
+"* appropriate _ABSTOL prior to including this file\n"
+"*/\n"
+"// Electrical\n"
+"// Current in amperes\n"
+"nature Current\n"
+"  units = \"A\";\n"
+"  access = I;\n"
+"  idt_nature = Charge;\n"
+"`ifdef CURRENT_ABSTOL\n"
+"  abstol = `CURRENT_ABSTOL;\n"
+"`else\n"
+"  abstol = 1e-12;\n"
+"`endif\n"
+"endnature\n"
+"// Charge in coulombs\n"
+"nature Charge\n"
+"  units = \"coul\";\n"
+"  access = Q;\n"
+"  ddt_nature = Current;\n"
+"`ifdef CHARGE_ABSTOL\n"
+"  abstol = `CHARGE_ABSTOL;\n"
+"`else\n"
+"  abstol = 1e-14;\n"
+"`endif\n"
+"endnature\n"
+"// Potential in volts\n"
+"nature Voltage\n"
+"  units = \"V\";\n"
+"  access = V;\n"
+"  idt_nature = Flux;\n"
+"`ifdef VOLTAGE_ABSTOL\n"
+"  abstol = `VOLTAGE_ABSTOL;\n"
+"`else\n"
+"  abstol = 1e-6;\n"
+"`endif\n"
+"endnature\n"
+"// Flux in Webers\n"
+"nature Flux\n"
+"  units = \"Wb\";\n"
+"  access = Phi;\n"
+"  ddt_nature = Voltage;\n"
+"`ifdef FLUX_ABSTOL\n"
+"  abstol = `FLUX_ABSTOL;\n"
+"`else\n"
+"  abstol = 1e-9;\n"
+"`endif\n"
+"endnature\n"
+"// Conservative discipline\n"
+"discipline electrical\n"
+"  potential Voltage;\n"
+"  flow Current;\n"
+"enddiscipline\n"
+"// Signal flow disciplines\n"
+"discipline voltage\n"
+"  potential Voltage;\n"
+"enddiscipline\n"
+"discipline current\n"
+"  potential Current;\n"
+"enddiscipline\n"
+"// Magnetic\n"
+"// Magnetomotive force in Ampere-Turns.\n"
+"nature Magneto_Motive_Force\n"
+"  units = \"A*turn\";\n"
+"  access = MMF;\n"
+"`ifdef MAGNETO_MOTIVE_FORCE_ABSTOL\n"
+"  abstol = `MAGNETO_MOTIVE_FORCE_ABSTOL;\n"
+"`else\n"
+"  abstol = 1e-12;\n"
+"`endif\n"
+"endnature\n"
+"// Conservative discipline\n"
+"discipline magnetic\n"
+"  potential Magneto_Motive_Force;\n"
+"  flow Flux;\n"
+"enddiscipline\n"
+"// Thermal\n"
+"// Temperature in Kelvin\n"
+"nature Temperature\n"
+"  units = \"K\";\n"
+"  access = Temp;\n"
+"`ifdef TEMPERATURE_ABSTOL\n"
+"  abstol = `TEMPERATURE_ABSTOL;\n"
+"`else\n"
+"  abstol = 1e-4;\n"
+"`endif\n"
+"endnature\n"
+"// Power in Watts\n"
+"nature Power\n"
+"  units = \"W\";\n"
+"  access = Pwr;\n"
+"`ifdef POWER_ABSTOL\n"
+"  abstol = `POWER_ABSTOL;\n"
+"`else\n"
+"  abstol = 1e-9;\n"
+"`endif\n"
+"endnature\n"
+"// Conservative discipline\n"
+"discipline thermal\n"
+"  potential Temperature;\n"
+"  flow Power;\n"
+"enddiscipline\n"
+"// Kinematic\n"
+"// Position in meters\n"
+"nature Position\n"
+"  units = \"m\";\n"
+"  access = Pos;\n"
+"  ddt_nature = Velocity;\n"
+"`ifdef POSITION_ABSTOL\n"
+"  abstol = `POSITION_ABSTOL;\n"
+"`else\n"
+"  abstol = 1e-6;\n"
+"`endif\n"
+"endnature\n"
+"// Velocity in meters per second\n"
+"nature Velocity\n"
+"  units = \"m/s\";\n"
+"  access = Vel;\n"
+"  ddt_nature = Acceleration;\n"
+"  idt_nature = Position;\n"
+"`ifdef VELOCITY_ABSTOL\n"
+"  abstol = `VELOCITY_ABSTOL;\n"
+"`else\n"
+"  abstol = 1e-6;\n"
+"`endif\n"
+"endnature\n"
+"// Acceleration in meters per second squared\n"
+"nature Acceleration\n"
+"  units = \"m/s^2\";\n"
+"  access = Acc;\n"
+"  ddt_nature = Impulse;\n"
+"  idt_nature = Velocity;\n"
+"`ifdef ACCELERATION_ABSTOL\n"
+"  abstol = `ACCELERATION_ABSTOL;\n"
+"`else\n"
+"  abstol = 1e-6;\n"
+"`endif\n"
+"endnature\n"
+"// Impulse in meters per second cubed\n"
+"nature Impulse\n"
+"  units = \"m/s^3\";\n"
+"  access = Imp;\n"
+"  idt_nature = Acceleration;\n"
+"`ifdef IMPULSE_ABSTOL\n"
+"  abstol = `IMPULSE_ABSTOL;\n"
+"`else\n"
+"  abstol = 1e-6;\n"
+"`endif\n"
+"endnature\n"
+"// Force in Newtons\n"
+"nature Force\n"
+"  units = \"N\";\n"
+"  access = F;\n"
+"`ifdef FORCE_ABSTOL\n"
+"  abstol = `FORCE_ABSTOL;\n"
+"`else\n"
+"  abstol = 1e-6;\n"
+"`endif\n"
+"endnature\n"
+"// Conservative disciplines\n"
+"discipline kinematic\n"
+"  potential Position;\n"
+"  flow Force;\n"
+"enddiscipline\n"
+"discipline kinematic_v\n"
+"  potential Velocity;\n"
+"  flow Force;\n"
+"enddiscipline\n"
+"// Rotational\n"
+"// Angle in radians\n"
+"nature Angle\n"
+"  units = \"rads\";\n"
+"  access = Theta;\n"
+"  ddt_nature = Angular_Velocity;\n"
+"`ifdef ANGLE_ABSTOL\n"
+"  abstol = `ANGLE_ABSTOL;\n"
+"`else\n"
+"  abstol = 1e-6;\n"
+"`endif\n"
+"endnature\n"
+"// Angular Velocity in radians per second\n"
+"nature Angular_Velocity\n"
+"  units = \"rads/s\";\n"
+"  access = Omega;\n"
+"  ddt_nature = Angular_Acceleration;\n"
+"  idt_nature = Angle;\n"
+"`ifdef ANGULAR_VELOCITY_ABSTOL\n"
+"  abstol = `ANGULAR_VELOCITY_ABSTOL;\n"
+"`else\n"
+"  abstol = 1e-6;\n"
+"`endif\n"
+"endnature\n"
+"// Angular acceleration in radians per second squared\n"
+"nature Angular_Acceleration\n"
+"  units = \"rads/s^2\";\n"
+"  access = Alpha;\n"
+"  idt_nature = Angular_Velocity;\n"
+"`ifdef ANGULAR_ACCELERATION_ABSTOL\n"
+"  abstol = `ANGULAR_ACCELERATION_ABSTOL;\n"
+"`else\n"
+"  abstol = 1e-6;\n"
+"`endif\n"
+"endnature\n"
+"// Torque in Newtons\n"
+"nature Angular_Force\n"
+"  units = \"N*m\";\n"
+"  access = Tau;\n"
+"`ifdef ANGULAR_FORCE_ABSTOL\n"
+"  abstol = `ANGULAR_FORCE_ABSTOL;\n"
+"`else\n"
+"  abstol = 1e-6;\n"
+"`endif\n"
+"endnature\n"
+"// Conservative disciplines\n"
+"discipline rotational\n"
+"  potential Angle;\n"
+"  flow Angular_Force;\n"
+"enddiscipline\n"
+"discipline rotational_omega\n"
+"  potential Angular_Velocity;\n"
+"  flow Angular_Force;\n"
+"enddiscipline\n"
+"`endif\n"
+;
diff --git a/admsXml/dummy.va b/admsXml/dummy.va
new file mode 100644
index 0000000..789e8b9
--- /dev/null
+++ b/admsXml/dummy.va
@@ -0,0 +1,26 @@
+// DUMMY EXAMPLE
+//
+// example and test verilog file for use with adms
+//
+`include"discipline.h"
+module dummy(p,q);
+ inout  p,q;
+ // external nodes
+ (* desc="+ node"*) electrical p;
+ (* desc="- node"*) electrical q;
+// Branch definitions
+ branch (q ,q)  pq;   //           branch from p to q
+// Parameter definitions
+ (* desc="resistance value" unit="Ohm" *)
+ parameter real R =  1.0;
+// Variable definitions
+ (* desc="clamped conductance value" unit="1/Ohm" *)
+ real g;
+ analog
+ begin
+   begin
+    g = (R>0.1) ? 1.0/R : 10.0;
+    I(p,q) <+ g*V(p,q);
+   end
+ end
+endmodule
diff --git a/admsXml/dummy.va.c b/admsXml/dummy.va.c
new file mode 100644
index 0000000..48696e8
--- /dev/null
+++ b/admsXml/dummy.va.c
@@ -0,0 +1,30 @@
+/* File automatically created by ./mkctext.pl*/
+
+const char * dummy_va = ""
+"// DUMMY EXAMPLE\n"
+"//\n"
+"// example and test verilog file for use with adms\n"
+"//\n"
+"`include\"discipline.h\"\n"
+"module dummy(p,q);\n"
+" inout  p,q;\n"
+" // external nodes\n"
+" (* desc=\"+ node\"*) electrical p;\n"
+" (* desc=\"- node\"*) electrical q;\n"
+"// Branch definitions\n"
+" branch (q ,q)  pq;   //           branch from p to q\n"
+"// Parameter definitions\n"
+" (* desc=\"resistance value\" unit=\"Ohm\" *)\n"
+" parameter real R =  1.0;\n"
+"// Variable definitions\n"
+" (* desc=\"clamped conductance value\" unit=\"1/Ohm\" *)\n"
+" real g;\n"
+" analog\n"
+" begin\n"
+"   begin\n"
+"    g = (R>0.1) ? 1.0/R : 10.0;\n"
+"    I(p,q) <+ g*V(p,q);\n"
+"   end\n"
+" end\n"
+"endmodule\n"
+;
diff --git a/admsXml/dummy.xml b/admsXml/dummy.xml
new file mode 100644
index 0000000..a859b5c
--- /dev/null
+++ b/admsXml/dummy.xml
@@ -0,0 +1,64 @@
+<?xml version="1.0" encoding="ISO-8859-1"?>
+<!DOCTYPE admst SYSTEM "admst.dtd">
+<admst version="2.3.0" xmlns:admst="http://mot-adms.sourceforge.net/xml-files/admst">
+<admst:template match="print_expression">
+  <admst:choose>
+    <admst:when test="[datatypename='number']">%(value)%(scalingunit)</admst:when>
+    <admst:otherwise>-%(datatypename)-not-done-yet--</admst:otherwise>
+  </admst:choose>
+</admst:template>
+<admst:for-each select="/module">
+<admst:variable name="mname" select="%(name)"/>
+<admst:message format="build file %(name).net\n"/>
+<admst:open file="%(name).net">
+<admst:text format="*** Interface: %(/simulator/package_string)\n"/>
+<admst:text format="*** created by: %(/simulator/fullname) - %(/simulator/currentdate)\n"/>
+<admst:text format="\n"/>
+  <admst:text format="* Simple test circuit - automatically created %(/simulator/currentdate) \n"/>
+  <admst:text format="\n"/>
+  <admst:text format=".options scale=1 gmin=1e-12 reltol=1.0e-8 vabstol=1.0e-08\n"/>
+  <admst:text format="\n"/>
+  <admst:text format="model my$mname $mname\n"/>
+  <admst:for-each select="variable[scope='global_model']">
+    <admst:if test="[input='yes']">
+      <admst:text format="+ %(name) = %(print_expression(default/tree))\n"/>
+    </admst:if>
+  </admst:for-each>
+  <admst:text format="\n"/>
+  <admst:text format="$mname "/>
+  <admst:text select="node[location='external']" format="N_$(mname)_%(name)_int "/>
+  <admst:text format="my$mname  \n"/>
+  <admst:for-each select="variable[scope='global_instance']">
+    <admst:if test="[input='yes']">
+      <admst:choose>
+        <admst:when test="attribute[name='spice:isflag' and value='yes']">
+        </admst:when>
+        <admst:otherwise>
+          <admst:choose>
+            <admst:when test="attribute[name='spice:name']">
+              <admst:for-each select="attribute[name='spice:name']">
+                <admst:text format="+ %(value) = %(print_expression(default/tree))\n"/>
+              </admst:for-each>
+            </admst:when>
+            <admst:otherwise>
+              <admst:text format="+ %(name) = %(print_expression(default/tree))\n"/>
+            </admst:otherwise>
+          </admst:choose>
+        </admst:otherwise>
+      </admst:choose>
+    </admst:if>
+  </admst:for-each>
+  <admst:text format="\n"/>
+  <admst:for-each select="node[location='external']">
+    <admst:text format="* inout node \n"/>
+    <admst:text format="v_$(mname)_%(name)_vdd N_$(mname)_%(name)_vdd 0 vsource dc=1.0\n"/>
+    <admst:text format="r_$(mname)_%(name)1  N_$(mname)_%(name)_int 0 resistor r=1.0\n"/>
+    <admst:text format="r_$(mname)_%(name)2  N_$(mname)_%(name)_int N_$(mname)_%(name)_vdd resistor r=1.0\n"/>
+  </admst:for-each>
+  <admst:text format="\n"/>
+  <admst:text format="opPoint dc oppoint=screen print=yes\n"/>
+  <admst:text format="* infoall info what=all save=all\n"/>
+</admst:open>
+</admst:for-each>
+
+</admst>
diff --git a/admsXml/dummy.xml.c b/admsXml/dummy.xml.c
new file mode 100644
index 0000000..9e70fc2
--- /dev/null
+++ b/admsXml/dummy.xml.c
@@ -0,0 +1,68 @@
+/* File automatically created by ./mkctext.pl*/
+
+const char * dummy_xml = ""
+"<?xml version=\"1.0\" encoding=\"ISO-8859-1\"?>\n"
+"<!DOCTYPE admst SYSTEM \"admst.dtd\">\n"
+"<admst version=\"2.3.0\" xmlns:admst=\"http://mot-adms.sourceforge.net/xml-files/admst\">\n"
+"<admst:template match=\"print_expression\">\n"
+"  <admst:choose>\n"
+"    <admst:when test=\"[datatypename='number']\">%(value)%(scalingunit)</admst:when>\n"
+"    <admst:otherwise>-%(datatypename)-not-done-yet--</admst:otherwise>\n"
+"  </admst:choose>\n"
+"</admst:template>\n"
+"<admst:for-each select=\"/module\">\n"
+"<admst:variable name=\"mname\" select=\"%(name)\"/>\n"
+"<admst:message format=\"build file %(name).net\\n\"/>\n"
+"<admst:open file=\"%(name).net\">\n"
+"<admst:text format=\"*** Interface: %(/simulator/package_string)\\n\"/>\n"
+"<admst:text format=\"*** created by: %(/simulator/fullname) - %(/simulator/currentdate)\\n\"/>\n"
+"<admst:text format=\"\\n\"/>\n"
+"  <admst:text format=\"* Simple test circuit - automatically created %(/simulator/currentdate) \\n\"/>\n"
+"  <admst:text format=\"\\n\"/>\n"
+"  <admst:text format=\".options scale=1 gmin=1e-12 reltol=1.0e-8 vabstol=1.0e-08\\n\"/>\n"
+"  <admst:text format=\"\\n\"/>\n"
+"  <admst:text format=\"model my$mname $mname\\n\"/>\n"
+"  <admst:for-each select=\"variable[scope='global_model']\">\n"
+"    <admst:if test=\"[input='yes']\">\n"
+"      <admst:text format=\"+ %(name) = %(print_expression(default/tree))\\n\"/>\n"
+"    </admst:if>\n"
+"  </admst:for-each>\n"
+"  <admst:text format=\"\\n\"/>\n"
+"  <admst:text format=\"$mname \"/>\n"
+"  <admst:text select=\"node[location='external']\" format=\"N_$(mname)_%(name)_int \"/>\n"
+"  <admst:text format=\"my$mname  \\n\"/>\n"
+"  <admst:for-each select=\"variable[scope='global_instance']\">\n"
+"    <admst:if test=\"[input='yes']\">\n"
+"      <admst:choose>\n"
+"        <admst:when test=\"attribute[name='spice:isflag' and value='yes']\">\n"
+"        </admst:when>\n"
+"        <admst:otherwise>\n"
+"          <admst:choose>\n"
+"            <admst:when test=\"attribute[name='spice:name']\">\n"
+"              <admst:for-each select=\"attribute[name='spice:name']\">\n"
+"                <admst:text format=\"+ %(value) = %(print_expression(default/tree))\\n\"/>\n"
+"              </admst:for-each>\n"
+"            </admst:when>\n"
+"            <admst:otherwise>\n"
+"              <admst:text format=\"+ %(name) = %(print_expression(default/tree))\\n\"/>\n"
+"            </admst:otherwise>\n"
+"          </admst:choose>\n"
+"        </admst:otherwise>\n"
+"      </admst:choose>\n"
+"    </admst:if>\n"
+"  </admst:for-each>\n"
+"  <admst:text format=\"\\n\"/>\n"
+"  <admst:for-each select=\"node[location='external']\">\n"
+"    <admst:text format=\"* inout node \\n\"/>\n"
+"    <admst:text format=\"v_$(mname)_%(name)_vdd N_$(mname)_%(name)_vdd 0 vsource dc=1.0\\n\"/>\n"
+"    <admst:text format=\"r_$(mname)_%(name)1  N_$(mname)_%(name)_int 0 resistor r=1.0\\n\"/>\n"
+"    <admst:text format=\"r_$(mname)_%(name)2  N_$(mname)_%(name)_int N_$(mname)_%(name)_vdd resistor r=1.0\\n\"/>\n"
+"  </admst:for-each>\n"
+"  <admst:text format=\"\\n\"/>\n"
+"  <admst:text format=\"opPoint dc oppoint=screen print=yes\\n\"/>\n"
+"  <admst:text format=\"* infoall info what=all save=all\\n\"/>\n"
+"</admst:open>\n"
+"</admst:for-each>\n"
+"\n"
+"</admst>\n"
+;
diff --git a/admsXml/mkctext.pl b/admsXml/mkctext.pl
new file mode 100644
index 0000000..fa69f99
--- /dev/null
+++ b/admsXml/mkctext.pl
@@ -0,0 +1,78 @@
+#!/bin/perl -w
+#
+# mktext.pl
+#
+# perl helper script for adms build system
+#
+# takes two argments, a file name and a path intended to
+# be the top level source directory path for adms.
+#
+# It creates a small C file, which copies the input file into a C
+# character array. The name of the C file is generated from the
+# input file name e.g.
+#
+# > echo "Some text" > infile.txt
+#
+# > mktext.pl infile.txt /my/top/level/source/directory
+# svn version: Unversioned directory
+# created: infile.txt.c
+#
+# > cat infile.txt.c
+# /* File automatically created by mkctext.pl*/
+#
+# const char * infile_txt = ""
+# "Some text\n"
+# ;
+#
+# if the directory containing the file is under subversion
+# version control, the text SVN_VERSION in the file is replaced
+# with the version number reported by svnversion
+#
+
+
+my $filename=shift;
+my $top_srcdir=shift;
+$top_srcdir=".." if not defined $top_srcdir;
+
+
#svn
+# cygpath is a Cygwin utility to convert Unix and Windows format paths
+my$cygpath_top_srcdir=$top_srcdir;
+if($cygpath_top_srcdir=`cygpath -ad $top_srcdir 2>/dev/null`)
+{
+  chomp $cygpath_top_srcdir;
+  $cygpath_top_srcdir="\"$cygpath_top_srcdir\"";
+}
+my$SVN;
+$SVN=`svnversion -n $cygpath_top_srcdir 2>/dev/null` or $SVN="unknown";
+print "svn version: $SVN\n";
+
+sub text2ccode
+{
+  my$fileFullName = shift;
+  $fileFullName =~ m/\/?([^\/]+)$/;
+  my$fileName = $1;
+  my$OutputCfile = "$fileName.c";
+  my$cName = $fileName;
+  $cName =~ s/\./_/g;
+  open IF, "<${fileFullName}";
+  open OCF, ">${OutputCfile}";
+  printf(OCF "/* File automatically created by " . __FILE__ . "*/\n");
+  printf(OCF "\n");
+  printf(OCF "const char * %s = \"\"\n",${cName});
+  while(<IF>)
+  {
+    my $line=$_;
+    $line=~s/\r?$//;
+    $line=~s/\n$//;
+    $line=~s/\\/\\\\/g;
+    $line=~s/"/\\"/g;
+    $line=~s/\@SVN_VERSION=[^@]*\@/\@SVN_VERSION=$SVN\@/g;
+    printf(OCF "\"%s\\n\"\n", $line);
+  }
+  printf(OCF ";\n");
+  close IF;
+  close OCF;
+  print "created: ${OutputCfile}\n";
+}
+
+&text2ccode($filename);
diff --git a/admsXml/mkelements.pl b/admsXml/mkelements.pl
new file mode 100644
index 0000000..271a918
--- /dev/null
+++ b/admsXml/mkelements.pl
@@ -0,0 +1,4857 @@
+#!perl -W
+
+use warnings;
+use XML::LibXML;
+
+my $top_srcdir=shift @ARGV;
+$top_srcdir=".." if not defined $top_srcdir;
+
+sub checkargument {
+  my$arg1=shift;
+  if(not defined $arg1)
+  {
+    my($package1,$filename1,$line1,$subroutine1,$hasargs1,$wantarray1,$evaltext1,$is_require1,$hints1,$bitmask1)=caller(1);
+    die "$subroutine1:$line1: failed";
+  }
+}
+
+my$admsxml=XML::LibXML->new->parse_file("$top_srcdir/adms.xml")->getDocumentElement;
+map{eval("sub x$_ {\&checkargument(\@_); shift->getAttribute(\"$_\");}");} qw(name path datatypefamily datatypename default info element attribute format);
+my$admstpathxml=XML::LibXML->new->parse_file("$top_srcdir/admstpath.xml")->getDocumentElement;
+map{eval("sub x$_ {\&checkargument(\@_); shift->getAttribute(\"$_\");}");} qw(id label value ret linkto eparent regexp);
+my$admstxml=XML::LibXML->new->parse_file("$top_srcdir/admst.xml")->getDocumentElement;
+map{eval("sub x$_ {\&checkargument(\@_); shift->getAttribute(\"$_\");}");} qw(haschild type);
+
+my$BUILDER="\n/* ------- code automatically created by $0 -------------- */\n\n";
+
# build accessors for attribute
+sub eparent
+{
+  my$element=shift;
+  return $element->parentNode if($element->parentNode->nodeName eq "element");
+}
+sub xsize
+{
+  my$node=shift;
+  return "list" if($node->nodeName eq "list");
+  return "scalar";
+}
+sub uid  {my$e=shift;return if not $e;return $e->findnodes("uid/scalar|uid/list");}
+sub attr {my$e=shift;return if not $e;return $e->findnodes("(uid/scalar)|(uid/list)|scalar|list");}
+
#svn
+my$cygpath_top_srcdir=$top_srcdir;
+if($cygpath_top_srcdir=`cygpath -ad $top_srcdir 2>/dev/null`)
+{
+  chomp $cygpath_top_srcdir;
+  $cygpath_top_srcdir="\"$cygpath_top_srcdir\"";
+}
+my$SVN;
+$SVN=`svnversion -n $cygpath_top_srcdir 2>/dev/null` or $SVN="unknown";
+$SVN =~ s/^\d+://;
+print "svn version: $SVN\n";
+
#parse adms.xml
+my $EA;
+my $EH;
+foreach($admsxml->findnodes("//element"))
+{
+  my$e=$_;
+  push @$EA,$_;
+  $EH->{&xname($_)}=$_;
+}
+
#c-type
+sub ctype
+{
+  my$xdatatypename=&xdatatypename($_);
+  if(0) {}
+  elsif($xdatatypename eq "basicenumeration") {return "admse"}
+  elsif($xdatatypename eq "basicinteger")     {return "int"}
+  elsif($xdatatypename eq "basicreal")        {return "double"}
+  elsif($xdatatypename eq "basicstring")      {return "const char*"}
+  elsif($xdatatypename eq "basickstring")      {return "const char*"}
+  elsif($xdatatypename eq "basicfunction")    {return "void*"}
+  elsif($xdatatypename eq "basiclist")    {return "p_slist"}
+  else{return "p_$xdatatypename"}
+}
+sub ccontainer
+{
+  my$attribute=shift;
+  return (&xsize($attribute) eq "list")?"p_slist":ctype($attribute);
+}
+sub hdece
+{
+  return &uid($_)?(join",",map{&ccontainer($_)." my".&xname($_)}&uid($_)):"void";
+}
+sub hdec
+{
+  return &uid($EH->{xdatatypename($_)})?(join",",map{&ctype($_)." my".&xname($_)}&uid($EH->{xdatatypename($_)})):"void";
+}
+
+my$adms_h;
+$adms_h.=$BUILDER;
+$adms_h.="#ifndef adms_h\n";
+$adms_h.="#define adms_h\n";
+$adms_h.="\n";
+$adms_h.="#define SVN \"$SVN\" /* svn release version */\n";
+$adms_h.=q@
+/*headers -- depend on compiler, OS, ...*/
+#  if defined(_MSC_VER)
+#    define PACKAGE_NAME "adms"
+#    if ! defined(PACKAGE_VERSION)
+#      pragma message(__FILE__ ": warning: #warning undefined macro 'PACKAGE_VERSION' - defaulted to 'unknown'")
+#      define PACKAGE_VERSION "unknown"
+#    endif
+#    define PACKAGE_STRING PACKAGE_NAME " " PACKAGE_VERSION
+#    define PACKAGE_TARNAME PACKAGE_NAME
+#    define PACKAGE_BUGREPORT "r29173\@users.sourceforge.net"
+#    include <direct.h>
+#    define isnan _isnan
+#    define ADMS_PATH_SEPARATOR "\\\\"
+#    define ADMS_F_OK 0
+#    ifndef inline
+#      define inline
+#    endif
+#    define HAVE_FLOAT_H 1
+#    define HAVE_STDLIB_H 1 
+#    define HAVE_PUTENV 1 
+#    define HAVE_LOCALE 1 
+#    define HAVE_STRING_H 1 
+#    define HAVE_SYS_STAT_H 1
+#    include <io.h>
+#  else
+#    include <config.h>
+#    define ADMS_PATH_SEPARATOR "/"
+#    include <unistd.h>
+#    define ADMS_F_OK F_OK
+#  endif
+#  include <math.h>
+#  if defined(HAVE_SYS_STAT_H)
+#    include <sys/stat.h>
+#  endif
+#  if defined(HAVE_LOCALE_H)
+#    include <locale.h>
+#  endif
+#  if defined(HAVE_FLOAT_H)
+#    include <float.h>
+#  endif
+#  if defined(HAVE_UNISTD_H)
+#    include <unistd.h>
+#  endif
+#  if defined(HAVE_STDLIB_H)
+#    include <stdlib.h>
+#  endif
+#  if defined(HAVE_STRING_H)
+#    include <string.h>
+#  endif
+#  include <assert.h>
+#  include <signal.h>
+#  include <stdarg.h>
+#  include <stdio.h>
+#  include <ctype.h>
+#  include <errno.h>
+#  include <time.h>
+/* in case not Posix */
+#  if defined(_S_IFDIR)
+#    define ADMS_S_IFDIR _S_IFDIR
+#  else 
+#    define ADMS_S_IFDIR S_IFDIR
+#  endif
+/* check OS */
+#  if defined(__CYGWIN__)
+#    define ADMS_OS_MS
+#    define ADMS_OS_MSCYGWIN
+#    define ADMS_OS "MSCYGWIN"
+#  elif defined(__MSDOS__)
+#    define ADMS_OS_MS
+#    define ADMS_OS_MSDOS
+#    define ADMS_OS "MSDOS"
+#  elif defined(_WIN64)
+#    define ADMS_OS_MS
+#    define ADMS_OS_MSWIN64
+#    define ADMS_OS "MSWIN64"
+#  elif defined(_WIN32)
+#    define ADMS_OS_MS
+#    define ADMS_OS_MSWIN32
+#    define ADMS_OS "MSWIN32"
+#  else
+#    define ADMS_OS_UNKNOWN
+#    define ADMS_OS "UNKNOWN"
+#  endif
+/* check compiler */
+#  if defined(__MINGW32__)
+#    ifndef WIN32
+#      define WIN32
+#    endif
+#    define ADMS_COMPILER_MINGCC
+#    define ADMS_COMPILER "MINGCC"
+#  elif defined(__CYGWIN__)
+#    ifndef WIN32
+#      define WIN32
+#    endif
+#    define ADMS_COMPILER_GCC 
+#    define ADMS_COMPILER "GCC" 
+#  elif defined(_MSC_VER)
+#    ifndef WIN32
+#      define WIN32
+#    endif
+#    define ADMS_COMPILER_MSVC
+#    define ADMS_COMPILER "MSVC"
+#  else
+#    define ADMS_COMPILER_CC
+#    define ADMS_COMPILER "CC"
+#  endif
+@;
+
#c macros
+$adms_h.="#define E\\\n";
+map{$adms_h.="  EE(".xname($_).")\\\n";} @$EA;
+$adms_h.="/*fin*/\n\n";
+$adms_h.="#define A\\\n";
+map{my$e=xname($_);map{$adms_h.="  AA(".xname($_).")\\\n";} &attr($_);} @$EA;
+$adms_h.="/*fin*/\n\n";
+my%ALIAS;
+foreach(@$EA)
+{
+  my$e=&xname($_);
+  foreach($_->findnodes("alias"))
+  {
+    push @{$ALIAS{&xname($_)}},[$e,&xelement($_)];
+  }
+}
+my%ALIASBIS;
+foreach(@$EA)
+{
+  my$e=&xname($_);
+  foreach($_->findnodes("aliasbis"))
+  {
+    push @{$ALIASBIS{&xname($_)}},[$e,&xpath($_)];
+  }
+}
+
+#va elements
+my at VA;
+map{push @VA,xname($_) if vla(xname($_));} @$EA;
+
+#math elements
+my at MATHELEMENTS;
+foreach($admsxml->findnodes("//element[\@name='math']//element"))
+{
+   push @MATHELEMENTS,[xname($_),"math"];
+}
+
+#elements + attributes
+$adms_h.="#define EA\\\n";
+map{my$e=xname($_);map{$adms_h.="  EEA(".$e.",".xname($_).")\\\n";} &attr($_);} @$EA;
+$adms_h.="/*fin*/\n\n";
+
+$adms_h.="#define TK\\\n";
+#add tokens for pparse
+#look for //[@name=pparse]/scalar/attribute[@name=tkid]
+my at token;
+#element
+map{push @token,xname($_);} @$EA;
+#attribute
+map{map{push @token,xname($_);} $_->findnodes("uid/scalar|uid/list|scalar|list");} @$EA;
+#enum
+map{map{push @token,xname($_);} $_->findnodes("uid/scalar/evalue|scalar/evalue");} @$EA;
+push @token,qw(past_name datatypefamily absolute basicenumeration basicinteger basicreal basicstring basiclist empty);
+my at tokenname;
+my%seentokenname;
+map{$adms_h.="  TTK($_)\\\n" if not defined $seentokenname{$_};$seentokenname{$_}=1;} @token;
+$adms_h.="/*fin*/\n\n";
+
+#lexer
+my$tk;
+map{$tk.="  tktk_".xid($_).",\n";} $admstpathxml->findnodes("//constant");
+map{map{$tk.="  tktk_".$_.",\n";}split " ", xid($_);} $admstpathxml->findnodes("//regexp");
+$adms_h.=qq@
+#undef TTK
+#define TTK(tk) admse_##tk,
+typedef enum {
+  admse__zero=0,
+  admse__any,
+  admse__constant,
+  admse__p, /*%p*/
+  admse__s, /*%s*/
+  admse__path,
+  admse__arguments,
+  admse__croix,
+  admse__croixcroix,
+  admse__ladms,
+  admse__ladmst,
+  TK
+  admse__
+} admse;
+@;
+$adms_h.="#undef win32_interface\n";
+$adms_h.="#if defined(WIN32)\n";
+$adms_h.="#  if defined(insideElement)\n";
+$adms_h.="#    define win32_interface __declspec(dllexport)\n";
+$adms_h.="#  else\n";
+$adms_h.="#    define win32_interface __declspec(dllimport)\n";
+$adms_h.="#  endif\n";
+$adms_h.="#else\n";
+$adms_h.="#  define win32_interface extern\n";
+$adms_h.="#endif\n";
+$adms_h.="\n";
+foreach(@$EA)
+{
+  my$ename=xname($_);
+  $adms_h.="typedef struct s_$ename  t_$ename;\n";
+  $adms_h.="typedef t_$ename*        p_$ename;\n";
+  $adms_h.="typedef const p_$ename   p_k$ename;\n";
+}
+
+#miscH
+$adms_h.="\n/*miscH*/\n";
+$adms_h.="typedef struct s_slist t_slist;\n";
+$adms_h.="typedef t_slist* p_slist;\n";
+$adms_h.="win32_interface FILE *stdadmstdbgimpl;\n";
+$adms_h.="win32_interface FILE *stdadmstdbgimpl;\n";
+$adms_h.="#define stdadmstdbg (stdadmstdbgimpl?stdadmstdbgimpl:stderr)\n";
+$adms_h.="win32_interface int admsmain(const char*xcode,const char*xflag,const char*vacode);\n";
+$adms_h.="win32_interface int adms_global_nbadmstnew(void);\n";
+$adms_h.="win32_interface int adms_global_nbadmstdestroy(void);\n";
+$adms_h.="win32_interface void adms_global_increment_nbadmstnew(void);\n";
+$adms_h.="win32_interface void adms_global_increment_nbadmstdestroy(void);\n";
+$adms_h.="/*-- admst/new prototypes --*/\n";
+foreach (qw[bd be bi br bs ns ks pa pn pc pd la ln pp])
+{
+my$cast=$_ eq"bd"?"const admse":
+        $_ eq"be"?"const admse":
+        $_ eq"bi"?"const int":
+        $_ eq"br"?"const double":
+        $_ eq"bs"?"char*":
+        $_ eq"ns"?"char*":
+        $_ eq"ks"?"char*":
+        $_ eq"pd"?"p_admstvariable":
+        $_ eq"pn"?"p_admst":
+        $_ eq"pc"?"p_attribute":
+        $_ eq"la"?"p_slist":
+        $_ eq"ln"?"p_slist":
+        $_ eq"pp"?"admse":
+        "const p_adms";
+my$inputs0=$_ eq"ks"?"$cast item":
+         "p_admst myprevious,p_admst mypreviouspath,$cast item";
+$adms_h.="win32_interface p_admst adms_admst_new$_ ($inputs0);\n";
+}
+$adms_h.="\n/*-- Miscellaneous routines --*/\n";
+$adms_h.=q@
+win32_interface const double adms_dzero;
+win32_interface double adms_NAN;
+win32_interface p_admst aread (p_admst myadmst);
+win32_interface void deref(p_admst mystack);
+union admsu
+{
+  int i;
+  double r;
+  char* s;
+  p_adms p;
+};
+win32_interface const int minusone;
+
+win32_interface int adms_strtol (p_ktransform mytransform,const char* mynumber);
+win32_interface double adms_strtod (p_ktransform mytransform,const char* myreal);
+win32_interface void bp(void);
+win32_interface char*adms_integertostring(int value);
+win32_interface char*adms_doubletostring(double value);
+win32_interface int adms_setenv(const char* myname,const char* myvalue);
+win32_interface int adms_file_isregular(const char* myfilename);
+win32_interface int adms_file_isdirectory(const char* myfilename);
+win32_interface p_slist adms_slist_new (p_adms d);
+win32_interface p_slist adms_slist_copy (p_slist l);
+win32_interface void adms_slist_concat (p_slist* l1,p_slist l2);
+win32_interface p_slist adms_slist_find (p_slist l,p_kadms data);
+win32_interface void adms_slist_free (p_slist l);
+win32_interface int adms_slist_index (p_slist l, p_kadms data);
+win32_interface p_slist adms_slist_last (p_slist l);
+win32_interface unsigned int adms_slist_length (p_slist l);
+win32_interface p_slist adms_slist_nth (p_slist l,unsigned int  n);
+win32_interface p_adms adms_slist_nth_data (p_slist l,unsigned int n);
+win32_interface void adms_slist_print(const char* message,p_slist l);
+win32_interface p_adms adms_slist_pull(p_slist* l);
+win32_interface void adms_slist_push(p_slist* l,p_adms data);
+win32_interface p_slist adms_slist_reverse (p_slist l);
+win32_interface void adms_slist_inreverse (p_slist* l);
+struct s_slist
+{
+  p_adms data;
+  p_slist next;
+};
+@;
+$adms_h.=q[
+
+win32_interface p_admsmain root(void);
+win32_interface void rootnew(p_admsmain myglobaladmsmain);
+
+typedef p_admst (t_new) (p_ktransform mytransform,p_admst dot,p_slist arguments[],const int size);
+typedef p_admst (*p_new) (p_ktransform mytransform,p_admst dot,p_slist arguments[],const int size);
+#define _t_new(function) p_admst (function) (p_ktransform mytransform,p_admst dot,p_slist arguments[],const int size)
+
+typedef int (t_cmp) (p_adms myadms, p_adms myadmsref);
+typedef int (*p_cmp) (p_adms myadms, p_adms myadmsref);
+#define _t_cmp(function) int (function) (p_adms myadms, p_adms myadmsref)
+
+/*-- Messaging --*/
+typedef void (t_message) (const char*format, ...);
+typedef void (*p_message) (const char*format, ...);
+#define _t_message(function)  void (function) (const char*format, ...)
+
+win32_interface void adms_toupper(char*m);
+win32_interface void adms_tolower(char*m);
+win32_interface char*adms_kclone(const char* m);
+win32_interface char*adms_knclone(const char* m,const int l);
+win32_interface char*adms_m2nclone(const char* m,const char* n);
+win32_interface void adms_strconcat(char **s1,char *s2);
+win32_interface void adms_k2strconcat(char **s1,const char* s2);
+win32_interface FILE *adms_file_open_read (const char* fileName);
+];
+my at message=(
+  ["info","stdout",0],
+  ["usage","stdout",0],
+  ["verbose","stdout",0],
+  ["debug","stdout",0],
+  ["dbg_vla","stdout",0],
+  ["dbg_xml","stdout",0],
+  ["dbg_mem","stdout",0],
+  ["hint","stderr",0],
+  ["warning","stderr",0],
+  ["obsolete","stderr",0],
+  ["error","stderr",2],
+  ["fatal","stderr",1],
+  ["admstdbg","stdadmstdbg",-1]
+);
+foreach my$mess(@message)
+{
+  my$name=$mess->[0];
+  $adms_h.="win32_interface t_message adms_message_${name}_impl;\n";
+  $adms_h.="win32_interface t_message adms_message_${name}_continue_impl;\n";
+  $adms_h.="#define adms_message_$name(VAARGS)\\\n";
+  $adms_h.="{\\\n";
+  $adms_h.="  if((root())\\\n";
+  $adms_h.="    &&(root()->_$name==admse_yes))\\\n";
+  $adms_h.="    adms_message_${name}_impl VAARGS;\\\n";
+  $adms_h.="}\n";
+  $adms_h.="#define adms_message_${name}_continue(VAARGS)\\\n";
+  $adms_h.="{\\\n";
+  $adms_h.="  if((root())\\\n";
+  $adms_h.="    &&(root()->_$name==admse_yes))\\\n";
+  $adms_h.="    adms_message_${name}_continue_impl VAARGS;\\\n";
+  $adms_h.="}\n";
+}
+$adms_h.="\n";
+$adms_h.="win32_interface char* aprintf (p_ktransform mytransform,p_kadmst myadmst);\n";
+sub vla {my$e=shift; return !(($e eq "return")||($e eq "adms")||($e eq "yaccval")||($e eq "admst")||($e eq "transform")
+||($e eq "itransform")||($e eq "admstvariable")||($e eq "pparse")||($e eq "ptraverse")||($e eq "text")||($e eq "path"));}
+foreach(@$EA)
+{
+  my$element=$_;
+  my$ename=$element->getAttribute("name");
+  $adms_h.="\n/* ------- $ename -------------- */\n";
+  my$parent=&eparent($element);
+  $adms_h.="#define adms_$ename(member) ((p_$ename)(member))\n";
+  #mkstruct
+  $adms_h.="struct s_$ename {\n";
+  if($parent)
+  {
+    my$parentName=$parent->getAttribute ("name");
+    $adms_h.="  t_$parentName _$parentName;\n";
+  }
+  foreach(&attr($element))
+  {
+    my$xname=&xname($_);
+    if(($ename eq "admst")&&($xname eq "item"))
+    {
+      $adms_h.="  union admsu _item;\n";
+    }
+    elsif(($xname eq "datatypename")||($xname eq "pseudo"))
+    {
+      $adms_h.="  admse _${xname};\n";
+    }
+    else
+    {
+      my$ctype=ccontainer($_);
+      $ctype=~s/const // if(&xdatatypename($_) eq "basicstring");
+      $adms_h.="  $ctype _$xname;\n";
+      $adms_h.="  int _${xname}_isdefault : 2;\n" if vla($ename);
+    }
+  }
+  $adms_h.="};\n";
+  #uid
+  $adms_h.="win32_interface char*adms_${ename}_uid (p_$ename my$ename);\n";
+  if($ename ne "adms")
+  {
+    $adms_h.="win32_interface p_$ename adms_${ename}_new (".&hdece($_).");\n";
+    $adms_h.="win32_interface void adms_${ename}_free(p_$ename my$ename);\n";
+  }
+  foreach(&attr($element))
+  {
+    #valueto
+    my$xname=&xname($_);
+    my$xdatatypename=&xdatatypename($_);
+    $adms_h.="win32_interface void adms_${ename}_valueto_$xname (p_$ename my$ename,".ccontainer($_)." _${xname});\n";
+    next if xsize($_) eq "scalar";
+    #prepend
+    if(($ename eq "module")&&($xname =~ "branch|node|probe|source"))
+    {
+      $adms_h.="win32_interface ".ctype($_)." adms_${ename}_list_${xname}_prepend_by_id_once_or_ignore (p_$ename mymy${ename},".&hdec($_).");\n";
+    }
+    if(
+      (($ename =~ "admsmain")&&($xname =~ "module|nature"))
+      ||
+      (($ename =~ "module")&&($xname =~ "branchalias|instance|node|nodealias|range"))
+    )
+    {
+      $adms_h.="win32_interface ".ctype($_)." adms_${ename}_list_${xname}_prepend_by_id_once_or_abort (p_$ename mymy${ename},".&hdec($_).");\n";
+    }
+    if(
+      (($ename =~ "admsmain")&&($xname =~ "discipline"))
+      ||
+      (($ename =~ "analogfunction|block|module")&&($xname =~ "variable"))
+      ||
+      (($ename =~ "instance")&&($xname =~ "parameterset|terminal"))
+      ||
+      (($ename =~ "module")&&($xname =~ "analogfunction"))
+      ||
+      (($ename =~ "variable")&&($xname =~ "alias"))
+    )
+    {
+      $adms_h.="win32_interface void adms_${ename}_list_${xname}_prepend_once_or_abort (p_$ename mymy${ename},".ctype($_)." my${xname});\n";
+    }
+    next if $xdatatypename eq "adms";
+    my at cmp;
+    foreach(&uid($EH->{$xdatatypename}))
+    {
+      my$cur="ref$xdatatypename->_".&xname($_);
+      my$ref="my".&xname($_);
+      if(xdatatypename($_) eq "basicstring")
+      {
+        push @cmp,"!strcmp($cur,$ref)";
+      }
+      elsif(&xdatatypename($_) eq "lexval")
+      {
+        push @cmp,"!strcmp($cur->_string,$ref->_string)";
+      }
+      elsif(&xdatatypename($_) eq "quark")
+      {
+        push @cmp,"!strcmp($cur->_value,$ref->_value)";
+      }
+      else
+      {
+        push @cmp,"($cur==$ref)";
+      }
+    }
+    #lookup
+    if(
+      (($ename =~ "admsmain")&&($xname =~ "discipline"))
+      ||
+      (($ename =~ "admsmain")&&($xname =~ "module"))
+      ||
+      (($ename =~ "admsmain")&&($xname =~ "nature"))
+      ||
+      (($ename =~ "analogfunction")&&($xname =~ "variable"))
+      ||
+      (($ename =~ "module")&&($xname =~ "branchalias"))
+      ||
+      (($ename =~ "module")&&($xname =~ "node"))
+      ||
+      (($ename =~ "module")&&($xname =~ "variable"))
+    )
+    {
+      $adms_h.="win32_interface ".ctype($_)." adms_${ename}_list_${xname}_lookup_by_id (p_$ename mymy$ename,".&hdec($_).");\n";
+    }
+  }
+}
+$adms_h.="
+win32_interface admse ns_strtoe (const char* tk);
+win32_interface char* ns_etostr (admse e);
+win32_interface p_new adms_admsxml_new (p_ktransform mytransform,const char* ename);
+win32_interface p_cmp adms_admsxml_cmp (p_kadmst myadmst);
+#endif /* adms_h */\n";
+
+open FILE,">$top_srcdir/admsXml/adms.h"; print FILE $adms_h; close FILE;
+print "$top_srcdir/admsXml/adms.h: created\n";
+
+sub pnew
+{
+  my$leaf=shift;
+  my$leafpath=shift;
+  my$element=shift;
+  my$parent=shift;
+  my$pname=$parent->getAttribute("name");
+  my$pelement=$EH->{$pname};
+  my$supersuperElement=&eparent($pelement);
+  my$code;
+  if($supersuperElement)
+  {
+    $code.=&pnew($leaf,"${leafpath}_$pname.",$pelement,$supersuperElement);
+  }
+  foreach(&attr($pelement))
+  {
+    my$xname=&xname($_);
+    my$xdatatypename=&xdatatypename($_);
+    my$xdefault=&xdefault($_);
+    next if not $xdefault;
+    if($xdefault=~/^@/)
+    {
+      $xdefault=~s/@//;
+      $code="  ${leafpath}_$pname._$xname=admse_".$leaf->getAttribute($xdefault).";\n";
+    }
+    elsif($xdatatypename eq "basicreal")
+    {
+      $code.="  ${leafpath}_$pname._$xname=$xdefault;\n";
+    }
+    elsif($xdatatypename eq "basicenumeration")
+    {
+      $code.="  ${leafpath}_$pname._$xname=admse_$xdefault;\n";
+    }
+    else {die;}
+  }
+  return $code;
+}
+
+sub mkfree ()
+{
+  my$element=$_;
+  my$ename=$element->getAttribute("name");
+  my$code;
+  $code.="void adms_${ename}_free (p_$ename my$ename)\n";
+  $code.="{\n";
+  $code.="  if(!my$ename)\n";
+  $code.="    return;\n";
+  my$parent;
+  foreach(&attr($element))
+  {
+    if(xsize($_) eq "list" && $ename ne "admst")
+    {
+      $code.="  adms_slist_free(my$ename->_".&xname($_).");\n";
+    }
+    elsif(xdatatypename($_) eq "basicstring")
+    {
+      $code.="  free(my$ename->_".xname($_).");\n";
+    }
+  }
+  $code.="  adms_global_increment_nbadmstdestroy();\n" if $ename eq "admst";
+  $code.="  free(my$ename);\n";
+  $code.="}\n";
+  return $code;
+}
+
+sub mkuid ()
+{
+  my$element=$_;
+  my$ename=$element->getAttribute("name");
+  my$code;
+  my$format=$element->findnodes("uid")->get_node(0)->getAttribute ("format");
+  $code.="char*adms_${ename}_uid (p_$ename mynew$ename)\n";
+  $code.="{\n";
+  $code.="  char*myuid=NULL;\n";
+  my at Attr=&attr($element);
+  $code.="  adms_k2strconcat(&myuid,\"\");\n" if not $format;
+  while($format=~s/^([^\$]*)\$(\d)//)
+  {
+    $code.="  adms_k2strconcat(&myuid,\"$1\");\n" if $1;
+    my$attr=$Attr[$2-1];
+    die "$ename: $format" if not defined $attr;
+    my$xname=&xname($attr);
+    my$xfamily=&xdatatypefamily($attr);
+    my$xdatatypename=&xdatatypename($attr);
+    my$xsize=&xsize($attr);
+    my$xformat=&xformat($attr);
+    if($xsize eq "list")
+    {
+      my$subformat=$xformat;
+      $code.="  {\n";
+      $code.="    p_slist list=mynew$ename->_$xname;\n";
+      $code.="    while(list)\n";
+      $code.="    {\n";
+      $code.="      adms_strconcat(&myuid,adms_admsxml_uid(list->data));\n";
+      $code.="      if(list->next) adms_k2strconcat(&myuid,\"$subformat\");\n";
+      $code.="      list=list->next;\n";
+      $code.="    }\n";
+      $code.="  }\n";
+    }
+    elsif(defined($xformat))
+    {
+      $code.="  if(mynew$ename->_$xname)\n";
+      $code.="  {\n";
+      while($xformat=~s/([^\$]*)\$(\d+)//)
+      {
+        my$v=&xname($Attr[$2-1]);
+        $code.="    adms_k2strconcat(&myuid,\"$1\");\n";
+        $code.="    adms_strconcat(&myuid,adms_admsxml_uid(mynew$ename->_$v));\n";
+      }
+      $code.="    adms_k2strconcat(&myuid,\"$xformat\");\n" if $xformat;
+      $code.="  }\n";
+    }
+    elsif($xdatatypename eq "basicenumeration")
+    {
+      foreach(@{$attr->findnodes("evalue")})
+      {
+        my$enode=$_;
+        $code.="  if(mynew$ename->_$xname==admse_".&xname($enode).")\n";
+        $code.="  {\n";
+        my$enuminfo=&xinfo($enode);
+        while($enuminfo=~s/([^\$]*)\$(\d+)//)
+        {
+          my$v=&xname($Attr[$2-1]);
+          $code.="    adms_k2strconcat(&myuid,\"$1\");\n";
+          $code.="    adms_strconcat(&myuid,adms_admsxml_uid(mynew$ename->_$v));\n";
+        }
+        $code.="    adms_k2strconcat(&myuid,\"$enuminfo\");\n" if $enuminfo;
+        $code.="  }\n";
+      }
+    }
+    elsif(($xdatatypename eq "basicinteger")&&($xname eq "pseudo"))
+    {
+      $code.="  adms_strconcat(&myuid,ns_etostr(mynew$ename->_$xname));\n";
+    }
+    elsif($xdatatypename eq "basicinteger")
+    {
+      $code.="  adms_strconcat(&myuid,adms_integertostring(mynew$ename->_$xname));\n";
+    }
+    elsif($xdatatypename eq "basicreal")
+    {
+      $code.="  adms_strconcat(&myuid,adms_doubletostring(mynew$ename->_$xname));\n";
+    }
+    elsif($xdatatypename eq "basicstring")
+    {
+      $code.="  adms_k2strconcat(&myuid,mynew$ename->_$xname);\n";
+    }
+    elsif($xdatatypename eq "adms")
+    {
+      $code.="  adms_strconcat(&myuid,adms_admsxml_uid(mynew$ename->_$xname));\n";
+    }
+    elsif($xfamily eq "reference")
+    {
+      $code.="  adms_strconcat(&myuid,adms_${xdatatypename}_uid(mynew$ename->_$xname));\n";
+    }
+  }
+  $code.="  adms_k2strconcat(&myuid,\"$format\");\n" if $format;
+  $code.="  return myuid;\n";
+  $code.="}\n";
+  return $code;
+}
+
+my$adms_c;
+$adms_c.=$BUILDER;
+$adms_c.="#include \"adms.h\"\n";
+$adms_c.="const double adms_dzero=0.0;\n";
+$adms_c.="double adms_NAN;\n";
+#enumeration to string 
+$adms_c.="FILE *stdadmstdbgimpl=NULL;\n";
+$adms_c.="#undef TTK\n";
+$adms_c.="#define TTK(tk) if(e==admse_##tk) return #tk;\n";
+$adms_c.="char* ns_etostr (admse e)\n";
+$adms_c.="{\n";
+$adms_c.="  TK\n";
+$adms_c.="  if(e==admse__zero) return \"_zero\";\n";
+$adms_c.="  if(e==admse__any) return \"_any\";\n";
+$adms_c.="  if(e==admse__constant) return \"_constant\";\n";
+$adms_c.="  if(e==admse__p) return \"_p\";\n";
+$adms_c.="  if(e==admse__s) return \"_s\";\n";
+$adms_c.="  if(e==admse__path) return \"_path\";\n";
+$adms_c.="  if(e==admse__arguments) return \"_arguments\";\n";
+$adms_c.="  if(e==admse__croix) return \"_croix\";\n";
+$adms_c.="  if(e==admse__croixcroix) return \"_croixcroix\";\n";
+$adms_c.="  if(e==admse__ladms) return \"_ladms\";\n";
+$adms_c.="  if(e==admse__ladmst) return \"_ladmst\";\n";
+$adms_c.="  return NULL;\n";
+$adms_c.="}\n";
+#string to enumeration
+$adms_c.="#undef TTK\n";
+$adms_c.="#define TTK(tk) if(!strcmp(mytk,#tk)) return admse_##tk;\n";
+$adms_c.="admse ns_strtoe (const char* mytk)\n";
+$adms_c.="{\n";
+$adms_c.="  TK\n";
+$adms_c.="  return admse__any;\n";
+$adms_c.="}\n";
+$adms_c.="static char* adms_admsxml_uid (p_kadms myitem)\n";
+$adms_c.="{\n";
+$adms_c.="  if(!myitem)\n";
+$adms_c.="    return adms_kclone(\"\");\n";
+foreach(@$EA)
+{
+  my$ename=xname($_);
+  next if $ename eq "adms";
+  $adms_c.="  if(myitem->_datatypename==admse_$ename)\n";
+  $adms_c.="    return adms_${ename}_uid((p_$ename)myitem);\n";
+}
+$adms_c.="  adms_message_fatal_continue((\"%s:%i: internal error - should not be reached\\n\",__FILE__,__LINE__))\n";
+$adms_c.="  adms_message_fatal((\"%s:%i: please report to r29173\@users.sourceforge.net\\n\",__FILE__,__LINE__))\n";
+$adms_c.="  return NULL;\n";
+$adms_c.="}\n";
+$adms_c.="char* aprintf (p_ktransform mytransform,p_kadmst myadmst)\n";
+$adms_c.="{\n";
+$adms_c.="  if(myadmst->_pseudo==admse__ladms)\n";
+$adms_c.="  {\n";
+$adms_c.="    adms_message_fatal_continue((\"list not supported\\n\"))\n";
+$adms_c.="    adms_message_fatal((\"see %s\\n\",adms_transform_uid(mytransform)))\n";
+$adms_c.="  }\n";
+$adms_c.="  if(myadmst->_pseudo==admse_empty)\n";
+$adms_c.="    return adms_kclone(\"\");\n";
+$adms_c.="  if(myadmst->_pseudo==admse_datatypename)\n";
+$adms_c.="    return adms_kclone(ns_etostr((admse)myadmst->_item.i));\n";
+$adms_c.="  if(myadmst->_pseudo==admse_basicenumeration)\n";
+$adms_c.="    return adms_kclone(ns_etostr((admse)myadmst->_item.i));\n";
+$adms_c.="  if(myadmst->_pseudo==admse_basicinteger)\n";
+$adms_c.="    return adms_integertostring(myadmst->_item.i);\n";
+$adms_c.="  if(myadmst->_pseudo==admse_basicreal)\n";
+$adms_c.="    return adms_doubletostring(myadmst->_item.r);\n";
+$adms_c.="  if(myadmst->_pseudo==admse_basicstring)\n";
+$adms_c.="    return adms_kclone((char*)myadmst->_item.s);\n";
+$adms_c.="  if(myadmst->_pseudo==admse__croix)\n";
+$adms_c.="  {\n";
+$adms_c.="    if(((p_attribute)myadmst->_item.p)->_value)\n";
+$adms_c.="      return aprintf(mytransform,(p_admst)((p_attribute)myadmst->_item.p)->_value);\n";
+$adms_c.="    else\n";
+$adms_c.="      return adms_kclone(\"\");\n";
+$adms_c.="  }\n";
+foreach(@$EA)
+{
+  my$ename=xname($_);
+  next if $ename eq "adms";
+  $adms_c.="  if(myadmst->_pseudo==admse_$ename)\n";
+  $adms_c.="    return adms_${ename}_uid((p_$ename)myadmst->_item.p);\n";
+}
+$adms_c.="  adms_message_fatal_continue((\"%s:%i: internal error - should not be reached\\n\",__FILE__,__LINE__))\n";
+$adms_c.="  adms_message_fatal_continue((\"%s:%i: please report to r29173\@users.sourceforge.net\\n\",__FILE__,__LINE__))\n";
+$adms_c.="  adms_message_fatal((\"see %s\\n\",adms_transform_uid(mytransform)))\n";
+$adms_c.="  return NULL;\n";
+$adms_c.="}\n";
+
+$adms_c.=q@
+const int minusone=-1;
+void deref (p_admst myadmst)
+{
+  myadmst->_refd--;
+  if(myadmst->_refd)
+    return;
+  if(myadmst->_original)
+  {
+    if(myadmst->_hasnewbasicstring==1)
+    {
+      myadmst->_original->_refd--;
+      if(myadmst->_original->_refd==0)
+      {
+        free(myadmst->_original->_item.s);
+        adms_admst_free(myadmst->_original);
+      }
+    }
+    else if(myadmst->_hasnewbasicstring==2)
+    {
+      myadmst->_original->_refd--;
+      if(myadmst->_original->_refd==0)
+      {
+        adms_return_free((p_return)myadmst->_original->_item.p);
+        adms_admst_free(myadmst->_original);
+      }
+    else
+      adms_message_fatal(("internal error"))
+    }
+  }
+  if(myadmst->_hasnewbasicstring==1)
+    free(myadmst->_item.s);
+  else if(myadmst->_hasnewbasicstring==2)
+    adms_return_free((p_return)myadmst->_item.p);
+  adms_admst_free(myadmst);
+}
+#define p_basicstring char*
+#define adms_basicstring_uid(e) ((char*)e)
+static p_admst adms_basicenumeration_xnew (p_ktransform mytransform,p_admst dot,p_slist myinputs[],const int size)
+{
+  if(size!=1)
+  {
+    adms_message_fatal_continue(("admst:new(basicenumeration) bad number of inputs (found %i, expected 1)\n",size))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else if(adms_slist_length(myinputs[0])!=1)
+  {
+    adms_message_fatal_continue(("admst:new(basicenumeration) bad number of element in inputs[0] (found %i, expected 1)\n",adms_slist_length(myinputs[0])))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else
+  {
+    char*s=aprintf(mytransform,(p_admst)(myinputs[0]->data));
+    /*xnew*/p_admst myadmst=adms_admst_newbi(dot,dot,adms_strtol(mytransform,s));
+    free(s);
+    deref((p_admst)myinputs[0]->data);
+    adms_slist_free(myinputs[0]);
+    return myadmst;
+  }
+}
+static p_admst adms_basicinteger_xnew (p_ktransform mytransform,p_admst dot,p_slist myinputs[],const int size)
+{
+  if(size!=1)
+  {
+    adms_message_fatal_continue(("admst:new(basicinteger) bad number of inputs (found %i, expected 1)\n",size))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else if(adms_slist_length(myinputs[0])!=1)
+  {
+    adms_message_fatal_continue(("admst:new(basicinteger) bad number of element in inputs[0] (found %i, expected 1)\n",adms_slist_length(myinputs[0])))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else
+  {
+    char*s=aprintf(mytransform,(p_admst)(myinputs[0]->data));
+    /*xnew*/p_admst myadmst=adms_admst_newbi(dot,dot,adms_strtol(mytransform,s));
+    free(s);
+    deref((p_admst)myinputs[0]->data);
+    adms_slist_free(myinputs[0]);
+    return myadmst;
+  }
+}
+static p_admst adms_basicreal_xnew (p_ktransform mytransform,p_admst dot,p_slist myinputs[],const int size)
+{
+  if(size!=1)
+  {
+    adms_message_fatal_continue(("admst:new(basicreal) bad number of inputs (found %i, expected 1)\n",size))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else if(adms_slist_length(myinputs[0])!=1)
+  {
+    adms_message_fatal_continue(("admst:new(basicreal) bad number of element in inputs[0] (found %i, expected 1)\n",adms_slist_length(myinputs[0])))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else
+  {
+    char*s=aprintf(mytransform,(p_admst)(myinputs[0]->data));
+    /*xnew*/p_admst myadmst=adms_admst_newbr(dot,dot,adms_strtod(mytransform,s));
+    free(s);
+    deref((p_admst)myinputs[0]->data);
+    adms_slist_free(myinputs[0]);
+    return myadmst;
+  }
+}
+static p_admst adms_basicstring_xnew (p_ktransform mytransform,p_admst dot,p_slist myinputs[],const int size)
+{
+  if(size!=1)
+  {
+    adms_message_fatal_continue(("admst:new(basicstring) bad number of inputs (found %i, expected 1)\n",size))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else if(adms_slist_length(myinputs[0])!=1)
+  {
+    adms_message_fatal_continue(("admst:new(basicstring) bad number of element in inputs[0] (found %i, expected 1)\n",adms_slist_length(myinputs[0])))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+    return NULL;
+  }
+  else
+  {
+    char*s=aprintf(mytransform,(p_admst)(myinputs[0]->data));
+    /*xnew*/p_admst myadmst=adms_admst_newbs(dot,dot,s);
+    deref((p_admst)myinputs[0]->data);
+    adms_slist_free(myinputs[0]);
+    return myadmst;
+  }
+}
+static int adms_basicenumeration_cmp (const char* mybasicenumeration,const char* refbasicenumeration)
+{
+  return (mybasicenumeration!=refbasicenumeration);
+}
+static int adms_basicinteger_cmp (const char* mybasicinteger,const char* refbasicinteger)
+{
+  return (mybasicinteger!=refbasicinteger);
+}
+static int adms_basicreal_cmp (const char* mybasicreal,const char* refbasicreal)
+{
+  return ((mybasicreal-refbasicreal)<0)||((mybasicreal-refbasicreal)>0);
+}
+static int adms_basicstring_cmp (const char* mybasicstring,const char* refbasicstring)
+{
+  return (strcmp(mybasicstring,refbasicstring));
+}
+@;
+foreach(@$EA)
+{
+  my$ename=xname($_);
+  next if not vla($ename);
+  #cmp
+  $adms_c.="static int adms_${ename}_cmp (p_$ename my$ename,p_$ename ref$ename)\n";
+  $adms_c.="{\n";
+  $adms_c.="  return\n";
+  $adms_c.="  (\n";
+  my$isfirst="    ";
+  foreach(&uid($_))
+  {
+    my$xname=&xname($_);
+    my$xdatatypename=&xdatatypename($_);
+    if($xdatatypename eq "basicstring")
+    {
+      $adms_c.="${isfirst}strcmp(my$ename->_".&xname($_).",ref$ename->_".&xname($_).")";
+      $isfirst="\n    ||\n    ";
+    }
+    elsif($xdatatypename eq "basicstring")
+    {
+      $adms_c.="${isfirst}strcmp(my$ename->_".&xname($_)."->_string,ref$ename->_".&xname($_)."->_string)";
+      $isfirst="\n    ||\n    ";
+    }
+    elsif($xdatatypename eq "quark")
+    {
+      $adms_c.="${isfirst}strcmp(my$ename->_".&xname($_)."->_value,ref$ename->_".&xname($_)."->_value)";
+      $isfirst="\n    ||\n    ";
+    }
+    elsif($xdatatypename eq "lexval")
+    {
+      $adms_c.="${isfirst}strcmp(my$ename->_".&xname($_)."->_string,ref$ename->_".&xname($_)."->_string)";
+      $isfirst="\n    ||\n    ";
+    }
+    else
+    {
+      $adms_c.="$isfirst(my$ename->_".&xname($_)." != ref$ename->_".&xname($_).")";
+      $isfirst="\n    ||\n    ";
+    }
+  }
+  $adms_c.=$isfirst."1" if not &uid($_);
+  $adms_c.="\n  );\n";
+  $adms_c.="}\n";
+}
+foreach(@$EA)
+{
+  my$ename=xname($_);
+  $adms_c.="\n/* ------- $ename -------------- */\n";
+  $adms_c.=&mkfree($_);
+  foreach(&attr($_))
+  {
+    #valueto
+    my$xname=&xname($_);
+    my$xdatatypename=&xdatatypename($_);
+    $adms_c.="\nvoid adms_${ename}_valueto_$xname (p_$ename my$ename,".ccontainer($_)." _${xname})\n{\n";
+#fixme: other basic?
+    if(($xdatatypename eq "basicstring")&&(xsize($_) eq "scalar"))
+    {
+      $adms_c.="  my$ename->_$xname=adms_kclone(_$xname);\n";
+    }
+    elsif(($ename eq "admst")&&($xname eq "item"))
+    {
+      $adms_c.="  /*fixme: my$ename->_$xname=_$xname;*/\n";
+    }
+    else
+    {
+      $adms_c.="  my$ename->_$xname=_$xname;\n";
+    }
+    $adms_c.="  my$ename->_${xname}_isdefault=0;\n" if vla($ename);
+    $adms_c.="}\n";
+    next if xsize($_) eq "scalar";
+    #prepend
+    my$cdec=join",",map{"my".&xname($_)}&uid($EH->{xdatatypename($_)});
+    if(($ename eq "module")&&($xname =~ "branch|node|probe|source"))
+    {
+      $adms_c.=ctype($_)." adms_${ename}_list_${xname}_prepend_by_id_once_or_ignore (p_$ename mymy${ename},".&hdec($_).")\n";
+      $adms_c.="{\n";
+      $adms_c.="  p_slist list=mymy$ename->_$xname;\n";
+      $adms_c.="  ".ctype($_)." ref${xname}=adms_${xdatatypename}_new($cdec);\n";
+      $adms_c.="  while(list)\n";
+      $adms_c.="  {\n";
+      $adms_c.="    if(!adms_${xdatatypename}_cmp((p_$xdatatypename)list->data,ref${xname}))\n";
+      $adms_c.="    {\n";
+      $adms_c.="      adms_${xdatatypename}_free (ref$xname);\n";
+      $adms_c.="      return (p_$xdatatypename)list->data;\n";
+      $adms_c.="    }\n";
+      $adms_c.="    list=list->next;\n";
+      $adms_c.="  }\n";
+      $adms_c.="  adms_slist_push(&mymy$ename->_$xname,(p_adms)ref$xname);\n";
+      $adms_c.="  return ref$xname;\n";
+      $adms_c.="}\n";
+    }
+    if(
+      (($ename =~ "admsmain")&&($xname =~ "module|nature"))
+      ||
+      (($ename =~ "module")&&($xname =~ "branchalias|instance|node|nodealias|range"))
+    )
+    {
+      $adms_c.=ctype($_)." adms_${ename}_list_${xname}_prepend_by_id_once_or_abort (p_$ename mymy${ename},".&hdec($_).")\n";
+      $adms_c.="{\n";
+      $adms_c.="  p_slist list=mymy${ename}->_${xname};\n";
+      $adms_c.="  ".ctype($_)." ref${xname}=adms_${xdatatypename}_new($cdec);\n";
+      $adms_c.="  while(list)\n";
+      $adms_c.="  {\n";
+      $adms_c.="    if(!adms_${xdatatypename}_cmp((p_$xdatatypename)list->data,ref${xname}))\n";
+      $adms_c.="    {\n";
+      $adms_c.="      adms_message_fatal((\"${ename}=[%s] ${xname}=[%s] already defined\\n\",\n";
+      $adms_c.="        adms_${ename}_uid(mymy${ename}),adms_${xdatatypename}_uid(ref${xname})))\n";
+      $adms_c.="      adms_${xdatatypename}_free (ref${xname});\n";
+      $adms_c.="    }\n";
+      $adms_c.="    list=list->next;\n";
+      $adms_c.="  }\n";
+      $adms_c.="  adms_slist_push(&mymy${ename}->_${xname},(p_adms)ref$xname);\n";
+      $adms_c.="  return ref${xname};\n";
+      $adms_c.="}\n";
+    }
+    if(
+      (($ename =~ "admsmain")&&($xname =~ "discipline"))
+      ||
+      (($ename =~ "analogfunction|block|module")&&($xname =~ "variable"))
+      ||
+      (($ename =~ "instance")&&($xname =~ "parameterset|terminal"))
+      ||
+      (($ename =~ "module")&&($xname =~ "analogfunction"))
+      ||
+      (($ename =~ "variable")&&($xname =~ "alias"))
+    )
+    {
+      $adms_c.="void adms_${ename}_list_${xname}_prepend_once_or_abort (p_$ename mymy${ename},".ctype($_)." my${xname})\n";
+      $adms_c.="{\n";
+      $adms_c.="  p_slist list=mymy${ename}->_${xname};\n";
+      $adms_c.="  while(list)\n";
+      $adms_c.="  {\n";
+      $adms_c.="    if(!adms_${xdatatypename}_cmp((p_$xdatatypename)list->data,my${xname}))\n";
+      $adms_c.="    {\n";
+      $adms_c.="      adms_message_fatal((\"${ename}=[%s] ${xname}=[%s] already defined\\n\",\n";
+      $adms_c.="        adms_${ename}_uid(mymy${ename}),adms_${xdatatypename}_uid(my${xname})))\n";
+      $adms_c.="      return;\n";
+      $adms_c.="    }\n";
+      $adms_c.="    list=list->next;\n";
+      $adms_c.="  }\n";
+      $adms_c.="  adms_slist_push(&mymy${ename}->_${xname},(p_adms)my$xname);\n";
+      $adms_c.="}\n";
+    }
+    #lookup
+    if(
+      (($ename =~ "admsmain")&&($xname =~ "discipline"))
+      ||
+      (($ename =~ "admsmain")&&($xname =~ "module"))
+      ||
+      (($ename =~ "admsmain")&&($xname =~ "nature"))
+      ||
+      (($ename =~ "analogfunction")&&($xname =~ "variable"))
+      ||
+      (($ename =~ "module")&&($xname =~ "branchalias"))
+      ||
+      (($ename =~ "module")&&($xname =~ "node"))
+      ||
+      (($ename =~ "module")&&($xname =~ "variable"))
+    )
+    {
+      $adms_c.=ctype($_)." adms_${ename}_list_${xname}_lookup_by_id (p_$ename mymy$ename,".&hdec($_).")\n";
+      $adms_c.="{\n";
+      $adms_c.="  p_slist list=mymy$ename->_$xname;\n";
+      $adms_c.="  while(list) {\n";
+      $adms_c.="    p_$xdatatypename ref$xdatatypename=(p_$xdatatypename)list->data;\n";
+      $adms_c.="    if(";
+      my at cmp;
+      foreach(&uid($EH->{$xdatatypename}))
+      {
+        my$cur="ref$xdatatypename->_".&xname($_);
+        my$ref="my".&xname($_);
+        if(xdatatypename($_) eq "basicstring")
+        {push @cmp,"!strcmp($cur,$ref)";}
+        elsif(&xdatatypename($_) eq "lexval")
+        {push @cmp,"!strcmp($cur->_string,$ref->_string)";}
+        elsif(&xdatatypename($_) eq "quark")
+        {push @cmp,"!strcmp($cur->_value,$ref->_value)";}
+        elsif(&xdatatypename($_) eq "lexval")
+        {push @cmp,"!strcmp($cur->_string,$ref->_string)";}
+        else
+        {push @cmp,"($cur==$ref)";}
+      }
+      $adms_c.=join "&&\n       ", at cmp;
+      $adms_c.=")\n";
+      $adms_c.="      return ref$xdatatypename;\n";
+      $adms_c.="    list=list->next;\n";
+      $adms_c.="  }\n";
+      $adms_c.="  return NULL;\n";
+      $adms_c.="}\n";
+    }
+  }
+  next if $ename eq "adms";
+  #new
+  $adms_c.="p_$ename adms_${ename}_new (".&hdece($_).")\n";
+  $adms_c.="{\n";
+  $adms_c.="  p_$ename mynew$ename=(p_$ename) calloc(1,(size_t)sizeof(t_$ename));\n";
+  $adms_c.="  adms_global_increment_nb${ename}new();\n" if($ename eq "admst");
+  my$parent=&eparent($_);
+  if($parent)
+  {
+    $adms_c.=&pnew($_,"mynew$ename->",$_,$parent);
+  }
+  foreach(&attr($_))
+  {
+    my$xname=&xname($_);
+    my$xdatatypename=&xdatatypename($_);
+    my$xdefault=&xdefault($_);
+    if($_->parentNode->nodeName eq "uid")
+    {
+      if($xdatatypename eq "basicstring") {$adms_c.="  mynew$ename->_$xname=adms_kclone(my$xname);\n";}
+      else                                {$adms_c.="  mynew$ename->_$xname=my$xname;\n";}
+      $adms_c.="  mynew$ename->_${xname}_isdefault=1;\n" if vla($ename);
+    }
+    elsif(defined $xdefault)
+    {
+         if($xdatatypename eq "basicenumeration")     {$adms_c.="  mynew$ename->_$xname=admse_$xdefault;\n";}
+      elsif($xdatatypename eq "basicinteger")         {$adms_c.="  mynew$ename->_$xname=$xdefault;\n"}
+      elsif($xdatatypename eq "basicreal")            {$adms_c.="  mynew$ename->_$xname=$xdefault;\n"}
+      elsif($xdatatypename eq "basicstring")          {$adms_c.="  mynew$ename->_$xname=adms_kclone(\"$xdefault\");\n";}
+      elsif($xdatatypename eq "quark")                {$adms_c.="  mynew$ename->_$xname=adms_quark_new(\"$xdefault\");\n";}
+      elsif($xdefault eq "")                          {$adms_c.="  mynew$ename->_$xname=NULL;\n";}
+      $adms_c.="  mynew$ename->_${xname}_isdefault=1;\n" if vla($ename);
+    }
+    else
+    {
+      $adms_c.="  mynew$ename->_${xname}_isdefault=-1;\n" if vla($ename);
+    }
+  }
+  $adms_c.="  return mynew$ename;\n";
+  $adms_c.="}\n";
+  $adms_c.=&mkuid($_);
+  next if not vla($ename);
+  #xnew
+  my at inputs;
+  my$i=0;
+  my$check;
+  my$free;
+  my$nbinputs=scalar(@{uid($_)});
+  $adms_c.="static p_admst adms_${ename}_xnew (p_ktransform mytransform,p_admst dot,p_slist myinputs[],const int size)\n{\n";
+  #fixme: special prototype for variable
+  if(${ename} eq "variable")
+  {
+    $adms_c.="  if(size==3)\n";
+    $adms_c.="    return adms_variableprototype_xnew (mytransform,dot,myinputs,size);\n";
+    $adms_c.="  else";
+  }
+  $adms_c.="  if(size!=$nbinputs)\n";
+  $adms_c.="  {\n";
+  $adms_c.="    adms_message_fatal_continue((\"admst:new($ename) bad number of inputs (found %i, expected $nbinputs)\\n\",size))\n";
+  $adms_c.="    adms_message_fatal((\"see %s\\n\",adms_transform_uid(mytransform)))\n";
+  $adms_c.="    return NULL;\n";
+  $adms_c.="  }\n";
+  $adms_c.="  else\n";
+  $adms_c.="  {\n";
+  foreach(&uid($_))
+  {
+    my$xname=&xname($_);
+    my$xdatatypename=&xdatatypename($_);
+    if(0) {}
+    elsif($xdatatypename eq "basicinteger")
+    {
+      $adms_c.="    char* my${xname}s=aprintf(mytransform,(p_admst)myinputs[$i]->data);\n";
+      $adms_c.="    ".ctype($_)." my$xname=adms_strtol(mytransform,my${xname}s);\n";
+      $free.="    free(my${xname}s);\n";
+    }
+    elsif($xdatatypename eq "basicreal")
+    {
+      $adms_c.="    char* my${xname}s=aprintf(mytransform,(p_admst)myinputs[$i]->data);\n";
+      $adms_c.="    ".ctype($_)." my$xname=adms_strtod(mytransform,my${xname}s);\n";
+      $free.="    free(my${xname}s);\n";
+    }
+    elsif($xdatatypename eq "basicstring")
+    {
+      $adms_c.="    char* my${xname}=aprintf(mytransform,(p_admst)myinputs[$i]->data);\n";
+      $free.="    free(my${xname});\n";
+    }
+    elsif($xdatatypename eq "basicenumeration")
+    {
+      $adms_c.="    char* my${xname}s=aprintf(mytransform,(p_admst)myinputs[$i]->data);\n";
+      $adms_c.="    admse my${xname}e=ns_strtoe(my${xname}s);\n";
+      $adms_c.="    ".ctype($_)." my$xname=my${xname}e;\n";
+      $check.="    if(!my${xname}e)\n";
+      $check.="    {\n";
+      $check.="      adms_message_fatal_continue((\"cannot convert '%s' to a valid value\\n\",my${xname}s))\n";
+      $check.="      adms_message_fatal((\"see %s\\n\",adms_transform_uid(mytransform)))\n";
+      $check.="    }\n";
+      $free.="    free(my${xname}s);\n";
+    }
+    elsif(xsize($_) eq "list")
+    {
+      $adms_c.="    ".ccontainer($_)." my$xname=myinputs[$i];\n";
+    }
+    elsif($xdatatypename eq "lexval")
+    {
+      $adms_c.="    char* my${xname}s=aprintf(mytransform,(p_admst)myinputs[$i]->data);\n";
+      $adms_c.="    ".ctype($_)." my$xname=adms_lexval_new(my${xname}s,adms_transform_uid(mytransform),0,0);\n";
+      $free.="    free(my${xname}s);\n";
+    }
+    else
+    {
+      $adms_c.="    ".ccontainer($_)." my$xname=(p_$xdatatypename)((p_admst)myinputs[$i]->data)->_item.p;\n";
+    }
+    push @inputs,"my$xname";
+    $i++;
+  }
+  $adms_c.="    /*xnew*/p_admst myadmst=adms_admst_newpa(dot,dot,(p_adms)adms_${ename}_new(".(join",", at inputs)."));\n";
+  $adms_c.=$check if $check;
+  $adms_c.=$free if $free;
+  $adms_c.="    return myadmst;\n";
+  $adms_c.="  }\n";
+  $adms_c.="}\n";
+}
+
+my$VA;
+foreach(@VA) {$VA.="  else if(!strcmp(ename,\"$_\")) return (p_new) adms_".$_."_xnew;\n";}
+$adms_c.=qq[
+p_new adms_admsxml_new (p_ktransform mytransform,const char* ename)
+{
+  if(0) {}
+$VA  else if(!strcmp(ename,"basicenumeration")) return (p_new) adms_basicenumeration_xnew;
+  else if(!strcmp(ename,"basicinteger")) return (p_new) adms_basicinteger_xnew;
+  else if(!strcmp(ename,"basicreal")) return (p_new) adms_basicreal_xnew;
+  else if(!strcmp(ename,"basicstring")) return (p_new) adms_basicstring_xnew;
+  adms_message_fatal_continue(("adms element '%s' cannot be created using admst:new\\n",ename))
+  adms_message_fatal(("see %s\\n",adms_transform_uid(mytransform)))
+  return NULL;
+}\n];
+$VA="";
+foreach(@VA) {$VA.="  if(myadmstread->_pseudo==admse_$_) return (p_cmp)adms_".$_."_cmp;\n";}
+$adms_c.=qq[
+p_cmp adms_admsxml_cmp (p_kadmst myadmst)
+{
+  p_admst myadmstread=aread(myadmst);
+  if(myadmstread->_pseudo==admse_basicenumeration) return (p_cmp)adms_basicenumeration_cmp;
+  if(myadmstread->_pseudo==admse_basicinteger) return (p_cmp)adms_basicinteger_cmp;
+  if(myadmstread->_pseudo==admse_basicreal) return (p_cmp)adms_basicreal_cmp;
+  if(myadmstread->_pseudo==admse_basicstring) return (p_cmp)adms_basicstring_cmp;
+$VA  adms_message_fatal_continue(("%s:%i: internal error - should not be reached\\n",__FILE__,__LINE__))
+  adms_message_fatal(("%s:%i: please report to r29173\@users.sourceforge.net\\n",__FILE__,__LINE__))
+  return NULL;
+}\n];
+
+#miscC
+$adms_c.="/*-- admst/new prototypes --*/\n";
+foreach (qw[bd be bi br bs ks ns pa pn pc pd la ln pp])
+{
+my$field=$_ eq"bd"?"i":
+         $_ eq"be"?"i":
+         $_ eq"bi"?"i":
+         $_ eq"br"?"r":
+         $_ eq"bs"?"s":
+         $_ eq"ns"?"s":
+         $_ eq"ks"?"s":
+         "p";
+my$cast=$_ eq"bd"?"const admse":
+        $_ eq"be"?"const admse":
+        $_ eq"bi"?"const int":
+        $_ eq"br"?"const double":
+        $_ eq"bs"?"char*":
+        $_ eq"ns"?"char*":
+        $_ eq"ks"?"char*":
+        $_ eq"pd"?"p_admstvariable":
+        $_ eq"pn"?"p_admst":
+        $_ eq"pc"?"p_attribute":
+        $_ eq"la"?"p_slist":
+        $_ eq"ln"?"p_slist":
+        $_ eq"pp"?"admse":
+        "const p_adms";
+my$item=$_ eq"pn"?"item->_item.p":
+        $_ eq"pd"?"(p_adms)item":
+        $_ eq"pc"?"(p_adms)item":
+        $_ eq"la"?"(p_adms)item":
+        $_ eq"ln"?"(p_adms)item":
+        $_ eq"pp"?"myprevious->_item.p":
+        "item";
+my$pseudocode=$_ eq"bd"?"admse_datatypename":
+              $_ eq"be"?"admse_basicenumeration":
+              $_ eq"bi"?"admse_basicinteger":
+              $_ eq"br"?"admse_basicreal":
+              $_ eq"bs"?"admse_basicstring":
+              $_ eq"ns"?"admse_basicstring":
+              $_ eq"ks"?"admse_basicstring":
+              $_ eq"pa"?"item?item->_datatypename:admse_empty":
+              $_ eq"pn"?"item->_pseudo":
+              $_ eq"pc"?"admse__croix":
+              $_ eq"pd"?"admse_basiclist":
+              $_ eq"la"?"admse__ladms":
+              $_ eq"ln"?"admse__ladmst":
+              $_ eq"pp"?"item":
+              "mypseudo";
+my$extra=$_ eq"ns"?"myadmst->_hasnewbasicstring=1;\n  ":
+         $_ eq"ks"?"myadmst->_refd=0;\n  ":
+         "";
+my$inputs0=$_ eq"ks"?"$cast item":
+         "p_admst myprevious,p_admst mypreviouspath,$cast item";
+my$inputs1=$_ eq"ks"?"NULL,NULL,$pseudocode":
+         "myprevious,mypreviouspath,$pseudocode";
+$adms_c.="p_admst adms_admst_new$_ ($inputs0)
+{
+  p_admst myadmst=adms_admst_new($inputs1);
+  ".(($_ ne"pn")?"myadmst->_item.$field=$item;":"if(item->_hasnewbasicstring==1)
+  {
+    myadmst->_item.s=item->_item.s;
+    myadmst->_original=item;
+    item->_refd++;
+  }
+  else
+    myadmst->_item.p=item->_item.p;").(($_ ne"bs")?"":"\n  if(myprevious->_hasnewbasicstring==2)
+  {
+    myadmst->_original=myprevious;
+    myprevious->_refd++;
+  }")."
+  ${extra}return myadmst;
+}\n";
+}
+$adms_c.=q[
+/*-- Miscellaneous routines --*/
+p_admst aread (p_admst myadmst)
+{
+  p_admst myadmstread=(myadmst&&myadmst->_pseudo==admse__croix)?(p_admst)((p_attribute)myadmst->_item.p)->_value:myadmst;
+  return myadmstread;
+}
+int adms_strtol (p_ktransform mytransform,const char* mynumber)
+{
+  int nb;
+  char* tail;
+  errno=0;
+  nb=strtol(mynumber,&tail,10);
+  if(*tail||errno)
+  {
+    adms_message_fatal_continue(("%s: cannot convert value to valid integer value\n",mynumber))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+  }
+  return nb;
+}
+double adms_strtod (p_ktransform mytransform,const char* myreal)
+{
+  double nb;
+  char* tail;
+  errno=0;
+  nb=strtod(myreal,&tail);
+  if(*tail||errno)
+    return adms_NAN;
+  if(*tail||errno)
+  {
+    adms_message_fatal_continue(("%s: cannot convert value to valid real value\n",myreal))
+    adms_message_fatal(("see %s\n",adms_transform_uid(mytransform)))
+  }
+  return nb;
+}
+void bp (void) {}
+char*adms_integertostring (int value)
+{
+   char*string=malloc(sizeof(char)*50);
+   sprintf(string,"%i",value);
+   return string;
+}
+char*adms_doubletostring (double value)
+{
+   if(isnan(value))
+   {
+     char*string=malloc(sizeof(char)*4);
+     sprintf(string,"nan");
+     return string;
+   }
+   else
+   {
+     char*string=malloc(sizeof(char)*50);
+     sprintf(string,"%e",value);
+     return string;
+   }
+}
+int adms_file_isregular (const char* myfilename)
+{
+  return((access(myfilename,ADMS_F_OK)==0));
+}
+int adms_file_isdirectory (const char* myfilename)
+{
+  struct stat s;
+  return((stat(myfilename,&s)==0)&&(s.st_mode&ADMS_S_IFDIR));
+}
+int adms_setenv (const char* myname,const char* myvalue)
+{
+  int myres;
+#if defined(HAVE_PUTENV)
+  char*myassign=NULL;
+  adms_k2strconcat(&myassign,myname);
+  adms_k2strconcat(&myassign,"=");
+  adms_k2strconcat(&myassign,myvalue);
+  myres=putenv(myassign);
+  free(myassign);
+#else
+  myres=setenv(myname,myvalue,1);
+#endif
+  return (myres==0);
+}
+p_slist adms_slist_last (p_slist l)
+{
+  if(l)
+  {
+    while(l->next)
+      l=l->next;
+  }
+  return l;
+}
+p_slist adms_slist_new (p_adms d)
+{
+  p_slist newl=NULL;
+  adms_slist_push(&newl,d);
+  return newl;
+}
+p_slist adms_slist_copy (p_slist l)
+{
+  p_slist copiedl=NULL;
+  while(l)
+  {
+    adms_slist_push(&copiedl,l->data);
+    l=l->next;
+  }
+  return adms_slist_reverse(copiedl);
+}
+void adms_slist_push (p_slist* l,p_adms data)
+{
+  p_slist n=malloc(sizeof(t_slist));
+  n->next=*l;
+  n->data=data;
+  *l=n;
+}
+p_adms adms_slist_pull (p_slist* l)
+{
+  if(*l)
+  {
+    p_slist n=*l;
+    p_adms data=n->data;
+    *l=(*l)->next;
+    free(n);
+    return data;
+  }
+  return NULL;
+}
+void adms_slist_concat (p_slist* l1,p_slist l2)
+{
+  if(l2)
+  {
+    if(*l1)
+      adms_slist_last(*l1)->next=l2;
+    else
+      *l1=l2;
+  }
+}
+unsigned int adms_slist_length (p_slist l)
+{
+  unsigned int length=0;
+  while(l)
+  {
+    length++;
+    l=l->next;
+  }
+  return length;
+}
+p_slist adms_slist_nth (p_slist l,unsigned int  n)
+{
+  while (n-->0 && l)
+    l=l->next;
+  return l;
+}
+p_adms adms_slist_nth_data (p_slist l,unsigned int n)
+{
+  while (n-->0 && l)
+    l=l->next;
+  return l ? l->data : ((p_adms)0);
+}
+p_slist adms_slist_find (p_slist l,p_kadms data)
+{
+  while(l)
+  {
+    if(l->data==data)
+      break;
+    l=l->next;
+  }
+  return l;
+}
+int adms_slist_index (p_slist l, p_kadms data)
+{
+  int i=0;
+  while(l)
+  {
+    if(l->data==data)
+      return i;
+    i++;
+    l=l->next;
+  }
+  return -1;
+}
+p_slist adms_slist_reverse (p_slist l)
+{
+  p_slist p=NULL;
+  while(l)
+  {
+    p_slist n=l->next;
+    l->next=p;
+    p=l;
+    l=n;
+  }
+  return p;
+}
+void adms_slist_inreverse (p_slist* l)
+{
+  *l=adms_slist_reverse(*l);
+}
+void adms_slist_free (p_slist l)
+{
+  while(l)
+  {
+    p_slist freed=l;
+    l=l->next;
+    free(freed);
+  }
+}
+int globalnbadmstnew=0, globalnbadmstdestroy=0;
+int adms_global_nbadmstnew (void) {return globalnbadmstnew;}
+int adms_global_nbadmstdestroy (void) {return globalnbadmstdestroy;}
+void adms_global_increment_nbadmstnew (void) {globalnbadmstnew++;}
+void adms_global_increment_nbadmstdestroy (void) {globalnbadmstdestroy++;}
+p_admsmain globaladmsmain;
+p_admsmain root (void) {return globaladmsmain;}
+void rootnew (p_admsmain myglobaladmsmain) {globaladmsmain=myglobaladmsmain;}
+
+/*-- Messaging --*/
+void adms_toupper (char*m)
+{
+  for(;*m;m++)
+    *m=toupper(*m);
+}
+void adms_tolower (char*m)
+{
+  for(;*m;m++)
+    *m=tolower(*m);
+}
+char*adms_kclone (const char* m)
+{
+  if(m)
+  {
+    int l=strlen(m);
+    char*mycpy=(char*)malloc((l+1)*sizeof(char));
+    memcpy(mycpy,m,l);
+    mycpy[l]='\0';
+    return mycpy;
+  }
+  else
+    return NULL;
+}
+char*adms_knclone (const char* m,const int l)
+{
+  char*mycpy=(char*)malloc((l+1)*sizeof(char));
+  memcpy(mycpy,m,l);
+  mycpy[l]='\0';
+  return mycpy;
+}
+/*clone array (m,n(: "abcdefghijkl\0" returns as "cdef\0"*/
+/*                      m   n                            */
+/* same as adms_knclone(m,n-m)                           */
+char*adms_m2nclone (const char* m,const char* n)
+{
+  int l=(char*)n-(char*)m;
+  char*mycpy=(char*)malloc((l+1)*sizeof(char));
+  memcpy(mycpy,m,l);
+  mycpy[l]='\0';
+  return mycpy;
+}
+/*s1: realloced s2: constant ret: s1=s1s2*/
+void adms_k2strconcat (char **s1,const char* s2)
+{
+  if(!s2)
+    return;
+  if(*s1)
+  {
+    int l1=strlen(*s1);
+    int l2=strlen(s2);
+    *s1=(char*)realloc(*s1,(l1+l2+1)*sizeof(char));
+    memcpy(*s1+l1,s2,l2+1);
+  }
+  else
+    *s1=strdup(s2);
+}
+/*s1: realloced s2: freed ret: s1=s1s2*/
+void adms_strconcat (char **s1,char *s2)
+{
+  adms_k2strconcat(s1,s2);
+  free(s2);
+}
+FILE* adms_file_open_read (const char* myfilename)
+{
+  FILE* fh=fopen(myfilename,"r");
+  if(!fh)
+    adms_message_fatal(("%s: failed to open file [read mode]\n",myfilename))
+  return fh;
+}
+
+];
+
+foreach my$mess(@message)
+{
+my$name=$mess->[0];
+my$ofh=$mess->[1];
+my$isfatal=($mess->[2]==1);
+my$iserror=($mess->[2]==2);
+my$prefix=sprintf("\"[%-7s]",$name);
+$prefix=~s/ /\./g;
+$prefix.=" \"";
+$adms_c.="_t_message (adms_message_${name}_impl)\n";
+$adms_c.="{\n";
+$adms_c.="  va_list ap;\n";
+$adms_c.="  int insideformat=0;\n";
+$adms_c.="  int i;\n";
+$adms_c.="  char* s;\n";
+$adms_c.="  char c;\n";
+$adms_c.="  double d;\n";
+$adms_c.="  void* p;\n";
+$adms_c.="  fputs($prefix,$ofh);\n" if($mess->[2]>=0);
+$adms_c.="  va_start(ap, format);\n";
+$adms_c.="  for(;*format;format++)\n";
+$adms_c.="  {\n";
+$adms_c.="    if(insideformat)\n";
+$adms_c.="    {\n";
+$adms_c.="      insideformat=0;\n";
+$adms_c.="      switch(*format) \n";
+$adms_c.="      {\n";
+$adms_c.="        case 's':\n";
+$adms_c.="          s=va_arg (ap,char*);\n";
+$adms_c.="          if(s) fputs(s,$ofh); else fputs(\"NULL\",$ofh);\n";
+$adms_c.="          break;\n";
+$adms_c.="        case 'e':\n";
+$adms_c.="          d=va_arg (ap,double);\n";
+$adms_c.="          fprintf($ofh,\"%e\",d);\n";
+$adms_c.="          break;\n";
+$adms_c.="        case 'c':\n";
+$adms_c.="          c=va_arg (ap,int);\n";
+$adms_c.="          fprintf($ofh,\"%c\",c);\n";
+$adms_c.="          break;\n";
+$adms_c.="        case 'g':\n";
+$adms_c.="          d=va_arg (ap,double);\n";
+$adms_c.="          fprintf($ofh,\"%g\",d);\n";
+$adms_c.="          break;\n";
+$adms_c.="        case 'f':\n";
+$adms_c.="          d=va_arg (ap,double);\n";
+$adms_c.="          fprintf($ofh,\"%f\",d);\n";
+$adms_c.="          break;\n";
+$adms_c.="        case 'i':\n";
+$adms_c.="          i=va_arg (ap,int);\n";
+$adms_c.="          fprintf($ofh,\"%i\",i);\n";
+$adms_c.="          break;\n";
+$adms_c.="        case 'p':\n";
+$adms_c.="          p=va_arg (ap,void*);\n";
+$adms_c.="          fprintf($ofh,\"%p\",p);\n";
+$adms_c.="          break;\n";
+$adms_c.="        default:\n";
+$adms_c.="          fputc(*format,$ofh);\n";
+$adms_c.="      }\n";
+$adms_c.="    }\n";
+$adms_c.="    else\n";
+$adms_c.="    {\n";
+$adms_c.="      switch(*format) \n";
+$adms_c.="      {\n";
+$adms_c.="        case '%':\n";
+$adms_c.="          insideformat=1;\n";
+$adms_c.="          break;\n";
+$adms_c.="        default:\n";
+$adms_c.="          fputc(*format,$ofh);\n";
+$adms_c.="      }\n";
+$adms_c.="    }\n";
+$adms_c.="  }\n";
+$adms_c.="  va_end (ap);\n";
+$adms_c.="  fflush($ofh);\n";
+$adms_c.="  bp();\n" if($iserror);
+$adms_c.="  bp(),exit(1);\n" if($isfatal);
+$adms_c.="}\n";
+$adms_c.="_t_message (adms_message_${name}_continue_impl)\n";
+$adms_c.="{\n";
+$adms_c.="  va_list ap;\n";
+$adms_c.="  int insideformat=0;\n";
+$adms_c.="  int i;\n";
+$adms_c.="  char* s;\n";
+$adms_c.="  char c;\n";
+$adms_c.="  double d;\n";
+$adms_c.="  void* p;\n";
+$adms_c.="  fputs($prefix,$ofh);\n" if($isfatal || $iserror);
+$adms_c.="  va_start(ap, format);\n";
+$adms_c.="  for(;*format;format++)\n";
+$adms_c.="  {\n";
+$adms_c.="    if(insideformat)\n";
+$adms_c.="    {\n";
+$adms_c.="      insideformat=0;\n";
+$adms_c.="      switch(*format) \n";
+$adms_c.="      {\n";
+$adms_c.="        case 's':\n";
+$adms_c.="          s=va_arg (ap,char*);\n";
+$adms_c.="          if(s) fputs(s,$ofh); else fputs(\"NULL\",$ofh);\n";
+$adms_c.="          break;\n";
+$adms_c.="        case 'c':\n";
+$adms_c.="          c=va_arg (ap,int);\n";
+$adms_c.="          fputc(c,$ofh);\n";
+$adms_c.="          break;\n";
+$adms_c.="        case 'e':\n";
+$adms_c.="          d=va_arg (ap,double);\n";
+$adms_c.="          fprintf($ofh,\"%e\",d);\n";
+$adms_c.="          break;\n";
+$adms_c.="        case 'g':\n";
+$adms_c.="          d=va_arg (ap,double);\n";
+$adms_c.="          fprintf($ofh,\"%g\",d);\n";
+$adms_c.="          break;\n";
+$adms_c.="        case 'f':\n";
+$adms_c.="          d=va_arg (ap,double);\n";
+$adms_c.="          fprintf($ofh,\"%f\",d);\n";
+$adms_c.="          break;\n";
+$adms_c.="        case 'i':\n";
+$adms_c.="          i=va_arg (ap,int);\n";
+$adms_c.="          fprintf($ofh,\"%i\",i);\n";
+$adms_c.="          break;\n";
+$adms_c.="        case 'p':\n";
+$adms_c.="          p=va_arg (ap,void*);\n";
+$adms_c.="          fprintf($ofh,\"%p\",p);\n";
+$adms_c.="          break;\n";
+$adms_c.="        default:\n";
+$adms_c.="          fputc(*format,$ofh);\n";
+$adms_c.="      }\n";
+$adms_c.="    }\n";
+$adms_c.="    else\n";
+$adms_c.="    {\n";
+$adms_c.="      switch(*format) \n";
+$adms_c.="      {\n";
+$adms_c.="        case '%':\n";
+$adms_c.="          insideformat=1;\n";
+$adms_c.="          break;\n";
+$adms_c.="        default:\n";
+$adms_c.="          fputc(*format,$ofh);\n";
+$adms_c.="      }\n";
+$adms_c.="    }\n";
+$adms_c.="  }\n";
+$adms_c.="  va_end (ap);\n";
+$adms_c.="  fflush($ofh);\n";
+$adms_c.="}\n";
+}
+
+open FILE,">$top_srcdir/admsXml/adms.c"; print FILE $adms_c; close FILE;
+print "$top_srcdir/admsXml/adms.c: created\n";
+
+#apath language
+
+map{my$e=$_;my$ename=&xname($e);
+map{push @{$A{xname($_)}},[$e,$_] if (&xname($_) ne "datatypename");}
+&attr($_) if vla($ename)||$ename eq "return"||$ename eq "math"||$ename eq "subexpression";} @$EA;
+
+my at Location03;
+foreach(@$EA)
+{
+  my$ename=&xname($_);
+  next if not $ename eq "adms"||$ename eq "math"||$ename eq "subexpression";
+  push @Location03,"  IFIDENT($ename)\n";
+  foreach($_->findnodes(".//element"))
+  {
+    next if not vla(xname($_));
+    push @Location03,"    MKscalar(".xname($_).",sub)\n";
+  }
+  push @Location03,"  ENDIFIDENT\n";
+}
+foreach(keys(%A))
+{
+  my($aname,$ee)=($_,$A{$_});
+  push @Location03,"  IFIDENT($aname)\n";
+  foreach(@$ee)
+  {
+    my($e,$a)=@$_;
+    if(xsize($a) eq "list")
+    {
+      push @Location03,"    MKlist(".xname($e).",".xdatatypename($a).")\n";
+    }
+    else
+    {
+      push @Location03,"    MKscalar(".xname($e).",".xdatatypename($a).")\n";
+    }
+  }
+  push @Location03,"  ENDIFIDENT\n";
+}
+
+
+#dtd
+sub LN
+{
+  my($type,$rhs)=(shift,shift);
+  return "p_admst d=adms_admst_new$type(ai,ai,$rhs);".&ALLLASTPOS("d");
+}
+sub LLNN
+{
+  my($type,$rhs)=(shift,shift);
+  return "p_admst d=adms_admst_new$type(dot,dot,$rhs);".&ALLLASTPOS("d");
+}
+open admstpath_dtd,">$top_srcdir/admsXml/admstpath.dtd";
+my$dtd=q[
+<?xml version="1.0" encoding="UTF-8"?>
+<!-- Spec interne d'un chemin 'admstpath'. Validation: xmllint dbgp.xml -noout -postvalid -noblanks --> 
+<!--
+Fichier dbgp.xml
+<?xml version="1.0"?>
+<!--?xml-stylesheet type="text/xsl" href="admsstyle.xsl"?-->
+<!DOCTYPE admstpath SYSTEM "admstpath.dtd">
+<!-- Exemple de donnees 'admstpath' -->
+<admstpath>
+<!-- inserer ici les elements <p/> -->
+</admstpath>
+-->
+<!ELEMENT admstpath (p+) >
+];
+
+print admstpath_dtd $dtd;
+close admstpath_dtd;
+print "$top_srcdir/admsXml/admstpath.dtd: created\n";
+
+open admstpathYacc_h,">$top_srcdir/admsXml/admstpathYacc.h";
+print admstpathYacc_h "$BUILDER
+#include \"adms.h\"
+
+#undef win32_interface
+#if defined(WIN32)
+#  if defined(insideadmstpathYacc)
+#    define win32_interface __declspec(dllexport)
+#  else
+#    define win32_interface __declspec(dllimport)
+#  endif
+#else
+#  define win32_interface extern
+#endif
+
+win32_interface p_slist globalfileoutputlist;
+win32_interface int break_found;
+
+win32_interface FILE *OUT(void);
+win32_interface int is_admst (const char* name);
+win32_interface int apath_main (int argc,char **argv);
+win32_interface void dbxx (p_transform mytransform);
+win32_interface void postxx (p_transform mytransform);
+win32_interface void sanityxx (p_transform mytransform);
+win32_interface p_transform lookfortemplates(p_transform mytransform,const char*match);
+win32_interface p_path pparse (p_transform mytransform,const char* aname,const char* avalue);
+win32_interface p_text tparse (p_ktransform mytransform,const char* aname,const char* avalue);
+win32_interface p_admst adms_pull_admst (p_transform mytransform);
+win32_interface p_admstvariable lookup_dollar (const char* myid);
+win32_interface void tprintf (p_kadmst myadmst, p_ktext mytext);
+win32_interface char* tsprintf (p_kadmst myadmst, p_ktext mytext);
+win32_interface void free_ptraverse (p_ptraverse p);
+win32_interface p_ptraverse bar (p_admst dot,p_path mypath0);
+win32_interface void Xassert (p_transform mytransform,p_admst dot,p_admst dotdot);
+win32_interface void xtraverse (p_slist mytransforms,p_admst dot,p_admst dotdot);
+";
+close admstpathYacc_h;
+print "$top_srcdir/admsXml/admstpathYacc.h: created\n";
+
+
+open admstpathYacc_y,">$top_srcdir/admsXml/admstpathYacc.y";
+print admstpathYacc_y "$BUILDER
+\%{
+#include \"admstpathYacc.h\"
+";
+
+sub REQUIRECHILD
+{
+  return "    if(!mytransform->_children) adms_message_fatal((\"transform requires children - see %s\\n\",adms_transform_uid(mytransform)))\n";
+}
+sub EXCLUDECHILD
+{
+  return "    if(mytransform->_children) adms_message_fatal((\"transform does not support children - see %s\\n\",adms_transform_uid(mytransform)))\n";
+}
+sub EXCLUDE
+{
+ my($type,$item)=@_;
+ return "    if(mytransform->_$type$item) adms_message_fatal((\"transform does not support attribute '$item' - see %s\\n\",adms_transform_uid(mytransform)))\n"; 
+}
+sub REQUIRE
+{
+ my($type,$item)=@_;
+ return "    if(!mytransform->_$type$item) adms_message_fatal((\"transform requires attribute '$item' - see %s\\n\",adms_transform_uid(mytransform)))\n"; 
+}
+sub OBSOLETE
+{
+ my($obsolete,$new)=@_;
+ return "    if(!strcmp(mytransform->_name,\"$obsolete\")) adms_message_obsolete((\"%s: please use '$new' instead\\n\",adms_transform_uid(mytransform)))\n"; 
+}
+print admstpathYacc_y '
+static int admstpathlex (p_pparse mypparse);
+static void dbpath (p_path mypath);
+static void dbtext (p_text mytext);
+static void postpath (p_path mypath);
+static void posttext (p_text mytext)
+{
+  p_slist li;
+  for(li=mytext->_token;li;li=li->next)
+  {
+    p_adms mytoken=li->data;
+    if(mytoken->_datatypename==admse_path)
+    {
+      ((p_path)mytoken)->_aname=adms_kclone("//");
+      adms_k2strconcat(&((p_path)mytoken)->_aname,mytext->_aname);
+      postpath((p_path)mytoken);
+    }
+    else if(mytoken->_datatypename==admse_text)
+    {
+      ((p_text)mytoken)->_aname=adms_kclone("//");
+      adms_k2strconcat(&((p_text)mytoken)->_aname,mytext->_aname);
+      posttext((p_text)mytoken);
+    }
+  }
+}
+void dbxx (const p_transform mytransform)
+{
+  if(!is_admst(mytransform->_name))
+  {
+    p_slist l=mytransform->_attribute;
+    for(;l;l=l->next)
+      dbtext((p_text)((p_attribute)l->data)->_value);
+  }
+';
+foreach($admstxml->findnodes("//transform"))
+{
+  my$tname=xname($_);
+  foreach($_->findnodes(".//attribute|.//attribute-implied|.//attribute-warning"))
+  {
+    my$type=xtype($_);
+    my$aname=$type.xname($_);
+    print admstpathYacc_y "  if(!strcmp(mytransform->_name,\"$tname\")&&mytransform->_$aname) db$type(mytransform->_$aname);\n";
+  }
+  foreach($_->findnodes(".//attribute-list"))
+  {
+    my$type=xtype($_);
+    my$aname=$type.xname($_);
+    print admstpathYacc_y "  if(!strcmp(mytransform->_name,\"$tname\")&&mytransform->_$aname)\n";
+    print admstpathYacc_y "  {\n";
+    print admstpathYacc_y "    p_slist l=mytransform->_$aname;\n";
+    print admstpathYacc_y "    for(;l;l=l->next)\n";
+    print admstpathYacc_y "      db$type((p_$type)l->data);\n";
+    print admstpathYacc_y "  }\n";
+  }
+}
+print admstpathYacc_y "}\n"; 
+
+print admstpathYacc_y "void postxx (p_transform mytransform)\n"; 
+print admstpathYacc_y "{\n"; 
+print admstpathYacc_y "  if(!is_admst(mytransform->_name))
+  {
+    p_slist l=mytransform->_attribute;
+    for(;l;l=l->next)
+      posttext((p_text)((p_attribute)l->data)->_value);
+  }
+";
+foreach($admstxml->findnodes("//transform"))
+{
+  my$tname=xname($_);
+  foreach($_->findnodes(".//attribute|.//attribute-implied|.//attribute-warning"))
+  {
+    my$type=xtype($_);
+    my$aname=$type.xname($_);
+    print admstpathYacc_y "  if(!strcmp(mytransform->_name,\"$tname\")&&mytransform->_$aname) post$type(mytransform->_$aname);\n";
+  }
+  foreach($_->findnodes(".//attribute-list"))
+  {
+    my$type=xtype($_);
+    my$aname=$type.xname($_);
+    print admstpathYacc_y "  if(!strcmp(mytransform->_name,\"$tname\")&&mytransform->_$aname)\n";
+    print admstpathYacc_y "  {\n";
+    print admstpathYacc_y "    p_slist l=mytransform->_$aname;\n";
+    print admstpathYacc_y "    for(;l;l=l->next)\n";
+    print admstpathYacc_y "      post$type((p_$type)l->data);\n";
+    print admstpathYacc_y "  }\n";
+  }
+}
+print admstpathYacc_y "}\n"; 
+
+print admstpathYacc_y 'void sanityxx (p_transform mytransform)'."\n";
+print admstpathYacc_y '{'."\n";
+print admstpathYacc_y '  if(!is_admst(mytransform->_name)) { }'."\n";
+foreach($admstxml->findnodes("//transform"))
+{
+  my$transform=$_;
+  my at ids;
+  foreach($transform->findnodes("obsolete"))
+  {
+    push @ids,"!strcmp(mytransform->_name,\"".xname($_)."\")";
+  }
+  push @ids,"!strcmp(mytransform->_name,\"".xname($transform)."\")";
+  print admstpathYacc_y "  else if(".(join "||", at ids).")\n  {\n";
+  print admstpathYacc_y &REQUIRECHILD if(defined xhaschild($transform) && (xhaschild($transform) eq "yes")); 
+  print admstpathYacc_y &EXCLUDECHILD if(defined xhaschild($transform) && (xhaschild($transform) eq "no")); 
+  foreach($transform->findnodes("obsolete|exclude|attribute"))
+  {
+    if($_->nodeName eq "attribute")
+    {
+      print admstpathYacc_y &REQUIRE(xtype($_),xname($_));
+    }
+    elsif($_->nodeName eq "exclude")
+    {
+      print admstpathYacc_y &EXCLUDE(xtype($_),xname($_));
+    }
+    elsif($_->nodeName eq "obsolete")
+    {
+      print admstpathYacc_y &OBSOLETE(xname($_),xname($transform));
+    }
+  }
+  print admstpathYacc_y "  }\n";
+}
+print admstpathYacc_y '  else adms_message_fatal(("%s: unknown transform\n",adms_transform_uid(mytransform)))'."\n";
+print admstpathYacc_y '}'."\n";
+sub ALIASADMST {my$d=shift;return " adms_slist_push(&p->_aliasadmst,(p_adms)$d); ";}
+sub arrachage {my$ai=shift;return "if($ai->_preva) $ai->_preva->_nexta=$ai->_nexta,$ai->_preva=NULL; if($ai->_nexta) $ai->_nexta->_preva=$ai->_preva,$ai->_nexta=NULL;";}
+sub insertion {my$ai=shift;return "if(p->_a1==NULL) p->_a1=p->_an=$ai; else p->_an->_nexta=$ai,$ai->_preva=p->_an,p->_an=$ai;";}
+sub fixme {my$ai=shift;return &arrachage($ai).&insertion($ai);}
+sub ALLLASTPOS {my$ai=shift;return &fixme($ai)."$ai->_position=++p->_position;";}
+foreach (@Location03)
+{
+  if(/MK\w+\((\w+),(\w+)\)/) {$e=$1;$d=$2;}
+  if(/IFIDENT\((\w+)\)/)
+  {
+    $a=$1;
+    print admstpathYacc_y "static void location030$a\(p_ptraverse p,p_path mypath,p_admst dot)\n";
+    print admstpathYacc_y "{\n";
+    print admstpathYacc_y "  p_admst ai=aread(dot);\n";
+    print admstpathYacc_y "  admse e;\n";
+    print admstpathYacc_y "  if(ai)\n";
+    print admstpathYacc_y "    e=ai->_pseudo;\n";
+    print admstpathYacc_y "  else\n";
+    print admstpathYacc_y "  {\n";
+    print admstpathYacc_y "    p_admst d=adms_admst_newpa(ai,ai,NULL);".&ALLLASTPOS("d")." return;\n";
+    print admstpathYacc_y "  }\n";
+    print admstpathYacc_y "  if(0) {}\n";
+    if($a eq "dependency")
+    {
+      foreach(@MATHELEMENTS)
+      {
+        my($ealias,$e)=@{$_};
+        print admstpathYacc_y "  else if(e==admse_$ealias)\n";
+        print admstpathYacc_y "    e=admse_$e;\n";
+      }
+    }
+    foreach(@{$ALIAS{$a}})
+    {
+      my($ealias,$e)=@{$_};
+      print admstpathYacc_y "  else if(e==admse_$ealias)\n";
+      print admstpathYacc_y "    e=admse_$e;\n";
+    }
+    print admstpathYacc_y "  if(0) {}\n";
+    foreach(@{$ALIASBIS{$a}})
+    {
+      my($elt,$palias)=@{$_};
+      my at ealias=split "/",$palias;
+      print admstpathYacc_y "  else if(e==admse_$elt)\n";
+      print admstpathYacc_y "  {\n";
+      my$idx=0;
+      my$xdatatypename;
+      foreach(@ealias)
+      {
+        my$path=$_;
+        my$islast=scalar(@ealias)==$idx+1;
+        my$prevpath=$xdatatypename?$xdatatypename:$elt;
+        my$prevai=$xdatatypename?"d".($idx-1):"ai";
+        my$attr=$EH->{$prevpath}->findnodes("((uid/scalar)|(uid/list)|scalar|list)[\@name='$path']")->[0];
+        $xdatatypename=&xdatatypename($attr);
+        if(xsize($attr) eq "list")
+        {
+          print admstpathYacc_y "    /*AAAA0*/ p_slist l$idx=((p_$prevpath)$prevai->_item.p)->_$path;\n";
+          print admstpathYacc_y "    for(;l$idx;l$idx=l$idx->next)\n";
+          print admstpathYacc_y "    {\n";
+          print admstpathYacc_y "      p_admst d$idx=adms_admst_newpa($prevai,ai,l$idx->data);".&ALLLASTPOS("d$idx")."\n";
+          print admstpathYacc_y "    }\n";
+        }
+        else
+        {
+          print admstpathYacc_y "    /*BBBB0*/ ";
+          if($xdatatypename eq "basicenumeration")
+          {
+            print admstpathYacc_y "p_admst d$idx=adms_admst_newbe($prevai,ai,((p_$prevpath)$prevai->_item.p)->_$path);";
+          }
+          elsif($xdatatypename eq "basicinteger")
+          {
+            print admstpathYacc_y "p_admst d$idx=adms_admst_newbi($prevai,ai,((p_$prevpath)$prevai->_item.p)->_$path);";
+          }
+          elsif($xdatatypename eq "basicreal")
+          {
+            print admstpathYacc_y "p_admst d$idx=adms_admst_newbr($prevai,ai,((p_$prevpath)$prevai->_item.p)->_$path);";
+          }
+          elsif($xdatatypename eq "basicstring")
+          {
+            print admstpathYacc_y "p_admst d$idx=adms_admst_newbs($prevai,ai,((p_$prevpath)$prevai->_item.p)->_$path);";
+          }
+          else
+          {
+            print admstpathYacc_y "p_admst d$idx=adms_admst_newpa($prevai,ai,(p_adms)((p_$prevpath)$prevai->_item.p)->_$path);";
+          }
+          print admstpathYacc_y &ALIASADMST("d$idx") if not $islast;
+          print admstpathYacc_y &ALLLASTPOS("d$idx") if $islast;
+          print admstpathYacc_y "d$idx->_valueto=(void*)adms_${prevpath}_valueto_$path;\n";
+        }
+        $idx++;
+      }
+      print admstpathYacc_y "  }\n";
+    }
+  }
+  elsif(/MKlist/)
+  {
+    if($e eq "list" && $a eq "item") {$code="p_admst d=adms_admst_newpn(dot,dot,(p_admst)lii->data);";}
+    elsif($d eq "basicstring")       {$code="p_admst d=adms_admst_newbs(ai,ai,(char*)lii->data);";}
+    else                             {$code="p_admst d=adms_admst_newpa(ai,ai,lii->data);";}
+    print admstpathYacc_y 
+"  else if(e==admse_$e)
+  {
+    p_slist lii=((p_$e)ai->_item.p)->_$a;
+    for(;lii;lii=lii->next)
+    {
+      $code".&ALLLASTPOS("d")."
+    }
+  }
+";
+  }
+  elsif(/MKscalar/)
+  {
+    print admstpathYacc_y "  else if(e==admse_$e)\n  {\n";
+    if(/MKscalar\(attribute,adms\)/)
+    {
+      print admstpathYacc_y "    p_admst d=adms_admst_newpc(ai,ai,(p_attribute)ai->_item.p);";
+    }
+    elsif($d eq "sub")
+    {
+      print admstpathYacc_y "    p_admst d=adms_admst_newpp(ai,ai,admse_$a); d->_valueto=(void*)NULL;";
+    }
+    elsif($d eq "basicenumeration")
+    {
+      print admstpathYacc_y "    p_admst d=adms_admst_newbe(ai,ai,((p_$e)ai->_item.p)->_$a); d->_valueto=(void*)adms_${e}_valueto_$a;";
+    }
+    elsif($d eq "basicinteger")
+    {
+      print admstpathYacc_y "    p_admst d=adms_admst_newbi(ai,ai,((p_$e)ai->_item.p)->_$a); d->_valueto=(void*)adms_${e}_valueto_$a;";
+    }
+    elsif($d eq "basicreal")
+    {
+      print admstpathYacc_y "    p_admst d=adms_admst_newbr(ai,ai,((p_$e)ai->_item.p)->_$a); d->_valueto=(void*)adms_${e}_valueto_$a;";
+    }
+    elsif($d eq "basicstring")
+    {
+      print admstpathYacc_y "    p_admst d=adms_admst_newbs(ai,ai,((p_$e)ai->_item.p)->_$a); d->_valueto=(void*)adms_${e}_valueto_$a;";
+    }
+    else
+    {
+      print admstpathYacc_y "    p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_$e)(ai->_item.p))->_$a); d->_valueto=(void*)adms_${e}_valueto_$a;";
+    }
+    print admstpathYacc_y &ALLLASTPOS("d")."\n  }\n";
+  }
+  if(/ENDIFIDENT/)
+  {
+    print admstpathYacc_y "  else\n";
+    print admstpathYacc_y "  {\n";
+    print admstpathYacc_y "    ".&LN("pn","ai")."\n";
+    print admstpathYacc_y "    adms_message_error((\"%s: '$a' bad attribute\\n\",adms_transform_uid(p->_transform)))\n";
+    print admstpathYacc_y "  }\n";
+    print admstpathYacc_y "}\n";
+  }
+}
+print admstpathYacc_y "\n";
+foreach (@Location03)
+{
+  if(/MK\w+\((\w+),(\w+)\)/) {$e=$1;$d=$2;}
+  if(/IFIDENT\((\w+)\)/)
+  {
+    $a=$1;
+    print admstpathYacc_y "static void location031$a\(p_ptraverse p,p_path mypath,p_admst dot)\n";
+    print admstpathYacc_y "{\n";
+    print admstpathYacc_y "  p_admst ai=aread(dot);\n";
+    print admstpathYacc_y "  admse e;\n";
+    print admstpathYacc_y "  if(ai)\n";
+    print admstpathYacc_y "    e=ai->_pseudo;\n";
+    print admstpathYacc_y "  else\n";
+    print admstpathYacc_y "  {\n";
+    print admstpathYacc_y "    p_admst d=adms_admst_newpa(ai,ai,NULL);".&ALLLASTPOS("d")." return;\n";
+    print admstpathYacc_y "  }\n";
+    print admstpathYacc_y "  if(0) {}\n";
+    if($a eq "dependency")
+    {
+      foreach(@MATHELEMENTS)
+      {
+        my($ealias,$e)=@{$_};
+        print admstpathYacc_y "  else if(e==admse_$ealias)\n";
+        print admstpathYacc_y "    e=admse_$e;\n";
+      }
+    }
+    foreach(@{$ALIAS{$a}})
+    {
+      my($ealias,$e)=@{$_};
+      print admstpathYacc_y "  else if(e==admse_$ealias)\n";
+      print admstpathYacc_y "    e=admse_$e;\n";
+    }
+    print admstpathYacc_y "  if(0) {}\n";
+    foreach(@{$ALIASBIS{$a}})
+    {
+      my($elt,$palias)=@{$_};
+      my at ealias=split "/",$palias;
+      print admstpathYacc_y "  else if(e==admse_$elt)\n";
+      print admstpathYacc_y "  {\n";
+      my$idx=0;
+      my$xdatatypename;
+      foreach(@ealias)
+      {
+        my$path=$_;
+        my$islast=scalar(@ealias)==$idx+1;
+        my$prevpath=$xdatatypename?$xdatatypename:$elt;
+        my$prevai=$xdatatypename?"d".($idx-1):"ai";
+        my$attr=$EH->{$prevpath}->findnodes("((uid/scalar)|(uid/list)|scalar|list)[\@name='$path']")->[0];
+        $xdatatypename=&xdatatypename($attr);
+        my$field;
+        my$cast;
+        if($xdatatypename eq "basicenumeration")
+        {$field="i";$cast="";}
+        elsif($xdatatypename eq "basicinteger")
+        {$field="i";$cast="";}
+        elsif($xdatatypename eq "basicreal")
+        {$field="r";$cast="";}
+        elsif($xdatatypename eq "basicstring")
+        {$field="s";$cast="";}
+        else
+        {$field="p";$cast="(p_adms)";}
+        if(xsize($attr) eq "list")
+        {
+          die if not $islast;
+          print admstpathYacc_y "    /*AAAA1*/ p_admst d$idx=adms_admst_newla($prevai,ai,((p_$prevpath)$prevai->_item.p)->_$path);";
+        }
+        else
+        {
+          print admstpathYacc_y "    /*BBBB1*/ ";
+          if($xdatatypename eq "basicenumeration")
+          {
+            print admstpathYacc_y "p_admst d$idx=adms_admst_newbe($prevai,ai,((p_$prevpath)$prevai->_item.p)->_$path);";
+          }
+          elsif($xdatatypename eq "basicinteger")
+          {
+            print admstpathYacc_y "p_admst d$idx=adms_admst_newbi($prevai,ai,((p_$prevpath)$prevai->_item.p)->_$path);";
+          }
+          elsif($xdatatypename eq "basicreal")
+          {
+            print admstpathYacc_y "p_admst d$idx=adms_admst_newbr($prevai,ai,((p_$prevpath)$prevai->_item.p)->_$path);";
+          }
+          elsif($xdatatypename eq "basicstring")
+          {
+            print admstpathYacc_y "p_admst d$idx=adms_admst_newbs($prevai,ai,((p_$prevpath)$prevai->_item.p)->_$path);";
+          }
+          else
+          {
+            print admstpathYacc_y "p_admst d$idx=adms_admst_newpa($prevai,ai,(p_adms)((p_$prevpath)$prevai->_item.p)->_$path);";
+          }
+        }
+        print admstpathYacc_y &ALIASADMST("d$idx") if not $islast;
+        print admstpathYacc_y &ALLLASTPOS("d$idx") if $islast;
+        print admstpathYacc_y "d$idx->_valueto=(void*)adms_${prevpath}_valueto_$path;\n";
+        $idx++;
+      }
+      print admstpathYacc_y "  }\n";
+    }
+  }
+  if(/MKlist/)
+  {
+    if($e eq "list" && $a eq "item")
+    {
+      print admstpathYacc_y "  else if(e==admse_$e)\n  {\n    p_admst d=adms_admst_newln(ai,ai,((p_$e)ai->_item.p)->_$a); d->_valueto=(void*)adms_${e}_valueto_$a;".&ALLLASTPOS("d")."\n  }\n";
+    }
+    else
+    {
+      print admstpathYacc_y "  else if(e==admse_$e)\n  {\n    p_admst d=adms_admst_newla(ai,ai,(p_slist)(((p_$e)ai->_item.p)->_$a)); d->_valueto=(void*)adms_${e}_valueto_$a;".&ALLLASTPOS("d")."\n  }\n";
+    }
+  }
+  elsif(/MKscalar/)
+  {
+    print admstpathYacc_y "  else if(e==admse_$e)\n  {\n    ";
+    if(/MKscalar\(attribute,adms\)/)
+    {
+      print admstpathYacc_y "p_admst d=adms_admst_newpc(ai,ai,(p_attribute)ai->_item.p);";
+    }
+    elsif($d eq "sub")
+    {
+      print admstpathYacc_y "p_admst d=adms_admst_newpp(ai,ai,admse_$a); d->_valueto=(void*)NULL;";
+    }
+    elsif($d eq "basicenumeration")
+    {
+      print admstpathYacc_y "p_admst d=adms_admst_newbe(ai,ai,((p_$e)ai->_item.p)->_$a); d->_valueto=(void*)adms_${e}_valueto_$a;";
+    }
+    elsif($d eq "basicinteger")
+    {
+      print admstpathYacc_y "p_admst d=adms_admst_newbi(ai,ai,((p_$e)ai->_item.p)->_$a); d->_valueto=(void*)adms_${e}_valueto_$a;";
+    }
+    elsif($d eq "basicreal")
+    {
+      print admstpathYacc_y "p_admst d=adms_admst_newbr(ai,ai,((p_$e)ai->_item.p)->_$a); d->_valueto=(void*)adms_${e}_valueto_$a;";
+    }
+    elsif($d eq "basicstring")
+    {
+      print admstpathYacc_y "p_admst d=adms_admst_newbs(ai,ai,((p_$e)ai->_item.p)->_$a); d->_valueto=(void*)adms_${e}_valueto_$a;";
+    }
+    else
+    {
+      print admstpathYacc_y "p_admst d=adms_admst_newpa(ai,ai,(p_adms)((p_$e)ai->_item.p)->_$a); d->_valueto=(void*)adms_${e}_valueto_$a;";
+    }
+    print admstpathYacc_y &ALLLASTPOS("d")."\n  }\n";
+  }
+  if(/ENDIFIDENT/)
+  {
+    print admstpathYacc_y "  else\n";
+    print admstpathYacc_y "  {\n";
+    print admstpathYacc_y "    ".&LN("pn","ai")."\n";
+    print admstpathYacc_y "    adms_message_error((\"%s: '$a' bad attribute\\n\",adms_transform_uid(p->_transform)))\n";
+    print admstpathYacc_y "  }\n";
+    print admstpathYacc_y "}\n";
+  }
+}
+print admstpathYacc_y "\n";
+my at Locationalias03;
+foreach(@$EA)
+{
+  foreach($_->findnodes("alias"))
+  {
+    push @Locationalias03, &xname($_);
+  }
+  push @Locationalias03,xname(&eparent($_)) if &eparent($_);
+  next if (not vla(xname($_))) && xname($_) ne "adms";
+  foreach(&attr($_))
+  {
+    push @Locationalias03, &xname($_);
+  }
+}
+sub uniq
+{
+  my at array=@_;
+  my%seen;
+  my at new;
+  map{push @new, $_ if not $seen{$_};$seen{$_}=1;} @array;
+  return @new;
+}
+ at Locationalias03=&uniq(@Locationalias03);
+
+print admstpathYacc_y "
+static void groupage (void) {}
+static void location04 (void) {}
+static void location05 (void) {}
+";
+print admstpathYacc_y "
+p_slist globalfileoutputlist=NULL;
+int break_found=0;
+
+FILE *OUT (void)
+{
+  return globalfileoutputlist?(FILE*)globalfileoutputlist->data:stdout;
+}
+p_transform lookfortemplates(p_transform mytransform,const char*match)
+{
+  p_slist li;
+  p_transform parent=root()->_itransform?((p_itransform)root()->_itransform->data)->_originalcall:mytransform;
+  while(parent)
+  {
+    p_slist l;
+    for(l=parent->_templates;l;l=l->next)
+      if(!strcmp(((p_admst)((p_transform)l->data)->_textmatch->_token->data)->_item.s,match))
+        return (p_transform)l->data;
+    parent=parent->_parent;
+  }
+  for(li=root()->_invtransform;li;li=li->next)
+  {
+    p_slist l;
+    parent=(p_transform)li->data;
+    for(l=parent->_templates;l;l=l->next)
+      if(!strcmp(((p_admst)((p_transform)l->data)->_textmatch->_token->data)->_item.s,match))
+        return (p_transform)l->data;
+  }
+  parent=mytransform;
+  while(parent)
+  {
+    p_slist l;
+    for(l=parent->_templates;l;l=l->next)
+      if(!strcmp(((p_admst)((p_transform)l->data)->_textmatch->_token->data)->_item.s,match))
+        return (p_transform)l->data;
+    parent=parent->_parent;
+  }
+  return NULL;
+}
+static void location02 (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_itransform myitransform=adms_itransform_new(mypath->_template);
+  p_ptraverse p0=bar(dot,(p_path)mypath->_u->data);
+  p_slist myl0=p0->_admst;
+  myitransform->_originalcall=mypath->_transform;
+  adms_slist_push(&root()->_itransform,(p_adms)myitransform);
+  for(;myl0;myl0=myl0->next)
+  {
+    if(myl0->data&&((p_admst)myl0->data)->_item.p)
+    {
+      p_slist l;
+      xtraverse(myitransform->_template->_children,(p_admst)myl0->data,dot);
+      for(l=myitransform->_variable;l;l=l->next)
+      {
+        p_slist li;
+        for(li=((p_admstvariable)l->data)->_value;li;li=li->next)
+          deref((p_admst)li->data);
+        adms_admstvariable_free((p_admstvariable)l->data);
+      }
+    }
+  }
+  adms_slist_pull(&root()->_itransform);
+  free_ptraverse(p0);
+  for(myl0=myitransform->_return;myl0;myl0=myl0->next)
+  {
+    ".&ALLLASTPOS("((p_admst)myl0->data)")."
+  }
+  adms_itransform_free(myitransform);
+}
+void Xassert (p_transform mytransform,p_admst dot,p_admst dotdot)
+{
+  if(!mytransform->_textformat)
+  {
+    adms_message_fatal_continue((\"assert failed\\n\"))
+    adms_message_fatal((\"see %s\\n\",adms_transform_uid(mytransform)))
+  }
+  else if(mytransform->_pathselect)
+  {
+    p_ptraverse pselect=bar(dot,mytransform->_pathselect);
+    p_slist myli;
+    for(myli=pselect->_admst;myli;myli=myli->next)
+    {
+      char* text=tsprintf((p_admst)myli->data,mytransform->_textformat);
+      adms_message_fatal_continue((\"%s\",text))
+      free(text);
+    }
+    adms_message_fatal((\"see %s\\n\",adms_transform_uid(mytransform)))
+    free_ptraverse(pselect);
+  }
+  else
+  {
+    char* text=tsprintf(dot,mytransform->_textformat);
+    adms_message_fatal_continue((\"%s\",text))
+    adms_message_fatal((\"see %s\\n\",adms_transform_uid(mytransform)))
+    free(text);
+  }
+}
+typedef void (*p_transform_evaluate) (p_transform mytransform,p_admst dot);
+void xtraverse (p_slist mytransforms,p_admst dot,p_admst dotdot)
+{
+  p_slist l;
+  for(l=mytransforms;l;l=l->next)
+  {
+    int mypassed=1;
+    p_transform mytransform=(p_transform)l->data;
+    if(mytransform->_pathtest)
+    {
+      p_ptraverse myptraverse=bar(dot,mytransform->_pathtest);
+      if(mytransform->_callback==(void*)Xassert)
+        mypassed=!myptraverse->_admst;
+      else
+      {
+        p_admst myadmst0;
+        mypassed=(myptraverse->_admst&&(myadmst0=aread((p_admst)myptraverse->_admst->data))&&(myadmst0->_pseudo!=admse_empty));
+      }
+      free_ptraverse(myptraverse);
+    }
+    if(mypassed)
+      ((p_transform_evaluate)mytransform->_callback)(mytransform,dot);
+    if(break_found==1)
+      break;
+  }
+}
+
+static void root00 (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst d=adms_admst_newpa(dot,dot,(p_adms)root());".&ALLLASTPOS("d")."
+}
+void location03 (void) {}
+
 /*[nepasimprimer]*/
+static void adms_fatal_on_obsolete_syntax(p_transform mytransform,p_admst myadmst)
+{
+  if(myadmst&&
+    ((myadmst->_pseudo==admse_datatypename)
+     ||(myadmst->_pseudo==admse_empty)
+     ||(myadmst->_pseudo==admse_basicenumeration)
+     ||(myadmst->_pseudo==admse_basicinteger)
+     ||(myadmst->_pseudo==admse_basicreal)
+     ||(myadmst->_pseudo==admse_basicstring)))
+    return;
+  if(myadmst&&(myadmst->_pseudo==admse_expression))
+  {
+    adms_message_warning_continue((\"[removed feature] admst:value-of 'expression'\\n\"))
+    adms_message_warning_continue((\"[removed feature] Please use admst:apply-templates\\n\"))
+    adms_message_warning_continue((\"[removed feature] see: %s\\n\",adms_transform_uid(mytransform)))
+    adms_message_warning((\"[removed feature] For help contact me at r29173\@users.sourceforge.net\\n\"))
+  }
+  else if(myadmst->_pseudo==admse__arguments)
+  {
+    adms_message_warning_continue((\"[removed feature] admst:value-of 'arguments'\\n\"))
+    adms_message_warning_continue((\"[removed feature] Please use admst:apply-templates\\n\"))
+    adms_message_warning_continue((\"[removed feature] see: %s\\n\",adms_transform_uid(mytransform)))
+    adms_message_warning((\"[removed feature] For help contact me at r29173\@users.sourceforge.net\\n\"))
+  }
+}
+p_admst adms_pull_admst (p_transform mytransform)
+{
+  if(root()->_valueof)
+  {
+    adms_slist_pull(&root()->_valueof); /*pull transform*/
+    return (p_admst)adms_slist_pull(&root()->_valueof);
+  }
+  adms_message_fatal_continue((\"stack '%%s' has no more element!\\n\"))
+  adms_message_fatal((\"see %s\",adms_transform_uid(mytransform)))
+  return NULL;
+}
+p_admstvariable lookup_dollar (const char* myid)
+{
+  p_itransform myitransform=root()->_itransform?(p_itransform)root()->_itransform->data:NULL;
+  p_slist list;
+  if(myitransform)
+  {
+    list=myitransform->_variable;
+    while(list)
+    {
+      if(!strcmp(((p_admstvariable)list->data)->_name,myid))
+        return (p_admstvariable)list->data;
+      list=list->next;
+    }
+  }
+  list=root()->_variable;
+  while(list)
+  {
+    if(!strcmp(((p_admstvariable)list->data)->_name,myid))
+      return (p_admstvariable)list->data;
+    list=list->next;
+  }
+  return NULL;
+}
+char* tsprintf (p_kadmst myadmst, p_ktext mytext)
+{
+  char* myvalue=myadmst?adms_kclone(\"\"):((p_admst)mytext->_token->data)->_item.s;
+  p_slist myl;
+  for(myl=((myadmst&&mytext)?mytext->_token:NULL);myl;myl=myl->next)
+  {
+    p_adms token=myl->data;
+    if(token->_datatypename==admse_text)
+    {
+      if(((p_text)token)->_admse==admse__s)
+      {
+        p_admst mystack=adms_pull_admst(mytext->_transform);
+        if(mystack)
+        {
+          char* mynewvalue=aprintf(mytext->_transform,mystack);
+          if(mynewvalue)
+          {
+            adms_fatal_on_obsolete_syntax(mytext->_transform,mystack);
+            adms_k2strconcat(&myvalue,mynewvalue);
+            free(mynewvalue);
+          }
+          deref(mystack);
+        }
+      }
+      else
+      {
+        char* dollarname=tsprintf(myadmst,(p_text)token);
+        p_admstvariable dollar=lookup_dollar(dollarname);
+        if(dollar)
+        {
+          p_slist myl2=dollar->_value;
+          for(;myl2;myl2=myl2->next)
+          {
+            char* val=aprintf(mytext->_transform,(p_admst)myl2->data);
+            if(val)
+            {
+              adms_k2strconcat(&myvalue,val);
+              free(val);
+            }
+          }
+        }
+        else
+        {
+          adms_message_obsolete_continue((\"variable \$(%s) is undefined\\n\",dollarname))
+          adms_message_obsolete((\"Check if you really wanted to access an variable. If not replace '\$' by '\$'\\n\"))
+          adms_message_obsolete((\"see %s\\n\",adms_transform_uid(mytext->_transform)))
+          adms_k2strconcat(&myvalue,\"\$\");
+          adms_k2strconcat(&myvalue,dollarname);
+        }
+        free(dollarname);
+      }
+    }
+    else if(token->_datatypename==admse_admst)
+      adms_k2strconcat(&myvalue,((p_admst)token)->_item.s);
+    else if(token->_datatypename==admse_path)
+    {
+      p_ptraverse myptraverse=bar(myadmst,(p_path)token);
+      p_slist myl;
+      for(myl=myptraverse->_admst;myl;myl=myl->next)
+      {
+        char* mynewvalue=aprintf(((p_path)token)->_transform,(p_admst)myl->data);
+        if(mynewvalue)
+          adms_k2strconcat(&myvalue,mynewvalue);
+        free(mynewvalue);
+      }
+      free_ptraverse(myptraverse);
+    }
+  }
+  return myvalue;
+}
+void tprintf (p_kadmst myadmst, p_ktext mytext)
+{
+  p_slist myl;
+  for(myl=mytext->_token;myl;myl=myl->next)
+  {
+    p_adms token=myl->data;
+    if(token->_datatypename==admse_text)
+    {
+      if(((p_text)token)->_admse==admse__s)
+      {
+        p_admst mystack=adms_pull_admst(mytext->_transform);
+        if(mystack)
+        {
+          char* mynewvalue=aprintf(mytext->_transform,mystack);
+          if(mynewvalue)
+          {
+            adms_fatal_on_obsolete_syntax(mytext->_transform,mystack);
+            fputs(mynewvalue,OUT());
+            free(mynewvalue);
+          }
+          deref(mystack);
+        }
+      }
+      else
+      {
+        char* dollarname=tsprintf(myadmst,(p_text)token);
+        p_admstvariable dollar=lookup_dollar(dollarname);
+        if(dollar)
+        {
+          p_slist myl2=dollar->_value;
+          for(;myl2;myl2=myl2->next)
+          {
+            char* val=aprintf(mytext->_transform,(p_admst)myl2->data);
+            if(val)
+            {
+              fputs(val,OUT());
+              free(val);
+            }
+          }
+        }
+        else
+        {
+          adms_message_obsolete_continue((\"variable \$(%s) is undefined\\n\",dollarname))
+          adms_message_obsolete((\"Check if you really wanted to access an variable. If not replace '\$' by '\$'\\n\"))
+          adms_message_obsolete((\"see %s\\n\",adms_transform_uid(mytext->_transform)))
+          fputs(\"\$\",OUT());
+          fputs(dollarname,OUT());
+        }
+        free(dollarname);
+      }
+    }
+    else if(token->_datatypename==admse_admst)
+      fputs(((p_admst)token)->_item.s,OUT());
+    else if(token->_datatypename==admse_path)
+    {
+      p_ptraverse myptraverse=bar(myadmst,(p_path)token);
+      p_slist myl;
+      for(myl=myptraverse->_admst;myl;myl=myl->next)
+      {
+        char* mynewvalue=aprintf(((p_path)token)->_transform,(p_admst)myl->data);
+        if(mynewvalue)
+          fputs(mynewvalue,OUT());
+        free(mynewvalue);
+      }
+      free_ptraverse(myptraverse);
+    }
+  }
+}
+static void location26 (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  tprintf(dot,mypath->_text);
+}
+static int retdatatypename(p_admst myadmst)
+{
+  if(
+      (myadmst->_pseudo==admse_empty)
+      ||
+      (myadmst->_pseudo==admse_basicenumeration)
+      ||
+      (myadmst->_pseudo==admse_basicinteger)
+      ||
+      (myadmst->_pseudo==admse_basicreal)
+      ||
+      (myadmst->_pseudo==admse_basicstring)
+      ||
+      (myadmst->_pseudo==admse__ladms)
+      ||
+      (myadmst->_pseudo==admse__ladmst)
+      ||
+      (myadmst->_pseudo==admse_datatypename)
+    )
+    return myadmst->_pseudo;
+  else if(myadmst->_pseudo==admse__croix)
+    return ((p_attribute)myadmst->_item.p)->_value?((p_admst)((p_attribute)myadmst->_item.p)->_value)->_pseudo:admse_empty;
+  else
+    return myadmst->_item.p->_datatypename;
+}
+static p_attribute retcroixcroix (p_admst myadmst,p_path mypath)
+{
+  char*myname=tsprintf(myadmst,mypath->_text);
+  p_attribute myattribute=adms_attribute_new(myname);
+  free(myname);
+  return myattribute;
+}
+static p_attribute retcroix (p_admst myadmst,p_path mypath)
+{
+  p_attribute myattribute=NULL;
+  char*myname=tsprintf(myadmst,mypath->_text);
+  p_slist list;
+  p_adms item=myadmst->_item.p;
+  if(
+      (myadmst->_pseudo==admse_basicenumeration)
+      ||
+      (myadmst->_pseudo==admse_basicinteger)
+      ||
+      (myadmst->_pseudo==admse_basicreal)
+      ||
+      (myadmst->_pseudo==admse_basicstring)
+      ||
+      (myadmst->_pseudo==admse_datatypename)
+    )
+  {
+    adms_message_fatal_continue((\"operator '#' applied to element '%s' - not supported!\\n\",ns_etostr(myadmst->_pseudo)))
+    adms_message_fatal((\"see %s\\n\",adms_transform_uid(mypath->_transform)))
+  }
+  list=item?item->_attribute:NULL;
+  for(;list&&!myattribute;list=list->next)
+    if(!strcmp(((p_attribute)list->data)->_name,myname))
+      myattribute=(p_attribute)list->data;
+  if(!myattribute)
+  {
+    myattribute=adms_attribute_new(myname);
+    adms_slist_push(&item->_attribute,(p_adms)myattribute);
+  }
+  free(myname);
+  return myattribute;
+}
+static p_slist retcroixstar (p_admst myadmst)
+{
+  return myadmst->_item.p?myadmst->_item.p->_attribute:NULL;
+}
+static int adms_slist_compare (p_admst myadmst0,p_admst myadmst1)
+{
+  admse mytype0=myadmst0->_pseudo;
+  admse mytype1=myadmst1->_pseudo;
+  if((mytype0==admse_empty)&&(mytype1==admse_empty))
+    return 0;
+  else if(mytype0==admse_empty)
+    return -1;
+  else if(mytype1==admse_empty)
+    return +1;
+  else if((mytype0==admse_basicinteger)&&(mytype1==admse_basicinteger))
+    return (myadmst0->_item.i-myadmst1->_item.i);
+  else
+  {
+    char* uid1=aprintf(NULL,myadmst0);
+    char* uid2=aprintf(NULL,myadmst1);
+    int res=strcmp(uid1,uid2);
+    free(uid1);
+    free(uid2);
+    return res;
+  }
+}
+static p_slist adms_slist_sort_merge (p_slist myl0, p_slist myl1)
+{
+  t_slist list;
+  p_slist myli=&list;
+  while(myl0&&myl1)
+  {
+    if(adms_slist_compare((p_admst)myl0->data,(p_admst)myl1->data)<0)
+    {
+      myli=myli->next=myl0;
+      myl0=myl0->next;
+    } 
+    else 
+    {
+      myli=myli->next=myl1;
+      myl1=myl1->next;
+    }
+  }
+  myli->next=myl0?myl0:myl1;
+  return list.next;
+}
+static p_slist adms_slist_sort (p_slist list)
+{
+  p_slist myl0, myl1;
+  if(!list) 
+    return NULL;
+  if(!list->next) 
+    return list;
+  myl0=list; 
+  myl1=list->next;
+  while((myl1=myl1->next)!=NULL)
+  {
+    if((myl1=myl1->next)==NULL) 
+      break;
+    myl0=myl0->next;
+  }
+  myl1=myl0->next; 
+  myl0->next=NULL;
+  return adms_slist_sort_merge(adms_slist_sort(list),adms_slist_sort(myl1));
+}
+";
+sub L0
+{
+  my($desc,$id,$ddata)=(shift,shift,shift);
+  return "/*$desc*/
+static void location$id (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  $ddata
+}\n";
+}
+#print admstpathYacc_y &L0("returned",15,"));
+print admstpathYacc_y "/*returned*/\nstatic void location15 (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_slist l;
+  p_admst d=NULL;
+  char* myname=tsprintf(dot,mypath->_text);
+  p_itransform myitransform;
+  if(!root()->_itransforminsideapplytemplate)
+  {
+    adms_message_fatal_continue((\"return('%s') allowed only inside admst:apply-templates\\n\",myname))
+    adms_message_fatal((\"see %s\\n\",adms_transform_uid(mypath->_transform)))
+  }
+  myitransform=(p_itransform)root()->_itransforminsideapplytemplate->data;
+  for(l=myitransform->_return;l&&!d;l=l->next)
+    if(!strcmp(((p_return)((p_admst)l->data)->_item.p)->_name,myname))
+      d=(p_admst)l->data;
+  if(d==NULL)
+  {
+    adms_message_fatal_continue((\"returned('%s'): undefined returned value\\n\",myname))
+    adms_message_fatal((\"see %s\\n\",adms_transform_uid(mypath->_transform)))
+  }
+  free(myname);
+  if(d->_refd) d->_refd++;".&ALLLASTPOS("d")."
+}
+";
+print admstpathYacc_y "/*\"\%p\"*/\nstatic void location200 (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst d=adms_pull_admst(mypath->_transform);".&ALLLASTPOS("d")."
+}
+";
+print admstpathYacc_y "/*'k'*/\nstatic void location09k (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  ((p_admst)mypath->_text->_token->data)->_previouspath=dot;
+  ".&ALLLASTPOS("((p_admst)mypath->_text->_token->data)")."
+}
+";
+print admstpathYacc_y "/*int*/\nstatic void location10 (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  ((p_admst)mypath->_text->_token->data)->_previouspath=dot;
+  ".&ALLLASTPOS("((p_admst)mypath->_text->_token->data)")."
+}
+";
+sub L1
+{
+  my($desc,$id,$type,$rhs)=@_;
+  return "/*$desc*/
+static void location$id (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst d=adms_admst_new$type(dot,dot,$rhs);".&ALLLASTPOS("d")."
+}\n";
+}
+print admstpathYacc_y "
+static p_admstvariable retaroba (p_admst myadmst,p_path mypath)
+{
+  char* myid=tsprintf(myadmst,mypath->_text);
+  p_admstvariable mydollar;
+  p_slist list;
+  p_adms item=myadmst->_item.p;
+  list=item->_variable;
+  while(list)
+  {
+    if(!strcmp(((p_admstvariable)list->data)->_name,myid))
+    {
+      free(myid);
+      return (p_admstvariable)list->data;
+    }
+    list=list->next;
+  }
+  mydollar=adms_admstvariable_new(myid);
+  adms_slist_push(&item->_variable,(p_adms)mydollar);
+  free(myid);
+  return mydollar;
+}
+static p_admstvariable retdollar (p_admst myadmst,p_path mypath)
+{
+  char* id=tsprintf(aread(myadmst),mypath->_text);
+  p_admstvariable dollar=lookup_dollar(id);
+  if(!dollar)
+    adms_message_fatal((\"variable \$(%s) is undefined! see %s\\n\",id,adms_transform_uid(mypath->_transform)))
+  free(id);
+  return dollar;
+}
+static int retcount (p_admst myadmst,p_path mypath)
+{
+  p_ptraverse p0=bar(myadmst,(p_path)mypath->_u->data);
+  int count=p0->_admst?((p_admst)p0->_admst->data)->_count:0;
+  free_ptraverse(p0);
+  return count;
+}\n";
+print admstpathYacc_y &L1("datatypename","03datatypename","bd","retdatatypename(dot)");
+print admstpathYacc_y &L1("ident","03dummy","bi","0");
+print admstpathYacc_y &L1("real","07","br","adms_strtod(mypath->_transform,tsprintf(NULL,mypath->_text))");
+print admstpathYacc_y &L1("position",18,"bi","(dot)->_position");
+print admstpathYacc_y &L1("count",27,"bi","retcount(dot,mypath)");
+print admstpathYacc_y &L1("''","09","ns","tsprintf(dot,mypath->_text)");
+print admstpathYacc_y &L1("##",12,"pa","(p_adms)retcroixcroix(dot,mypath)");
+print admstpathYacc_y &L1("#",13,"pc","retcroix(dot,mypath)");
+print admstpathYacc_y &L1("admst",14,"pa","(p_adms)dot");
+print admstpathYacc_y &L1("\$var","041","pd","retdollar(dot,mypath)");
+print admstpathYacc_y &L1("\@var","051","pd","retaroba(dot,mypath)");
+print admstpathYacc_y "/*index*/
+static int retindex (p_slist mylii,p_ptraverse p0)
+{
+  p_admst myadmst1=(p_admst)mylii->data;
+  p_adms myadms1=myadmst1->_item.p;
+  admse mytype1=myadmst1->_pseudo;
+  p_cmp mycmp=adms_admsxml_cmp(myadmst1);
+  p_slist myl0;
+  int myindex=-1;
+  int found=0;
+  for(myl0=p0->_admst;myl0&&!found;myl0=myl0->next)
+  {
+    p_admst myadmst0=(p_admst)myl0->data;
+    p_adms myadms0=myadmst0->_item.p;
+    admse mytype0=myadmst0->_pseudo;
+    found=(mytype0==mytype1)&&(!mycmp(myadms0,myadms1));
+    myindex++;
+  }
+  if(found)
+    return myindex;
+  else
+    return minusone;
+}
+static void location19 (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_ptraverse p0=bar(dot,(p_path)mypath->_u->data);
+  p_ptraverse p1=bar(dot,(p_path)mypath->_u->next->data);
+  p_slist l1=p1->_admst;
+  for(;l1;l1=l1->next)
+  {
+    p_admst d=adms_admst_newbi(dot,dot,retindex(l1,p0));".&ALLLASTPOS("d")."
+  }
+  free_ptraverse(p0); free_ptraverse(p1);
+}\n";
+sub L2
+{
+  my($desc,$id,$type,$rhs)=@_;
+  return "/*$desc*/
+static void location$id (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_ptraverse p0=bar(dot,(p_path)mypath->_u->data);
+  p_slist l0=p0->_admst;
+  for(;l0;l0=l0->next)
+  {
+    p_admst d=adms_admst_new$type(dot,dot,$rhs);".&ALLLASTPOS("d")."
+  }
+  free_ptraverse(p0);
+}\n";
+}
+print admstpathYacc_y "
+static char* retaprintf (p_path mypath,p_slist myl0)
+{
+  return aprintf(mypath->_transform,(p_admst)myl0->data);
+}
+static char* retpointer (p_slist myl0)
+{
+  char* mystring=malloc(sizeof(char)*50);
+  sprintf(mystring,\"%p\",((p_admst)myl0->data)->_item.p);
+  return mystring;
+}
+static char* retuc (p_path mypath,p_slist myl0)
+{
+  char* mystring=aprintf(mypath->_transform,(p_admst)myl0->data);
+  adms_toupper(mystring);
+  return mystring;
+}
+static char* retlc (p_path mypath,p_slist myl0)
+{
+  char* mystring=aprintf(mypath->_transform,(p_admst)myl0->data);
+  adms_tolower(mystring);
+  return mystring;
+}\n";
+print admstpathYacc_y &L2("uid",20,"ns","retaprintf(mypath,l0)");
+print admstpathYacc_y &L2("id ",21,"ns","retpointer(l0)");
+print admstpathYacc_y &L2("uc",24,"ns","retuc(mypath,l0)");
+print admstpathYacc_y &L2("lc",25,"ns","retlc(mypath,l0)");
+sub L3
+{
+  my($desc,$id,$func,$type,$rhs)=@_;
+  return "/*$desc*/
+static void location$id (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_ptraverse p0=bar(dot,(p_path)mypath->_u->data);
+  p_slist lii=$func(p0->_admst);
+  p0->_admst=lii;
+  for(;lii;lii=lii->next)
+  {
+    p_admst d=adms_admst_new$type(dot,dot,$rhs);".&ALLLASTPOS("d")."
+  }
+  free_ptraverse(p0);
+}\n";
+}
+print admstpathYacc_y &L3("sort",22,"adms_slist_sort","pn","(p_admst)lii->data");
+print admstpathYacc_y &L3("reverse",23,"adms_slist_reverse","pn","(p_admst)lii->data");
+sub L4
+{
+  my($desc,$id,$dii,$type,$rhs)=@_;
+  return "/*$desc*/
+static void location$id (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_slist lii=$dii;
+  for(;lii;lii=lii->next)
+  {
+    p_admst d=adms_admst_new$type(dot,dot,$rhs);".&ALLLASTPOS("d")."
+  }
+}\n";
+}
+print admstpathYacc_y &L4("#*",130,"retcroixstar(dot)","pc","(p_attribute)lii->data");
+print admstpathYacc_y &L4("\$var","040","retdollar(dot,mypath)->_value","pn","(p_admst)lii->data");
+print admstpathYacc_y &L4("\@var","050","retaroba(dot,mypath)->_value","pn","(p_admst)lii->data");
+
+print admstpathYacc_y "
+static void attributecallback (p_path mypath0,p_slist npaths,p_slist npath)
+{
+  p_path mypath=(p_path)npath->data;
+  mypath->_keeplist=(mypath0->_keeplist&&(npath->next==NULL)&&(mypath->_c==NULL))||mypath->_keeplist;
+  if(mypath->_callback==(void*)location04)
+  {
+    mypath->_callback=(mypath->_keeplist)?(void*)location041:(void*)location040;
+    mypath->_callbackname=(mypath->_keeplist)?\"location041\":\"location040\";
+  }
+  else if(mypath->_callback==(void*)location05)
+  {
+    mypath->_callback=(mypath->_keeplist)?(void*)location051:(void*)location050;
+    mypath->_callbackname=(mypath->_keeplist)?\"location051\":\"location050\";
+  }
+  else if(mypath->_callback==(void*)location03)
+  {
+    if(0) {}\n";
+foreach (@Locationalias03)
+{
+  print admstpathYacc_y "    else if(mypath->_text->_admse==admse_$_)\n";
+  print admstpathYacc_y "    {\n";
+  if($_ eq "datatypename")
+  {
+    print admstpathYacc_y "      mypath->_callback=(void*)location03datatypename;\n";
+    print admstpathYacc_y "      mypath->_callbackname=\"location03datatypename.$_\";\n";
+  }
+  else
+  {
+    print admstpathYacc_y "      mypath->_callback=(mypath->_keeplist)?(void*)location031$_:(void*)location030$_;\n";
+    print admstpathYacc_y "      mypath->_callbackname=(mypath->_keeplist)?\"location031$_\":\"location030$_\";\n";
+  }
+  print admstpathYacc_y "    }\n";
+}
+print admstpathYacc_y '    else
+    {
+      adms_message_fatal_continue(("element or attribute \'%s\' does not exist\\n",mypath->_text->_value))
+      adms_message_fatal(("see %s\\n",adms_transform_uid(mypath->_transform)))
+    }
+  }
+}
+static void postpath (p_path mypath)
+{
+  p_slist l;
+  if(mypath->_callback==(void*)location02)
+  {
+    const char* match=((p_admst)mypath->_text->_token->data)->_item.s;
+    if(!(mypath->_template=lookfortemplates(mypath->_transform,match)))
+    {
+      adms_message_fatal_continue(("Template not found: %s\\n",match))
+      adms_message_fatal(("  see:  %s\\n",adms_transform_uid(mypath->_transform)))
+    }
+  }
+  for(l=mypath->_bar;l;l=l->next)
+  {
+    p_slist paths=(p_slist)l->data;
+    for(;paths;paths=paths->next)
+    {
+      postpath((p_path)paths->data);
+      attributecallback(mypath,l,paths);
+    }
+  }
+  for(l=mypath->_arg;l;l=l->next)
+  {
+    p_slist paths=(p_slist)l->data;
+    for(;paths;paths=paths->next)
+    {
+      postpath((p_path)paths->data);
+      attributecallback(mypath,l,paths);
+    }
+  }
+  for(l=mypath->_u;l;l=l->next)
+    postpath((p_path)l->data);
+  for(l=mypath->_b;l;l=l->next)
+    postpath((p_path)l->data);
+  for(l=mypath->_c;l;l=l->next)
+    postpath((p_path)l->data);
+  if(mypath->_text)
+    posttext(mypath->_text);
+}
+';
+print admstpathYacc_y "
+static void location00 (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst d=adms_admst_newpn(dot->_previous,dot->_previouspath,dot);
+  d->_position=dot->_position;
+  d->_count=dot->_count;
+  ".&fixme("d").";
+}
+static void location01 (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_admst d=adms_admst_newpn(dot->_previouspath->_previous,dot->_previouspath->_previouspath,dot->_previouspath);
+  d->_position=dot->_previouspath->_position;
+  d->_count=dot->_previouspath->_count;
+  ".&fixme("d").";
+}
+typedef p_slist (*_p_cblocation) (p_ptraverse p,p_path mypath,p_admst dot);
+typedef int (*_p_cbcondition) (const p_path mypath,p_admst myadmst);
+";
+print admstpathYacc_y "
+void free_ptraverse (p_ptraverse p)
+{
+  p_slist l;
+  p_slist ll;
+  for(l=p->_alladmst;l;l=l->next)
+    for(ll=(p_slist)l->data;ll;ll=ll->next)
+      deref((p_admst)ll->data);
+  for(l=p->_aliasadmst;l;l=l->next)
+    deref((p_admst)l->data);
+  for(l=p->_admst;l;l=l->next)
+    deref((p_admst)l->data);
+  adms_slist_free(p->_alladmst);
+  adms_slist_free(p->_aliasadmst);
+  adms_slist_free(p->_admst);
+  free(p);
+}
+static p_slist slash (p_ptraverse p,p_slist paths,p_admst dot)
+{
+  p_slist output=NULL;
+  int premier=1;
+  adms_slist_push(&output,(p_adms)dot);
+  for(;paths;paths=paths->next)
+  {
+    p_slist l;
+    p_path mypath=(p_path)paths->data;
+    p_slist output1=NULL;
+    int dernier=(paths->next==NULL);
+    if(mypath->_callback==(void*)groupage)
+    {
+      int count=0;
+      for(l=output;l;l=l->next)
+        if((((p_admst)l->data)->_selected==admse_yes)&&((p_admst)l->data)->_pseudo!=admse_empty)
+        {
+          p_ptraverse p0=bar((p_admst)l->data,mypath);
+          adms_slist_concat(&output1,p0->_admst);
+          adms_slist_free(p0->_alladmst);
+          free(p0);
+        }
+      for(l=output1;l;l=l->next)
+        ((p_admst)l->data)->_position=++count;
+      p->_position=count;
+    }
+    else
+    {
+      p_admst ai;
+      p->_position=0;
+      p->_a1=p->_an=NULL;
+      for(l=output;l;l=l->next)
+        if((((p_admst)l->data)->_selected==admse_yes)&&((p_admst)l->data)->_pseudo!=admse_empty)
+          ((_p_cblocation)mypath->_callback)(p,mypath,(p_admst)l->data);
+      for(ai=p->_an;ai;ai=ai->_preva)
+        adms_slist_push(&output1,(p_adms)ai);
+    }
+    if(premier)
+      adms_slist_free(output),premier=0;
+    if(!dernier)
+      adms_slist_push(&p->_alladmst,(p_adms)output1);
+    if((mypath->_callback!=(void*)location00)&&(mypath->_callback!=(void*)location01))
+      for(l=output1;l;l=l->next)
+        ((p_admst)l->data)->_count=p->_position;
+    if(mypath->_c)
+    {
+      int count=0;
+      for(l=output1;l;l=l->next)
+        if(((_p_cbcondition)((p_path)mypath->_c->data)->_callback)((p_path)mypath->_c->data,(p_admst)l->data))
+        {
+          count++;
+          if((mypath->_callback!=(void*)location00)&&(mypath->_callback!=(void*)location01))
+            ((p_admst)l->data)->_position=count;
+        }
+        else
+          ((p_admst)l->data)->_selected=admse_no;
+      if((mypath->_callback!=(void*)location00)&&(mypath->_callback!=(void*)location01))
+        for(l=output1;l;l=l->next)
+          ((p_admst)l->data)->_count=count;
+      if(dernier)
+      {
+        p_slist l=output1;
+        p_slist n;
+        p_slist lastnode=NULL;
+        output1=NULL;
+        for(;l;l=n)
+          if(((p_admst)l->data)->_selected==admse_yes)
+          {
+            if(lastnode)
+              lastnode->next=l,lastnode=l;
+            else
+              output1=lastnode=l;
+            n=l->next;  
+          }
+          else
+            n=l->next,deref((p_admst)l->data),free(l);
+        if(lastnode)
+          lastnode->next=NULL;
+      }
+    }
+    output=output1;
+  }
+  return output;
+}
+p_ptraverse bar (p_admst dot,p_path mypath)
+{
+  p_ptraverse p=adms_ptraverse_new(mypath->_transform);
+  p_slist bars;
+  p_slist output=NULL;
+  for(bars=mypath->_bar;bars;bars=bars->next)
+    adms_slist_concat(&output,slash(p,(p_slist)bars->data,dot));
+  p->_admst=output;
+  return p;
+}
+static void addptraverse (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_slist paths0=(p_slist)mypath->_arg->data;
+  p_slist paths1=(p_slist)mypath->_arg->next->data;
+  p_ptraverse p0=adms_ptraverse_new(mypath->_transform);
+  p_slist o0=slash(p0,paths0,dot);
+  p_ptraverse p1=adms_ptraverse_new(mypath->_transform);
+  p_slist o1=slash(p1,paths1,dot);
+  for(;o0&&o1;o0=o0->next,o1=o1->next)
+  {
+    p_admst myadmst0=(p_admst)o0->data;
+    p_admst myadmst1=(p_admst)o1->data;
+    admse mytype0=myadmst0->_pseudo;
+    admse mytype1=myadmst1->_pseudo;
+    if((mytype0==admse_basicinteger)&&(mytype1==admse_basicinteger))
+    {
+      ".&LLNN("bi","myadmst0->_item.i+myadmst1->_item.i")."
+    }
+    else if((mytype0==admse_basicreal)&&(mytype1==admse_basicreal))
+    {
+      ".&LLNN("bi","myadmst0->_item.r+myadmst1->_item.r")."
+    }
+    else
+    {
+      ".&LLNN("bi","adms_NAN")."
+      adms_message_warning((\"%s: '+' operator - case not implemented - sorry!\\n\",adms_transform_uid(mypath->_transform)))
+    }
+  }
+  for(o0=o1?o1:o0;o0;o0=o0->next)
+  {
+    p_admst myadmst0=(p_admst)o0->data;
+    admse mytype0=myadmst0->_pseudo;
+    if(mytype0==admse_basicinteger)
+    {
+      ".&LLNN("bi","myadmst0->_item.i")."
+    }
+    else if(mytype0==admse_basicreal)
+    {
+      ".&LLNN("br","myadmst0->_item.r")."
+    }
+    else
+    {
+      ".&LLNN("bi","adms_NAN")."
+      adms_message_warning((\"%s: '+' operator - case not implemented - sorry!\\n\",adms_transform_uid(mypath->_transform)))
+    }
+  }
+}
+static void addmtraverse (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_slist paths0=(p_slist)mypath->_arg->data;
+  p_slist paths1=(p_slist)mypath->_arg->next->data;
+  p_ptraverse p0=adms_ptraverse_new(mypath->_transform);
+  p_slist o0=slash(p0,paths0,dot);
+  p_ptraverse p1=adms_ptraverse_new(mypath->_transform);
+  p_slist o1=slash(p1,paths1,dot);
+  for(;o0&&o1;o0=o0->next,o1=o1->next)
+  {
+    p_admst myadmst0=(p_admst)o0->data;
+    p_admst myadmst1=(p_admst)o1->data;
+    admse mytype0=myadmst0->_pseudo;
+    admse mytype1=myadmst1->_pseudo;
+    if((mytype0==admse_basicinteger)&&(mytype1==admse_basicinteger))
+    {
+      ".&LLNN("bi","myadmst0->_item.i-myadmst1->_item.i")."
+    }
+    else if((mytype0==admse_basicreal)&&(mytype1==admse_basicreal))
+    {
+      ".&LLNN("bi","myadmst0->_item.r-myadmst1->_item.r")."
+    }
+    else
+    {
+      ".&LLNN("bi","adms_NAN")."
+      adms_message_warning((\"%s: '-' operator - case not implemented - sorry!\\n\",adms_transform_uid(mypath->_transform)))
+    }
+  }
+  for(;o0;o0=o0->next)
+  {
+    p_admst myadmst0=(p_admst)o0->data;
+    admse mytype0=myadmst0->_pseudo;
+    if(mytype0==admse_basicinteger)
+    {
+      ".&LLNN("bi","myadmst0->_item.i")."
+    }
+    else if(mytype0==admse_basicreal)
+    {
+      ".&LLNN("br","myadmst0->_item.r")."
+    }
+    else
+    {
+      ".&LLNN("bi","adms_NAN")."
+      adms_message_warning((\"%s: '-' operator - case not implemented - sorry!\\n\",adms_transform_uid(mypath->_transform)))
+    }
+  }
+  for(;o1;o1=o1->next)
+  {
+    p_admst myadmst1=(p_admst)o1->data;
+    admse mytype1=myadmst1->_pseudo;
+    if(mytype1==admse_basicinteger)
+    {
+      ".&LLNN("bi","-myadmst1->_item.i")."
+    }
+    else if(mytype1==admse_basicreal)
+    {
+      ".&LLNN("br","-myadmst1->_item.r")."
+    }
+    else
+    {
+      ".&LLNN("bi","adms_NAN")."
+      adms_message_warning((\"%s: '-' operator - case not implemented - sorry!\\n\",adms_transform_uid(mypath->_transform)))
+    }
+  }
+}
+static void multtimetraverse (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_slist paths0=(p_slist)mypath->_arg->data;
+  p_slist paths1=(p_slist)mypath->_arg->next->data;
+  p_ptraverse p0=adms_ptraverse_new(mypath->_transform);
+  p_slist o0=slash(p0,paths0,dot);
+  p_ptraverse p1=adms_ptraverse_new(mypath->_transform);
+  p_slist o1=slash(p1,paths1,dot);
+  for(;o0&&o1;o0=o0->next,o1=o1->next)
+  {
+    p_admst myadmst0=(p_admst)o0->data;
+    p_admst myadmst1=(p_admst)o1->data;
+    admse mytype0=myadmst0->_pseudo;
+    admse mytype1=myadmst1->_pseudo;
+    if((mytype0==admse_basicinteger)&&(mytype1==admse_basicinteger))
+    {
+      ".&LLNN("bi","myadmst0->_item.i*myadmst1->_item.i")."
+    }
+    else if((mytype0==admse_basicreal)&&(mytype1==admse_basicreal))
+    {
+      ".&LLNN("bi","myadmst0->_item.r*myadmst1->_item.r")."
+    }
+    else
+      adms_message_fatal((\"%s: '*' operator - case not implemented - sorry!\\n\",adms_transform_uid(mypath->_transform)))
+  }
+  for(o0=o1?o1:o0;o0;o0=o0->next)
+  {
+    p_admst myadmst0=(p_admst)o0->data;
+    admse mytype0=myadmst0->_pseudo;
+    if(mytype0==admse_basicinteger)
+    {
+      ".&LLNN("bi","myadmst0->_item.i")."
+    }
+    else
+      adms_message_fatal((\"%s: '*' operator - case not implemented - sorry!\\n\",adms_transform_uid(mypath->_transform)))
+  }
+}
+static void multdivtraverse (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_slist paths0=(p_slist)mypath->_arg->data;
+  p_slist paths1=(p_slist)mypath->_arg->next->data;
+  p_ptraverse p0=adms_ptraverse_new(mypath->_transform);
+  p_slist o0=slash(p0,paths0,dot);
+  p_ptraverse p1=adms_ptraverse_new(mypath->_transform);
+  p_slist o1=slash(p1,paths1,dot);
+  for(;o0&&o1;o0=o0->next,o1=o1->next)
+  {
+    p_admst myadmst0=(p_admst)o0->data;
+    p_admst myadmst1=(p_admst)o1->data;
+    admse mytype0=myadmst0->_pseudo;
+    admse mytype1=myadmst1->_pseudo;
+    if((mytype0==admse_basicinteger)&&(mytype1==admse_basicinteger))
+    {
+      ".&LLNN("br","(double)myadmst0->_item.i/(double)myadmst1->_item.i")."
+    }
+    else if((mytype0==admse_basicreal)&&(mytype1==admse_basicreal))
+    {
+      ".&LLNN("bi","myadmst0->_item.r/myadmst1->_item.r")."
+    }
+    else
+      adms_message_fatal((\"%s: ':' operator - case not implemented - sorry!\\n\",adms_transform_uid(mypath->_transform)))
+  }
+  for(;o0;o0=o0->next)
+  {
+    p_admst myadmst0=(p_admst)o0->data;
+    admse mytype0=myadmst0->_pseudo;
+    if(mytype0==admse_basicinteger)
+    {
+      ".&LLNN("br","(double)myadmst0->_item.i/1.0")."
+    }
+    else if(mytype0==admse_basicreal)
+    {
+      ".&LLNN("br","myadmst0->_item.r/1.0")."
+    }
+    else
+      adms_message_fatal((\"%s: ':' operator - case not implemented - sorry!\\n\",adms_transform_uid(mypath->_transform)))
+  }
+  for(;o1;o1=o1->next)
+  {
+    p_admst myadmst1=(p_admst)o1->data;
+    admse mytype1=myadmst1->_pseudo;
+    if(mytype1==admse_basicinteger)
+    {
+      ".&LLNN("br","1.0/(double)myadmst1->_item.i")."
+    }
+    else if(mytype1==admse_basicreal)
+    {
+      ".&LLNN("br","1.0/myadmst1->_item.r")."
+    }
+    else
+      adms_message_fatal((\"%s: ':' operator - case not implemented - sorry!\\n\",adms_transform_uid(mypath->_transform)))
+  }
+}
+static void unaryptraverse (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_slist paths0=(p_slist)mypath->_arg->data;
+  p_ptraverse p0=adms_ptraverse_new(mypath->_transform);
+  p_slist o0=slash(p0,paths0,dot);
+  for(;o0;o0=o0->next)
+  {
+    p_admst myadmst0=(p_admst)o0->data;
+    admse mytype0=myadmst0->_pseudo;
+    if(mytype0==admse_basicinteger)
+    {
+      ".&LLNN("bi","+myadmst0->_item.i")."
+    }
+    else if(mytype0==admse_basicreal)
+    {
+      ".&LLNN("br","+myadmst0->_item.r")."
+    }
+    else
+      adms_message_fatal((\"%s: '+' operator - case not implemented - sorry!\\n\",adms_transform_uid(mypath->_transform)))
+  }
+}
+static void unarymtraverse (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_slist paths0=(p_slist)mypath->_arg->data;
+  p_ptraverse p0=adms_ptraverse_new(mypath->_transform);
+  p_slist o0=slash(p0,paths0,dot);
+  for(;o0;o0=o0->next)
+  {
+    p_admst myadmst0=(p_admst)o0->data;
+    admse mytype0=myadmst0->_pseudo;
+    if(mytype0==admse_basicinteger)
+    {
+      ".&LLNN("bi","-myadmst0->_item.i")."
+    }
+    else if(mytype0==admse_basicreal)
+    {
+      ".&LLNN("br","-myadmst0->_item.r")."
+    }
+    else
+      adms_message_fatal((\"%s: '-' operator - case not implemented - sorry!\\n\",adms_transform_uid(mypath->_transform)))
+  }
+}
+static void incrptraverse (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_slist paths0=(p_slist)mypath->_arg->data;
+  p_ptraverse p0=adms_ptraverse_new(mypath->_transform);
+  p_slist o0=slash(p0,paths0,dot);
+  for(;o0;o0=o0->next)
+  {
+    p_admst myadmst0=(p_admst)o0->data;
+    admse mytype0=myadmst0->_pseudo;
+    if(mytype0==admse_basiclist)
+    {
+      p_slist dollarlist=((p_admstvariable)myadmst0->_item.p)->_value;
+      for(;dollarlist;dollarlist=dollarlist->next)
+      {
+        p_admst myadmst1=(p_admst)dollarlist->data;
+        admse mytype1=myadmst1->_pseudo;
+        if(mytype1==admse_basicinteger)
+        {
+          ".&LLNN("bi","myadmst1->_item.i")."
+          myadmst1->_item.i+=1;
+        }
+        else if(mytype1==admse_basicreal)
+        {
+          ".&LLNN("br","myadmst1->_item.r")."
+          myadmst1->_item.r+=1.0;
+        }
+        else
+          adms_message_fatal((\"%s: '++' operator - case not implemented - sorry!\\n\",adms_transform_uid(mypath->_transform)))
+      }
+    }
+  }
+}
+static void incrmtraverse (p_ptraverse p,p_path mypath,p_admst dot)
+{
+  p_slist paths0=(p_slist)mypath->_arg->data;
+  p_ptraverse p0=adms_ptraverse_new(mypath->_transform);
+  p_slist o0=slash(p0,paths0,dot);
+  for(;o0;o0=o0->next)
+  {
+    p_admst myadmst0=(p_admst)o0->data;
+    admse mytype0=myadmst0->_pseudo;
+    if(mytype0==admse_basiclist)
+    {
+      p_slist dollarlist=((p_admstvariable)myadmst0->_item.p)->_value;
+      for(;dollarlist;dollarlist=dollarlist->next)
+      {
+        p_admst myadmst1=(p_admst)dollarlist->data;
+        admse mytype1=myadmst1->_pseudo;
+        if(mytype1==admse_basicinteger)
+        {
+          ".&LLNN("bi","myadmst1->_item.i")."
+          myadmst1->_item.i-=1;
+        }
+        else if(mytype1==admse_basicreal)
+        {
+          ".&LLNN("br","myadmst1->_item.r")."
+          myadmst1->_item.r-=1.0;
+        }
+        else
+          adms_message_fatal((\"%s: '--' operator - case not implemented - sorry!\\n\",adms_transform_uid(mypath->_transform)))
+      }
+    }
+  }
+}
+static int expression01 (const p_path mypath,p_admst dot)
+{
+  p_ptraverse p0=bar(dot,(p_path)mypath->_u->data);
+  int pos=dot->_position;
+  p_slist l;
+  for(l=p0->_admst;l;l=l->next)
+  {
+    p_admst myadmst0=(p_admst)l->data;
+    admse mytype0=myadmst0->_pseudo;
+    if(mytype0==admse_basicinteger)
+    {
+      if(pos==myadmst0->_item.i)
+      {
+        free_ptraverse(p0);
+        return 1;
+      }
+    }
+    else
+      adms_message_fatal((\"%s: 'integer' expected!\\n\",adms_transform_uid(mypath->_transform)))
+  }
+  free_ptraverse(p0);
+  return 0;
+}
+
+#define YYLEX_PARAM mypparse
+#define YYPARSE_PARAM mypparse
+#define admstpatherror(msgid) admstpatherrorimpl(mypparse,msgid)
+
+#define ISPATH     ((int)(long)globalctxt->data==0)
+#define ISTEXT     ((int)(long)globalctxt->data==1)
+#define ISTIC      ((int)(long)globalctxt->data==2)
+#define SETPATH    adms_slist_push(&globalctxt,(p_adms)0);
+#define SETTEXT    adms_slist_push(&globalctxt,(p_adms)1);
+#define SETTIC     adms_slist_push(&globalctxt,(p_adms)2);
+#define PARENMATCH (parenidx&&paren==(int)(long)parenidx->data)
+#define INSIDEPATH (globalctxt&&(globalctxt->next)&&(((int)(long)globalctxt->next->data)==0))
+
+static p_slist globalctxt=NULL;
+static int goto_atext=0;
+static int paren=0;
+static p_slist parenidx=NULL;
+static int globalignorequote=0;
+
+static p_path BASICSTRING (const p_pparse mypparse,char *mytvalue)
+{
+  p_path ret=adms_path_new(mypparse->_transform,adms_text_new(mypparse->_transform,mytvalue));
+  adms_slist_push(&(ret->_text->_token),(p_adms)adms_admst_newks(mytvalue));
+  ret->_text->_admse=ns_strtoe(((p_admst)ret->_text->_token->data)->_item.s);
+  ret->_aname=adms_kclone(mytvalue);
+  return ret;
+}
+static p_path BASICINTEGER (const p_pparse mypparse,char *mytvalue)
+{
+  p_path ret=adms_path_new(mypparse->_transform,adms_text_new(mypparse->_transform,mytvalue));
+  adms_slist_push(&(ret->_text->_token),(p_adms)adms_admst_newbi(NULL,NULL,adms_strtol(mypparse->_transform,mytvalue)));
+  ((p_admst)ret->_text->_token->data)->_refd=0;
+  ret->_text->_admse=admse__any;
+  ret->_aname=adms_kclone(mytvalue);
+  return ret;
+}
+
+int is_admst (const char* name)
+{
+  return (((strlen(name)==5)&&!strncmp(name,\"admst\",5))||((strlen(name)>5)&&!strncmp(name,\"admst:\",6)));
+}
+void dbtext (p_text mytext)
+{
+  p_slist li;
+  if(mytext->_aname)
+    adms_message_admstdbg_impl(\"<text n=\\\"%s\\\" v=\\\"\%s\\\" e=\\\"\%s\\\">\",mytext->_aname,mytext->_value,ns_etostr(mytext->_admse));
+  else
+    adms_message_admstdbg_impl(\"<text v=\\\"\%s\\\" e=\\\"\%s\\\">\",mytext->_value,ns_etostr(mytext->_admse));
+  for(li=mytext->_token;li;li=li->next)
+  {
+    p_adms mytoken=li->data;
+    if(mytoken->_datatypename==admse_text && ((p_text)mytoken)->_admse==admse__s)
+      adms_message_admstdbg_impl(\"<token t=\\\"special-%%s\\\" e=\\\"%s\\\"/>\\n\",ns_etostr(((p_text)mytoken)->_admse));
+    else if(mytoken->_datatypename==admse_text)
+      dbtext((p_text)mytoken);
+    else if(mytoken->_datatypename==admse_admst)
+    {
+      adms_message_admstdbg_impl(\"<token t=\\\"admst\\\" pseudo=\\\"\%s\\\">\",ns_etostr(((p_admst)mytoken)->_pseudo));
+      adms_message_admstdbg_impl(\"\%s\",aprintf(mytext->_transform,(p_admst)mytoken));
+      adms_message_admstdbg_impl(\"</token>\\n\");
+    }
+    else if(mytoken->_datatypename==admse_path)
+    {
+      adms_message_admstdbg_impl(\"<token t=\\\"%%\\\">\");
+      dbpath((p_path)mytoken);
+      adms_message_admstdbg_impl(\"</token>\");
+    }
+  }
+  if(mytext->_aname)
+    adms_message_admstdbg_impl(\"</text>\\n\",mytext->_aname);
+  else
+    adms_message_admstdbg_impl(\"</text>\\n\");
+}
+void dbpath (p_path mypath)
+{
+  if(mypath->_text||mypath->_bar||mypath->_arg||mypath->_u||mypath->_b||mypath->_c)
+  {
+    adms_message_admstdbg_impl(\"<\%s keeplist=\\\"%i\\\">\",mypath->_callbackname,mypath->_keeplist);
+    if(mypath->_bar)
+    {
+      p_slist bar;
+      for(bar=mypath->_bar;bar;bar=bar->next)
+      {
+        p_slist l=(p_slist)bar->data;
+        adms_message_admstdbg_impl(\"<bar>\");
+        for(;l;l=l->next)
+          dbpath((p_path)l->data);
+        adms_message_admstdbg_impl(\"</bar>\");
+      }
+    }
+    if(mypath->_arg)
+    {
+      p_slist arg;
+      for(arg=mypath->_arg;arg;arg=arg->next)
+      {
+        p_slist l=(p_slist)arg->data;
+        adms_message_admstdbg_impl(\"<arg>\");
+        for(;l;l=l->next)
+          dbpath((p_path)l->data);
+        adms_message_admstdbg_impl(\"</arg>\");
+      }
+    }
+    if(mypath->_u)
+    {
+      p_slist l;
+      adms_message_admstdbg_impl(\"<u>\");
+      for(l=mypath->_u;l;l=l->next)
+        dbpath((p_path)l->data);
+      adms_message_admstdbg_impl(\"</u>\");
+    }
+    if(mypath->_b)
+    {
+      p_slist l;
+      adms_message_admstdbg_impl(\"<b>\");
+      for(l=mypath->_b;l;l=l->next)
+        dbpath((p_path)l->data);
+      adms_message_admstdbg_impl(\"</b>\");
+    }
+    if(mypath->_c)
+    {
+      p_slist l;
+      adms_message_admstdbg_impl(\"<c>\");
+      for(l=mypath->_c;l;l=l->next)
+        dbpath((p_path)l->data);
+      adms_message_admstdbg_impl(\"</c>\");
+    }
+    if(mypath->_text)
+      dbtext(mypath->_text);
+    adms_message_admstdbg_impl(\"</\%s>\",mypath->_callbackname);
+  }
+  else
+    adms_message_admstdbg_impl(\"<\%s/>\",mypath->_callbackname);
+}
+
+static int binaryand (const p_path mypath,p_admst myadmst)
+{
+  if(((_p_cbcondition)((p_path)mypath->_b->data)->_callback)((p_path)mypath->_b->data,myadmst))
+    return ((_p_cbcondition)((p_path)mypath->_b->next->data)->_callback)((p_path)mypath->_b->next->data,myadmst);
+  return 0;
+}
+static int binaryor (const p_path mypath,p_admst myadmst)
+{
+  if(!((_p_cbcondition)((p_path)mypath->_b->data)->_callback)((p_path)mypath->_b->data,myadmst))
+    return ((_p_cbcondition)((p_path)mypath->_b->next->data)->_callback)((p_path)mypath->_b->next->data,myadmst);
+  return 1;
+}
+
+static int atomicnilled (const p_path mypath,p_admst myadmst)
+{
+  p_admst myadmst0;
+  p_ptraverse p0=bar(myadmst,(p_path)mypath->_u->data);
+  int passed=(p0->_admst==NULL)||(p0->_admst->data==NULL)||((myadmst0=aread((p_admst)p0->_admst->data))==NULL)||(myadmst0->_item.p==NULL);
+  free_ptraverse(p0);
+  return passed;
+}
+static int atomicexists (const p_path mypath,p_admst myadmst)
+{
+  return !atomicnilled(mypath,myadmst);
+}";
+print admstpathYacc_y "
+static int atomicisdefault (const p_path mypath,p_admst myadmst)
+{
+";
+foreach(@$EA)
+{
+  my$e=&xname($_);
+  foreach($_->findnodes("alias"))
+  {
+    my$alias=&xname($_);
+    my$newe=&xelement($_);
+    my$a=&xattribute($_);
+    print admstpathYacc_y "  if(myadmst->_pseudo==admse_$e && mypath->_text->_admse==admse_$alias) return ((p_$newe)(myadmst->_item.p))->_${a}_isdefault;\n";
+  }
+}
+#va elements + attributes
+foreach(@$EA)
+{
+  my$e=xname($_);
+  next if not vla($e);
+  foreach(&attr($_))
+  {
+    my$a=xname($_);
+    print admstpathYacc_y "  if(myadmst->_pseudo==admse_$e && mypath->_text->_admse==admse_$a) return ((p_$e)(myadmst->_item.p))->_${a}_isdefault;\n";
+  }
+}
+print admstpathYacc_y "  return 0;
+}
+static int atomicgroupage (const p_path mypath,p_admst myadmst)
+{
+  return ((_p_cbcondition)((p_path)mypath->_u->data)->_callback)(((p_path)mypath->_u->data),myadmst);
+}
+static int unary00 (const p_path mypath,p_admst myadmst)
+{
+  return !((_p_cbcondition)((p_path)mypath->_u->data)->_callback)(((p_path)mypath->_u->data),myadmst);
+}
+static int atomictrue (const p_path mypath,p_admst myadmst)
+{
+  return 1;
+}
+static int atomicfalse (const p_path mypath,p_admst myadmst)
+{
+  return 0;
+}
+static int atomiceq (const p_path mypath,p_admst myadmst)
+{
+  p_ptraverse p0;
+  p_ptraverse p1;
+  p_slist myl0;
+  p_slist myl1;
+  int passed=1;
+  p_path root0=(p_path)mypath->_u->data;
+  p_path root1=(p_path)mypath->_u->next->data;
+  p_slist n000=(p_slist)root0->_bar->data;
+  p_slist n01=root0->_bar->next;
+  p_slist n001=n000->next;
+  p_path l000=(p_path)n000->data;
+  p_slist n100=(p_slist)root1->_bar->data;
+  p_slist n11=root1->_bar->next;
+  p_slist n101=n100->next;
+  p_path l100=(p_path)n100->data;
+  int isdatatypename0=(l000->_callback==(void*)location03datatypename)&&(n001==NULL)&&(n01==NULL);
+  int isquotedstring1=((l100->_callback==(void*)location09)||(l100->_callback==(void*)location09k))&&(n11==NULL)&&(n101==NULL)&&(n11==NULL);
+  if(isdatatypename0 && isquotedstring1)
+  {
+    p_admst myadmst0=aread(myadmst);
+    if(myadmst0)
+      return (retdatatypename(myadmst0)==l100->_text->_admse);
+    else
+      return (admse_empty==l100->_text->_admse);
+  }
+  p0=bar(myadmst,(p_path)mypath->_u->data);
+  p1=bar(myadmst,(p_path)mypath->_u->next->data);
+  myl0=p0->_admst;
+  myl1=p1->_admst;
+  if(adms_slist_length(myl0)!=adms_slist_length(myl1))
+    passed=0;
+  for(;myl0&&passed;myl0=myl0->next,myl1=myl1->next)
+  {
+    p_admst myadmst0=aread((p_admst)myl0->data);
+    p_admst myadmst1=aread((p_admst)myl1->data);
+    if(!myadmst0)
+      passed=0;
+    else
+    {
+      admse admse0=myadmst0->_pseudo;
+      admse admse1=myadmst1->_pseudo;
+      if(admse0==admse_empty)
+      {}
+      else if(admse0==admse_datatypename)
+      {
+        char* mystring=aprintf(mypath->_transform,myadmst1);
+        passed=(myadmst0->_item.i==ns_strtoe(mystring));
+        free(mystring);
+      }
+      else if((admse0==admse_basicinteger)&&(admse1==admse_basicstring))
+      {
+        char *s=adms_integertostring(myadmst0->_item.i);
+        passed=!strcmp(s,myadmst1->_item.s);
+        free(s);
+      }
+      else if((admse0==admse_basicstring)&&(admse1==admse_basicinteger))
+      {
+        char *s=adms_integertostring(myadmst1->_item.i);
+        passed=!strcmp(myadmst0->_item.s,s);
+        free(s);
+      }
+      else if((admse0==admse_basicenumeration)&&(admse1==admse_basicstring))
+        passed=!strcmp(ns_etostr(myadmst0->_item.i),myadmst1->_item.s);
+      else if((admse0==admse_basicstring)&&(admse1==admse_basicenumeration))
+        passed=!strcmp(myadmst0->_item.s,ns_etostr(myadmst1->_item.i));
+      else if((admse0==admse_basicenumeration)&&(admse1==admse_basicinteger))
+        passed=(myadmst0->_item.i==myadmst1->_item.i);
+      else if((admse0==admse_basicinteger)&&(admse1==admse_basicenumeration))
+        passed=(myadmst0->_item.i==myadmst1->_item.i);
+      else if(admse0!=admse1)
+        passed=0;
+      else if(admse0==admse_basicenumeration)
+        passed=(myadmst0->_item.i==myadmst1->_item.i);
+      else if(admse0==admse_basicinteger)
+        passed=(myadmst0->_item.i==myadmst1->_item.i);
+      else if(admse0==admse_basicreal)
+        passed=(myadmst0->_item.r>=myadmst1->_item.r-1.0e-307)&&(myadmst0->_item.r<=myadmst1->_item.r+1.0e-307);
+      else if(admse0==admse_basicstring)
+        passed=!strcmp(myadmst0->_item.s,myadmst1->_item.s);
+      else
+        passed=(myadmst0->_item.p==myadmst1->_item.p);
+    }
+  }
+  free_ptraverse(p0);
+  free_ptraverse(p1);
+  return passed;
+}
+static int atomicne (const p_path mypath,p_admst myadmst)
+{
+  return !atomiceq(mypath,myadmst);
+}
+static int atomiclt (const p_path mypath,p_admst myadmst)
+{
+  p_ptraverse p0=bar(myadmst,(p_path)mypath->_u->data);
+  p_ptraverse p1=bar(myadmst,(p_path)mypath->_u->next->data);
+  p_slist myl0=p0->_admst;
+  p_slist myl1=p1->_admst;
+  int passed=1;
+  if(adms_slist_length(myl0)!=adms_slist_length(myl1))
+    passed=0;
+  else
+  {
+    for(;myl0&&passed;myl0=myl0->next,myl1=myl1->next)
+    {
+      p_admst myadmst0=(p_admst)myl0->data;
+      p_admst myadmst1=(p_admst)myl1->data;
+      admse mytype0=myadmst0->_pseudo;
+      admse mytype1=myadmst1->_pseudo;
+      if((mytype0==admse_basicinteger)&&(mytype1==admse_basicinteger))
+      {
+        int myinteger0=myadmst0->_item.i;
+        int myinteger1=myadmst1->_item.i;
+        passed=(myinteger0<myinteger1);
+      }
+      else if((mytype0==admse_basicreal)&&(mytype1==admse_basicreal))
+      {
+        double myreal0=myadmst0->_item.r;
+        double myreal1=myadmst1->_item.r;
+        passed=(myreal0<myreal1);
+      }
+      else
+        adms_message_fatal((\"%s: 'less than' - case not implemented - sorry!\\n\",adms_transform_uid(mypath->_transform)))
+    }
+  }
+  free_ptraverse(p0);
+  free_ptraverse(p1);
+  return passed;
+}
+static int atomicgt (const p_path mypath,p_admst myadmst)
+{
+  p_ptraverse p0=bar(myadmst,(p_path)mypath->_u->data);
+  p_ptraverse p1=bar(myadmst,(p_path)mypath->_u->next->data);
+  p_slist myl0=p0->_admst;
+  p_slist myl1=p1->_admst;
+  int passed=1;
+  if(adms_slist_length(myl0)!=adms_slist_length(myl1))
+    passed=0;
+  else
+  {
+    for(;myl0&&passed;myl0=myl0->next,myl1=myl1->next)
+    {
+      p_admst myadmst0=(p_admst)myl0->data;
+      p_admst myadmst1=(p_admst)myl1->data;
+      admse mytype0=myadmst0->_pseudo;
+      admse mytype1=myadmst1->_pseudo;
+      if((mytype0==admse_basicinteger)&&(mytype1==admse_basicinteger))
+      {
+        int myinteger0=myadmst0->_item.i;
+        int myinteger1=myadmst1->_item.i;
+        passed=(myinteger0>myinteger1);
+      }
+      else if((mytype0==admse_basicreal)&&(mytype1==admse_basicreal))
+      {
+        double myreal0=myadmst0->_item.r;
+        double myreal1=myadmst1->_item.r;
+        passed=(myreal0>myreal1);
+      }
+      else
+        adms_message_fatal((\"%s: 'greater than' - case not implemented - sorry!\\n\",adms_transform_uid(mypath->_transform)))
+    }
+  }
+  free_ptraverse(p0);
+  free_ptraverse(p1);
+  return passed;
+}
+
+#if defined(STANDALONE)
+#define adms_transform_uid(txt) \"unknown\"
+#endif
+
+static void admstpatherrorimpl (const p_pparse mypparse,char const *s)
+{
+  adms_message_fatal_continue((\"\%s - invalid path \\\"\%s\\\"\\n\",s,mypparse->_value))
+  adms_message_fatal((\"see \%s\\n\",adms_transform_uid(mypparse->_transform)))
+}
+";
+
+#lexer
+
+print admstpathYacc_y "
+%}
+%token tktk_cr
+%token tktk_lf
+%token tktk_tab
+%token tktk_percent
+%token tktk_percents
+%token tktk_ticptr
+%token tktk_anytext
+%token tktk_goto_atext
+%token tktk_cquote
+
+";
+
+map{map{print admstpathYacc_y "\%token "."tktk_".$_."\n";} split " ", xid($_);} $admstpathxml->findnodes("//constant|//regexp");
+map{print admstpathYacc_y "\%type <_".xret($_)."> ".xid($_)."\n" if defined xret($_);} $admstpathxml->findnodes("//productionset");
+print admstpathYacc_y "
+%union
+{
+p_slist _slist;
+p_path _path;
+p_text _text;
+}
+%%
+";
+
+foreach($admstpathxml->findnodes("//productionset"))
+{
+  my$set=$_;
+  print admstpathYacc_y xid($set)."\n";
+  my$isfirst=1;
+  foreach($set->findnodes("production"))
+  {
+    my$production=$_;
+    my$rootcounter=0;
+    my$semantic=$isfirst?" :":" |";
+    my$xid=xid($production);
+    my$id=$xid<10?"0$xid":"$xid";
+    my$label=xlabel($production)?xlabel($production):xid($set).$id;
+    $isfirst=0;
+    foreach($production->findnodes("item"))
+    {
+      my$itemproduction=$admstpathxml->findnodes("//productionset[\@id='".xlinkto($_)."']")->[0];
+      $semantic.=" ".($itemproduction?xid($itemproduction):"tktk_".xlinkto($_));
+      $rootcounter++ if xlinkto($_) eq "pathroot";
+    }
+    $semantic.="\n";
+    $semantic.=" {/*".xid($_).(xlabel($_)?" ".xlabel($_):"")."*/\n";
+    my$T="   ";
+    if(xid($set) eq "root")
+    {
+      if($xid == 0)
+      {
+      }
+      elsif($xid == 1)
+      {
+        $semantic.=$T.'p_text mytext=adms_text_new(((p_pparse)mypparse)->_transform,"");'."\n";
+        $semantic.=$T.'mytext->_token=adms_slist_reverse($2);'."\n";
+        $semantic.=$T.'((p_pparse)mypparse)->_text=mytext;'."\n";
+      }
+    }
+    elsif(xid($set) eq "pathroot_l")
+    {
+      if($xid == 0)
+      {
+        $semantic.=$T.'((p_pparse)mypparse)->_path=$1;'."\n";
+      }
+      elsif($xid == 1)
+      {
+        $semantic.=$T.'((p_pparse)mypparse)->_path=$3;'."\n";
+      }
+    }
+    elsif(xid($set) eq "pathroot")
+    {
+      $semantic.=$T.'$$=adms_path_new(((p_pparse)mypparse)->_transform,NULL);'."\n";
+      $semantic.=$T.'adms_slist_inreverse(&$1);'."\n";
+      $semantic.=$T.'$$->_bar=$1;'."\n";
+      $semantic.=$T.'$$->_callback=NULL;'."\n";
+      $semantic.=$T.'$$->_callbackname="path";'."\n";
+    }
+    elsif(xid($set) eq "pathbar")
+    {
+      if($xid == 0)
+      {
+        $semantic.=$T.'$$=NULL;'."\n";
+        $semantic.=$T.'adms_slist_push(&$$,(p_adms)$1);'."\n";
+      }
+      elsif($xid == 1)
+      {
+        $semantic.=$T.'$$=$1;'."\n";
+        $semantic.=$T.'adms_slist_push(&$$,(p_adms)$3);'."\n";
+      }
+    }
+    elsif(xid($set) eq "pathadd")
+    {
+      if($xid == 0)
+      {
+        $semantic.=$T.'$$=$1;'."\n";
+      }
+      elsif($xid == 1)
+      {
+        $semantic.=$T.'p_path addp=adms_path_new(((p_pparse)mypparse)->_transform,NULL);'."\n";
+        $semantic.=$T.'addp->_callback=(void*)addptraverse;'."\n";
+        $semantic.=$T.'addp->_callbackname="addptraverse";'."\n";
+        $semantic.=$T.'adms_slist_push(&addp->_arg,(p_adms)$3);'."\n";
+        $semantic.=$T.'adms_slist_push(&addp->_arg,(p_adms)$1);'."\n";
+        $semantic.=$T.'$$=NULL;'."\n";
+        $semantic.=$T.'adms_slist_push(&$$,(p_adms)addp);'."\n";
+      }
+      elsif($xid == 2)
+      {
+        $semantic.=$T.'p_path addm=adms_path_new(((p_pparse)mypparse)->_transform,NULL);'."\n";
+        $semantic.=$T.'addm->_callback=(void*)addmtraverse;'."\n";
+        $semantic.=$T.'addm->_callbackname="addmtraverse";'."\n";
+        $semantic.=$T.'adms_slist_push(&addm->_arg,(p_adms)$3);'."\n";
+        $semantic.=$T.'adms_slist_push(&addm->_arg,(p_adms)$1);'."\n";
+        $semantic.=$T.'$$=NULL;'."\n";
+        $semantic.=$T.'adms_slist_push(&$$,(p_adms)addm);'."\n";
+      }
+    }
+    elsif(xid($set) eq "pathmult")
+    {
+      if($xid == 0)
+      {
+        $semantic.=$T.'$$=$1;'."\n";
+      }
+      elsif($xid == 1)
+      {
+        $semantic.=$T.'p_path multp=adms_path_new(((p_pparse)mypparse)->_transform,NULL);'."\n";
+        $semantic.=$T.'multp->_callback=(void*)multtimetraverse;'."\n";
+        $semantic.=$T.'multp->_callbackname="multtimetraverse";'."\n";
+        $semantic.=$T.'adms_slist_push(&multp->_arg,(p_adms)$3);'."\n";
+        $semantic.=$T.'adms_slist_push(&multp->_arg,(p_adms)$1);'."\n";
+        $semantic.=$T.'$$=NULL;'."\n";
+        $semantic.=$T.'adms_slist_push(&$$,(p_adms)multp);'."\n";
+      }
+      elsif($xid == 2)
+      {
+        $semantic.=$T.'p_path multm=adms_path_new(((p_pparse)mypparse)->_transform,NULL);'."\n";
+        $semantic.=$T.'multm->_callback=(void*)multdivtraverse;'."\n";
+        $semantic.=$T.'multm->_callbackname="multdivtraverse";'."\n";
+        $semantic.=$T.'adms_slist_push(&multm->_arg,(p_adms)$3);'."\n";
+        $semantic.=$T.'adms_slist_push(&multm->_arg,(p_adms)$1);'."\n";
+        $semantic.=$T.'$$=NULL;'."\n";
+        $semantic.=$T.'adms_slist_push(&$$,(p_adms)multm);'."\n";
+      }
+    }
+    elsif(xid($set) eq "pathunary")
+    {
+      if($xid == 0)
+      {
+        $semantic.=$T.'$$=$1;'."\n";
+      }
+      elsif($xid == 1)
+      {
+        $semantic.=$T.'p_path unaryp=adms_path_new(((p_pparse)mypparse)->_transform,NULL);'."\n";
+        $semantic.=$T.'unaryp->_callback=(void*)unaryptraverse;'."\n";
+        $semantic.=$T.'unaryp->_callbackname="unaryptraverse";'."\n";
+        $semantic.=$T.'adms_slist_push(&unaryp->_arg,(p_adms)$2);'."\n";
+        $semantic.=$T.'$$=NULL;'."\n";
+        $semantic.=$T.'adms_slist_push(&$$,(p_adms)unaryp);'."\n";
+      }
+      elsif($xid == 2)
+      {
+        $semantic.=$T.'p_path unarym=adms_path_new(((p_pparse)mypparse)->_transform,NULL);'."\n";
+        $semantic.=$T.'unarym->_callback=(void*)unarymtraverse;'."\n";
+        $semantic.=$T.'unarym->_callbackname="unarymtraverse";'."\n";
+        $semantic.=$T.'adms_slist_push(&unarym->_arg,(p_adms)$2);'."\n";
+        $semantic.=$T.'$$=NULL;'."\n";
+        $semantic.=$T.'adms_slist_push(&$$,(p_adms)unarym);'."\n";
+      }
+    }
+    elsif(xid($set) eq "pathincr")
+    {
+      if($xid == 0)
+      {
+        $semantic.=$T.'$$=$1;'."\n";
+      }
+      elsif($xid == 1)
+      {
+        $semantic.=$T.'p_path incrp=adms_path_new(((p_pparse)mypparse)->_transform,NULL);'."\n";
+        $semantic.=$T.'p_path path0=(p_path)adms_slist_last($1)->data;'."\n";
+        $semantic.=$T.'path0->_keeplist=1;'."\n";
+        $semantic.=$T.'incrp->_callback=(void*)incrptraverse;'."\n";
+        $semantic.=$T.'incrp->_callbackname="incrptraverse";'."\n";
+        $semantic.=$T.'adms_slist_push(&incrp->_arg,(p_adms)$1);'."\n";
+        $semantic.=$T.'$$=NULL;'."\n";
+        $semantic.=$T.'adms_slist_push(&$$,(p_adms)incrp);'."\n";
+      }
+      elsif($xid == 2)
+      {
+        $semantic.=$T.'p_path incrm=adms_path_new(((p_pparse)mypparse)->_transform,NULL);'."\n";
+        $semantic.=$T.'p_path path0=(p_path)adms_slist_last($1)->data;'."\n";
+        $semantic.=$T.'path0->_keeplist=1;'."\n";
+        $semantic.=$T.'incrm->_callback=(void*)incrmtraverse;'."\n";
+        $semantic.=$T.'incrm->_callbackname="incrmtraverse";'."\n";
+        $semantic.=$T.'adms_slist_push(&incrm->_arg,(p_adms)$1);'."\n";
+        $semantic.=$T.'$$=NULL;'."\n";
+        $semantic.=$T.'adms_slist_push(&$$,(p_adms)incrm);'."\n";
+      }
+    }
+    elsif(xid($set) eq "pathsep0")
+    {
+      if($xid == 0)
+      {
+        $semantic.=$T.'$$=$1;'."\n";
+        $semantic.=$T.'adms_slist_inreverse(&$$);'."\n";
+      }
+      elsif($xid == 1)
+      {
+        $semantic.=$T.'$$=$1;'."\n";
+        $semantic.=$T.'adms_slist_inreverse(&$$);'."\n";
+      }
+      elsif($xid == 2)
+      {
+        $semantic.=$T.'p_path pathroot=adms_path_new(((p_pparse)mypparse)->_transform,NULL);'."\n";
+        $semantic.=$T.'pathroot->_callback=(void*)root00;'."\n";
+        $semantic.=$T.'pathroot->_callbackname="root00";'."\n";
+        $semantic.=$T.'$$=$2;'."\n";
+        $semantic.=$T.'adms_slist_inreverse(&$$);'."\n";
+        $semantic.=$T.'adms_slist_push(&$$,(p_adms)pathroot);'."\n";
+      }
+      elsif($xid == 3)
+      {
+        $semantic.=$T.'p_path pathroot=adms_path_new(((p_pparse)mypparse)->_transform,NULL);'."\n";
+        $semantic.=$T.'pathroot->_callback=(void*)root00;'."\n";
+        $semantic.=$T.'pathroot->_callbackname="root00";'."\n";
+        $semantic.=$T.'$$=$2;'."\n";
+        $semantic.=$T.'adms_slist_inreverse(&$$);'."\n";
+        $semantic.=$T.'adms_slist_push(&$$,(p_adms)pathroot);'."\n";
+      }
+    }
+    elsif(xid($set) eq "pathsep")
+    {
+      if($xid == 0)
+      {
+        $semantic.=$T.'$$=NULL;'."\n";
+        $semantic.=$T.'adms_slist_push(&$$,(p_adms)$1);'."\n";
+      }
+      elsif($xid == 1)
+      {
+        $semantic.=$T.'$$=$1;'."\n";
+        $semantic.=$T.'adms_slist_push(&$$,(p_adms)$3);'."\n";
+      }
+    }
+    elsif(xid($set) eq "locationcondition")
+    {
+      if($xid == 0)
+      {
+        $semantic.=$T.'$$=$1;'."\n";
+        $semantic.=$T.'if($2) adms_slist_push(&$$->_c,(p_adms)$2);'."\n";
+      }
+      elsif($xid == 1)
+      {
+        $semantic.=$T.'$$=adms_path_new(((p_pparse)mypparse)->_transform,NULL);'."\n";
+        $semantic.=$T.'$$->_callback=(void*)location00;'."\n";
+        $semantic.=$T.'$$->_callbackname="location00";'."\n";
+        $semantic.=$T.'if($1) adms_slist_push(&$$->_c,(p_adms)$1);'."\n";
+      }
+      elsif($xid == 2)
+      {
+        $semantic.=$T.'$$=$1;'."\n";
+      }
+      elsif($xid == 3)
+      {
+        $semantic.=$T.'$$=$2;'."\n";
+        $semantic.=$T.'$$->_callbackname="groupage";'."\n";
+        $semantic.=$T.'$$->_callback=(void*)groupage;'."\n";
+      }
+    }
+    elsif(xid($set) eq "location")
+    {
+      if(0) {}
+      elsif($label eq "intp")
+      {
+        $semantic.=$T.'$$=BASICINTEGER((p_pparse)mypparse,adms_knclone(((p_pparse)mypparse)->_tkstart,((p_pparse)mypparse)->_tklen));'."\n";
+      }
+      elsif($label eq "realp")
+      {
+        $semantic.=$T.'$$=BASICSTRING((p_pparse)mypparse,adms_knclone(((p_pparse)mypparse)->_tkstart,((p_pparse)mypparse)->_tklen));'."\n";
+      }
+      elsif($label eq "ident")
+      {
+        $semantic.=$T.'$$=BASICSTRING((p_pparse)mypparse,adms_knclone(((p_pparse)mypparse)->_tkstart,((p_pparse)mypparse)->_tklen));'."\n";
+        $semantic.=$T.'if(($$->_text->_admse==admse_past_name)||($$->_text->_admse==admse_datatypefamily))'."\n";
+        $semantic.=$T.'{'."\n";
+        $semantic.=$T.'  $$->_callback=(void*)location03dummy;'."\n";
+        $semantic.=$T.'  $$->_callbackname="location03dummy";'."\n";
+        $semantic.=$T.'}'."\n";
+        $semantic.=$T.'else'."\n";
+        $semantic.=$T.'{'."\n";
+        $semantic.=$T.'  $$->_callback=(void*)location03;'."\n";
+        $semantic.=$T.'  $$->_callbackname="location03";'."\n";
+        $semantic.=$T.'}'."\n";
+      }
+      elsif($label eq "q")
+      {
+        $semantic.=$T.'$$=adms_path_new(((p_pparse)mypparse)->_transform,NULL);'."\n";
+        $semantic.=$T.'$$->_text=$1;'."\n";
+        $semantic.=$T.'if((adms_slist_length($$->_text->_token)==1)&&($$->_text->_token->data->_datatypename==admse_admst))'."\n";
+        $semantic.=$T.'{'."\n";
+        $semantic.=$T.'  $$->_callback=(void*)location09k;'."\n";
+        $semantic.=$T.'  $$->_callbackname="location09k.'.$label.'";'."\n";
+        $semantic.=$T.'}'."\n";
+        $semantic.=$T.'else'."\n";
+        $semantic.=$T.'{'."\n";
+        $semantic.=$T.'  $$->_callback=(void*)location09;'."\n";
+        $semantic.=$T.'  $$->_callbackname="location09.'.$label.'";'."\n";
+        $semantic.=$T.'}'."\n";
+      }
+      elsif($label eq "ident.index")
+      {
+        $semantic.=$T.'$$=BASICSTRING((p_pparse)mypparse,"index");'."\n";
+      }
+      elsif($label eq "ticptr")
+      {
+        $semantic.=$T.'$$=adms_path_new(((p_pparse)mypparse)->_transform,NULL);'."\n";
+        $semantic.=$T.'p_text mytext=adms_text_new(((p_pparse)mypparse)->_transform,"\'%p\'");'."\n";
+        $semantic.=$T.'adms_slist_push(&(mytext->_token),(p_adms)adms_admst_newks(adms_kclone("%p")));'."\n";
+        $semantic.=$T.'mytext->_admse=admse__p;'."\n";
+        $semantic.=$T.'$$->_text=mytext;'."\n";
+      }
+      elsif($label eq "dollar")
+      {
+        $semantic.=$T.'p_text mytext=adms_text_new(((p_pparse)mypparse)->_transform,"$");'."\n";
+        $semantic.=$T.'mytext->_token=adms_slist_reverse($2);'."\n";
+        $semantic.=$T.'$$=adms_path_new(((p_pparse)mypparse)->_transform,mytext);'."\n";
+      }
+      elsif($label eq "aroba")
+      {
+        $semantic.=$T.'p_text mytext=adms_text_new(((p_pparse)mypparse)->_transform,"@");'."\n";
+        $semantic.=$T.'mytext->_token=adms_slist_reverse($2);'."\n";
+        $semantic.=$T.'$$=adms_path_new(((p_pparse)mypparse)->_transform,mytext);'."\n";
+      }
+      elsif($label eq "croix")
+      {
+        $semantic.=$T.'p_text mytext=adms_text_new(((p_pparse)mypparse)->_transform,"#");'."\n";
+        $semantic.=$T.'mytext->_token=adms_slist_reverse($2);'."\n";
+        $semantic.=$T.'$$=adms_path_new(((p_pparse)mypparse)->_transform,mytext);'."\n";
+      }
+      elsif($label eq "croixcroix")
+      {
+        $semantic.=$T.'p_text mytext=adms_text_new(((p_pparse)mypparse)->_transform,"##");'."\n";
+        $semantic.=$T.'mytext->_token=adms_slist_reverse($2);'."\n";
+        $semantic.=$T.'$$=adms_path_new(((p_pparse)mypparse)->_transform,mytext);'."\n";
+      }
+      elsif($label eq "kdollar")
+      {
+        $semantic.=$T.'$$=BASICSTRING((p_pparse)mypparse,adms_knclone(((p_pparse)mypparse)->_tkstart,((p_pparse)mypparse)->_tklen));'."\n";
+      }
+      elsif($label eq "karoba")
+      {
+        $semantic.=$T.'$$=BASICSTRING((p_pparse)mypparse,adms_knclone(((p_pparse)mypparse)->_tkstart,((p_pparse)mypparse)->_tklen));'."\n";
+      }
+      elsif($label eq "kcroix")
+      {
+        $semantic.=$T.'$$=BASICSTRING((p_pparse)mypparse,adms_knclone(((p_pparse)mypparse)->_tkstart,((p_pparse)mypparse)->_tklen));'."\n";
+      }
+      elsif($label eq "kcroixcroix")
+      {
+        $semantic.=$T.'$$=BASICSTRING((p_pparse)mypparse,adms_knclone(((p_pparse)mypparse)->_tkstart,((p_pparse)mypparse)->_tklen));'."\n";
+      }
+      elsif($label eq "function.admst")
+      {
+        $semantic.=$T.'$$=adms_path_new(((p_pparse)mypparse)->_transform,NULL);'."\n";
+      }
+      elsif($label eq "function.ident")
+      {
+        $semantic.=$T.'$$=$1;'."\n";
+      }
+      elsif($label eq "function.returned")
+      {
+        $semantic.=$T.'$$=adms_path_new(((p_pparse)mypparse)->_transform,NULL);'."\n";
+        $semantic.=$T.'$$->_text=$3;'."\n";
+      }
+      elsif($label eq "function.text")
+      {
+        $semantic.=$T.'$$=adms_path_new(((p_pparse)mypparse)->_transform,NULL);'."\n";
+        $semantic.=$T.'$$->_text=$3;'."\n";
+      }
+      else
+      {
+        $semantic.=$T.'$$=adms_path_new(((p_pparse)mypparse)->_transform,NULL);'."\n";
+      }
+      if($rootcounter==2)
+      {
+        $semantic.=$T.'adms_slist_push(&$$->_u,(p_adms)$5);'."\n";
+      }
+      if($rootcounter)
+      {
+        $semantic.=$T.'adms_slist_push(&$$->_u,(p_adms)$3);'."\n";
+      }
+      if(($label ne "q")&&($label ne "ident"))
+      {
+        $semantic.=$T.'$$->_callback=(void*)'.xid($set).$id.';'."\n";
+        $semantic.=$T.'$$->_callbackname="'.xid($set).$id.'.'.$label.'";'."\n";
+      }
+    }
+    elsif(xid($set) eq "identifier")
+    {
+      $semantic.=$T.'$$=BASICSTRING((p_pparse)mypparse,adms_knclone(((p_pparse)mypparse)->_tkstart,((p_pparse)mypparse)->_tklen));'."\n";
+    }
+    elsif(xid($set) eq "quotedstring")
+    {
+      if($xid == 0)
+      {
+        $semantic.=$T.'p_text mytext=adms_text_new(((p_pparse)mypparse)->_transform,"qtext");'."\n";
+        $semantic.=$T.'mytext->_token=adms_slist_reverse($2);'."\n";
+        $semantic.=$T.'if(adms_slist_length(mytext->_token)==1)'."\n";
+        $semantic.=$T.'{'."\n";
+        $semantic.=$T.'  if(mytext->_token->data->_datatypename==admse_path)'."\n";
+        $semantic.=$T.'    mytext->_admse=admse__path;'."\n";
+        $semantic.=$T.'  else if(mytext->_token->data->_datatypename==admse_admst)'."\n";
+        $semantic.=$T.'    mytext->_admse=ns_strtoe(((p_admst)mytext->_token->data)->_item.s);'."\n";
+        $semantic.=$T.'}'."\n";
+        $semantic.=$T.'$$=mytext;'."\n";
+      }
+      elsif($xid == 1)
+      {
+        $semantic.=$T.'p_text mytext=adms_text_new(((p_pparse)mypparse)->_transform,"");'."\n";
+        $semantic.=$T.'adms_slist_push(&(mytext->_token),(p_adms)adms_admst_newks(adms_kclone("")));'."\n";
+        $semantic.=$T.'$$=mytext;'."\n";
+      }
+    }
+    elsif(xid($set) eq "atext")
+    {
+      if($xid == 0)
+      {
+        $semantic.=$T.'$$=NULL;'."\n";
+        $semantic.=$T.'adms_slist_push(&$$,(p_adms)$1);'."\n";
+      }
+      elsif($xid == 1)
+      {
+        $semantic.=$T.'$$=$1;'."\n";
+        $semantic.=$T.'adms_slist_push(&$$,(p_adms)$2);'."\n";
+      }
+    }
+    elsif(xid($set) eq "atextitem")
+    {
+      if($xid == 0)
+      {
+        $semantic.=$T.'$$=(p_path)adms_admst_newks(adms_kclone("\n"));'."\n";
+      }
+      elsif($xid == 1)
+      {
+        $semantic.=$T.'$$=(p_path)adms_admst_newks(adms_kclone("\r"));'."\n";
+      }
+      elsif($xid == 2)
+      {
+        $semantic.=$T.'$$=(p_path)adms_admst_newks(adms_kclone("\t"));'."\n";
+      }
+      elsif($xid == 3)
+      {
+        $semantic.=$T.'$$=(p_path)adms_admst_newks(adms_knclone(((p_pparse)mypparse)->_tkstart,((p_pparse)mypparse)->_tklen));'."\n";
+      }
+      elsif($xid == 4)
+      {
+        $semantic.=$T.'$$=(p_path)adms_text_new(((p_pparse)mypparse)->_transform,"%s");'."\n";
+        $semantic.=$T.'((p_text)$$)->_admse=admse__s;'."\n";
+      }
+      elsif($xid == 5)
+      {
+        $semantic.=$T.'$$=(p_path)adms_text_new(((p_pparse)mypparse)->_transform,"$");'."\n";
+        $semantic.=$T.'((p_text)$$)->_token=adms_slist_reverse($2);'."\n";
+      }
+      elsif($xid == 6)
+      {
+        $semantic.=$T.'char* mytvalue=adms_knclone(((p_pparse)mypparse)->_tkstart,((p_pparse)mypparse)->_tklen);'."\n";
+        $semantic.=$T.'$$=(p_path)adms_text_new(((p_pparse)mypparse)->_transform,"$");'."\n";
+        $semantic.=$T.'adms_slist_push(&(((p_text)$$)->_token),(p_adms)adms_admst_newks(mytvalue));'."\n";
+      }
+      elsif($xid == 7)
+      {
+        $semantic.=$T.'$$=$2;'."\n";
+      }
+    }
+    elsif(xid($set) eq "condition")
+    {
+      $semantic.=$T.'$$=$2;'."\n";
+    }
+    elsif(xid($set) eq "expression")
+    {
+      if($xid == 0)
+      {
+        $semantic.=$T.'$$=$1;'."\n";
+      }
+      elsif($xid == 1)
+      {
+        $semantic.=$T.'$$=adms_path_new(((p_pparse)mypparse)->_transform,NULL);'."\n";
+        $semantic.=$T.'$$->_callback=(void*)expression01;'."\n";
+        $semantic.=$T.'$$->_callbackname="expression01";'."\n";
+        $semantic.=$T.'adms_slist_push(&$$->_u,(p_adms)$1);'."\n";
+      }
+    }
+    elsif(xid($set) eq "binaryor")
+    {
+      if($xid == 0)
+      {
+        $semantic.=$T.'$$=$1;'."\n";
+      }
+      elsif($xid == 1)
+      {
+        $semantic.=$T.'$$=adms_path_new(((p_pparse)mypparse)->_transform,NULL);'."\n";
+        $semantic.=$T.'$$->_callback=(void*)binaryor;'."\n";
+        $semantic.=$T.'$$->_callbackname="binaryor";'."\n";
+        $semantic.=$T.'adms_slist_push(&$$->_b,(p_adms)$3);'."\n";
+        $semantic.=$T.'adms_slist_push(&$$->_b,(p_adms)$1);'."\n";
+      }
+    }
+    elsif(xid($set) eq "binaryand")
+    {
+      if($xid == 0)
+      {
+        $semantic.=$T.'$$=$1;'."\n";
+      }
+      elsif($xid == 1)
+      {
+        $semantic.=$T.'$$=adms_path_new(((p_pparse)mypparse)->_transform,NULL);'."\n";
+        $semantic.=$T.'$$->_callback=(void*)binaryand;'."\n";
+        $semantic.=$T.'$$->_callbackname="binaryand";'."\n";
+        $semantic.=$T.'adms_slist_push(&$$->_b,(p_adms)$3);'."\n";
+        $semantic.=$T.'adms_slist_push(&$$->_b,(p_adms)$1);'."\n";
+      }
+    }
+    elsif(xid($set) eq "unary")
+    {
+      if($xid == 0)
+      {
+        $semantic.=$T.'$$=$1;'."\n";
+      }
+      elsif($xid == 1)
+      {
+        $semantic.=$T.'$$=adms_path_new(((p_pparse)mypparse)->_transform,NULL);'."\n";
+        $semantic.=$T.'$$->_callback=(void*)unary00;'."\n";
+        $semantic.=$T.'$$->_callbackname="unary00";'."\n";
+        $semantic.=$T.'adms_slist_push(&$$->_u,(p_adms)$2);'."\n";
+      }
+    }
+    elsif(xid($set) eq "atomic")
+    {
+      if($label eq "isdefault")
+      {
+        $semantic.=$T.'$$=BASICSTRING((p_pparse)mypparse,adms_knclone(((p_pparse)mypparse)->_tkstart,((p_pparse)mypparse)->_tklen));'."\n";
+        $semantic.=$T.'$$->_callback=(void*)atomicisdefault;'."\n";
+        $semantic.=$T.'$$->_callbackname="atomicisdefault";'."\n";
+      }
+      elsif($label eq "groupage")
+      {
+        $semantic.=$T.'$$=adms_path_new(((p_pparse)mypparse)->_transform,NULL);'."\n";
+        $semantic.=$T.'$$->_callback=(void*)atomicgroupage;'."\n";
+        $semantic.=$T.'$$->_callbackname="atomicgroupage";'."\n";
+        $semantic.=$T.'adms_slist_push(&$$->_u,(p_adms)$2);'."\n";
+      }
+      else
+      {
+        $semantic.=$T.'$$=adms_path_new(((p_pparse)mypparse)->_transform,NULL);'."\n";
+        $semantic.=$T.'$$->_callback=(void*)atomic'.$label.';'."\n";
+        $semantic.=$T.'$$->_callbackname="atomic'.$label.'";'."\n";
+      }
+      if($rootcounter)
+      {
+        $semantic.=$T.'adms_slist_push(&$$->_u,(p_adms)$3);'."\n";
+      }
+      if($rootcounter==2)
+      {
+        $semantic.=$T.'adms_slist_push(&$$->_u,(p_adms)$1);'."\n";
+      }
+    }
+    print admstpathYacc_y $semantic;
+    print admstpathYacc_y " }\n";
+  }
+  print admstpathYacc_y ";\n";
+}
+print admstpathYacc_y "
+%%
+static const char* tparsecb (p_pparse mypparse)
+{
+  const char* t=mypparse->_position;
+  mypparse->_tkstart=t;
+  if(0) {}
+  else if(*t=='\\\''&&INSIDEPATH)
+  {
+    adms_slist_pull(&globalctxt);
+    t+=1, mypparse->_tkid=tktk_cquote;
+  }
+  else if(*t=='\%')
+  {
+    if(*(t+1)=='\\0')
+      t+=1, mypparse->_tkid=tktk_anytext;
+    else if(*(t+1)=='s')
+      t+=2, mypparse->_tkid=tktk_percents;
+    else if(*(t+1)=='\%')
+    {
+      mypparse->_tkstart=t+1;
+      t+=2, mypparse->_tkid=tktk_anytext;
+    }
+    else if(*(t+1)=='(')
+    {
+      paren++; adms_slist_push(&parenidx,(p_adms)(long)paren); SETPATH
+      mypparse->_tkstart=t+2;
+      t+=2, mypparse->_tkid=tktk_percent;
+    }
+    else
+      t+=2, mypparse->_tkid=tktk_anytext;
+  }
+  else if(*t=='\\\\')
+  {
+    if(*(t+1)=='\\0')
+      t+=1, mypparse->_tkid=tktk_anytext;
+    if(*(t+1)=='n')
+      t+=2, mypparse->_tkid=tktk_cr;
+    else if(*(t+1)=='r')
+      t+=2, mypparse->_tkid=tktk_cr;
+    else if(*(t+1)=='t')
+      t+=2, mypparse->_tkid=tktk_tab;
+    else
+    {
+      mypparse->_tkstart=t+1;
+      t+=2, mypparse->_tkid=tktk_anytext;
+    }
+  }
+  else if(*t=='\$')
+  {
+    if(*(t+1)=='\\0')
+      t+=1, mypparse->_tkid=tktk_anytext;
+    if(*(t+1)=='(')
+    {
+      paren++; adms_slist_push(&parenidx,(p_adms)(long)paren); SETTEXT
+      mypparse->_tkstart=t+2;
+      t+=2, mypparse->_tkid=tktk_dollarvariable;
+    }
+    else
+    {
+      mypparse->_tkstart=t+1;
+      t++;
+      while(*t&&(isalnum(*t)||*t=='_'))
+        t++;
+      mypparse->_tkid=tktk_kdollarvariable;
+    }
+  }
+  else if(ISTEXT&&(*t==')'))
+  {
+    if(PARENMATCH)
+    {
+      t+=1, mypparse->_tkid=tktk_closeE, adms_slist_pull(&parenidx);
+      adms_slist_pull(&globalctxt);
+    }
+    else
+      t+=1, mypparse->_tkid=tktk_anytext;
+    paren--;
+  }
+  else
+  {
+    if(INSIDEPATH)
+    {
+      if(ISTEXT) while(*t&&(*t!=')')&&(*t!='\\0')&&(*t!='\%')&&(*t!='\\\\')&&(*t!='\$')&&(*t!='\\''))
+      {
+        if(*t=='(') paren++;
+        t++;
+      }
+      else if(ISTIC) while(*t&&(*t!='\\0')&&(*t!='\%')&&(*t!='\\\\')&&(*t!='\$')&&(*t!='\\''))
+      {
+        t++;
+      }
+      else
+        adms_message_fatal((\"%s:'%s' unexpected error in apath parser - please report to r29173\@users.sourceforge.net\\n\",adms_transform_uid(mypparse->_transform),mypparse->_value))
+    }
+    else
+    {
+      if(ISTEXT) while(*t&&(*t!=')')&&(*t!='\\0')&&(*t!='\%')&&(*t!='\\\\')&&(*t!='\$'))
+      {
+        if(*t=='(') paren++;
+        t++;
+      }
+      else if(ISTIC) while(*t&&(*t!='\\0')&&(*t!='\%')&&(*t!='\\\\')&&(*t!='\$'))
+      {
+        t++;
+      }
+      else
+        adms_message_fatal((\"%s:'%s' unexpected error in apath parser - please report to r29173\@users.sourceforge.net\\n\",adms_transform_uid(mypparse->_transform),mypparse->_value))
+    }
+    mypparse->_tkid=tktk_anytext;
+  }
+  mypparse->_tklen=(int)(long)(t-mypparse->_tkstart);
+  return t;
+}
+static int admstpathlex (p_pparse mypparse)
+{
+  const char* t=mypparse->_position;
+  const char* tstart;
+  if(*t=='\\0')
+    return 0;
+  if(goto_atext)
+  {
+    goto_atext=0;
+    return tktk_goto_atext;
+  }
+";
+map {print admstpathYacc_y "  while(".xvalue($_).") t++;\n";} $admstpathxml->findnodes("//ignore");
+print admstpathYacc_y "  tstart=t;
+  if(!strncmp(t,\"\\\'%p\\'\",4)&&!isalpha(*(t+4)))
+    t+=4, mypparse->_tkid=tktk_ticptr;
+  else if(!ISPATH)
+    t=tparsecb(mypparse);
+";
+foreach($admstpathxml->findnodes("//constant|//regexp"))
+{
+  if($_->nodeName eq "regexp")
+  {
+    print admstpathYacc_y $_->textContent;
+  }
+  elsif(xvalue($_)=~/\w$/)
+  {
+    my$len=length(xvalue($_));
+    print admstpathYacc_y "  else if(!strncmp(t,\"".xvalue($_)."\",$len)&&!isalpha(*(t+$len)))\n";
+    print admstpathYacc_y "    t+=$len, mypparse->_tkid=tktk_".xid($_).";\n";
+  }
+  else
+  {
+    my$len=length(xvalue($_));
+    print admstpathYacc_y "  else if(!strncmp(t,\"".xvalue($_)."\",$len))\n";
+    print admstpathYacc_y "    t+=$len, mypparse->_tkid=tktk_".xid($_).";\n";
+  }
+}
+print admstpathYacc_y "  else
+  {
+    if(*t=='\\0')
+      return 0;
+    adms_message_fatal((\"%s: invalid path - unexpected character: %s\\n\",
+    adms_transform_uid(mypparse->_transform),
+    mypparse->_value))
+  }
+  mypparse->_position=t;
+  return mypparse->_tkid;
+}
+p_path pparse (p_transform mytransform,const char* aname,const char* avalue)
+{
+  p_path mypath;
+  p_pparse mypparse=adms_pparse_new(mytransform,aname,avalue);
+  goto_atext=0;
+  SETPATH
+  globalignorequote=0;
+  if(*avalue)
+  {
+    mypparse->_position=avalue;
+    admstpathparse (mypparse);
+    mypath=mypparse->_path;
+    mypath->_aname=adms_kclone(aname);
+    mypath->_avalue=avalue?adms_kclone(avalue):adms_kclone(\"\");
+  }
+  else
+  {
+    mypath=NULL;
+    adms_message_fatal_continue((\"invalid path \\\"\%s\\\"\\n\",mypparse->_value))
+    adms_message_fatal((\"see \%s\\n\",adms_transform_uid(mypparse->_transform)))
+  }
+  adms_slist_pull(&globalctxt);
+  adms_pparse_free(mypparse);
+  return mypath;
+}
+p_text tparse (p_ktransform mytransform, const char* aname, const char* avalue)
+{
+  p_text mytext;
+  if(!avalue)
+    mytext=adms_text_new(mytransform,avalue);
+  else if(*avalue=='\\0')
+  {
+    p_text mytext=adms_text_new(mytransform,avalue);
+    adms_slist_push(&(mytext->_token),(p_adms)adms_admst_newks(adms_kclone(\"\")));
+    return mytext;
+  }
+  else
+  {
+    p_pparse mypparse=adms_pparse_new(mytransform,aname,avalue);
+    SETTIC
+    goto_atext=1;
+    globalignorequote=1;
+    mypparse->_position=avalue;
+    admstpathparse (mypparse);
+    mytext=mypparse->_text;
+    adms_pparse_free(mypparse);
+    mytext->_value=adms_kclone(avalue);
+    adms_slist_pull(&globalctxt);
+  }
+  mytext->_admse=ns_strtoe(avalue);
+  if((adms_slist_length(mytext->_token)==1)&&(mytext->_token->data->_datatypename==admse_path))
+    mytext->_admse=admse__path;
+  else if(!strcmp(avalue,\"\%p\"))
+    mytext->_admse=admse__p;
+  mytext->_aname=adms_kclone(aname);
+  return mytext;
+}
+int apath_main (int argc,char **argv)
+{
+  int argci;
+  int doatext=0;
+  char*avalue=(argc==1)?\"a/b/c|c|c|c\":argv[1];
+  for(argci=1;argci<argc;argci++)
+  {
+#if YYDEBUG
+    admstpathdebug=admstpathdebug||!strcmp(\"-d\",argv[argci]);
+#endif
+    doatext=!strcmp(\"-t\",argv[argci]);
+  }
+  printf(\"parsing=%s\\n\",doatext?\"text\":\"apath\");
+  goto_atext=doatext;
+  printf(\"%s \\\"%s\\\"\\n\",argv[0],avalue);
+  rootnew(adms_admsmain_new(\"admsmain\"));
+  if(doatext)
+    dbtext(tparse(adms_transform_new(\"<stdin>\",\"<stdin>\",0,\"*\",NULL),\"input:text\",avalue));
+  else
+  {
+    char*aname=\"stdin\";
+    p_pparse mypparse=adms_pparse_new(adms_transform_new(\"<stdin>\",\"<stdin>\",0,\"*\",NULL),aname,avalue);
+    mypparse->_position=avalue;
+    SETPATH
+    globalignorequote=0;
+    admstpathparse (mypparse);
+    printf(\"<p id=\\\"%s\\\">\",avalue);
+    mypparse->_path->_aname=adms_kclone(aname);
+    postpath(mypparse->_path);
+    dbpath(mypparse->_path);
+    printf(\"</p>\\n\");
+  }
+  return 0;
+}
+";
+close admstpathYacc_y;
+print "$top_srcdir/admsXml/admstpathYacc.y: created\n";
+
diff --git a/admsXml/mkgrammar.pl b/admsXml/mkgrammar.pl
new file mode 100644
index 0000000..85ce21f
--- /dev/null
+++ b/admsXml/mkgrammar.pl
@@ -0,0 +1,116 @@
+#!perl -w
+my%Token;
+my$atTop=1;
+my$atBotton=0;
+my at Bottom;
+my at allbisonrule;
+open OFH, ">verilogaYacc.y";
+print OFH "/* File automatically created by " . __FILE__ . " */\n";
+print OFH "\n";
+while(<>)
+{
+  $atTop=0 if m/R_admsParse/;
+  print OFH if $atTop;
+  $atBotton=1 if m/^%%$/;
+  push @Bottom,$_ if $atBotton;
+  if(/^R_/)
+  {
+    chop;
+    my$bisonrule;
+    $bisonrule->{name}=$_;
+    push @allbisonrule,$bisonrule;
+    $_=<>;
+    while(s/^\s+\|\s*//)
+    {
+      my$bisonalternative;
+      NEWALTERNATIVE:
+      {
+        my%fragment;
+        $fragment{precedence}=$1 if s/\s+(\%.*)$//;
+        s/^\s+//;
+        foreach my$tk(split /\s+/,$_)
+        {
+          push @{$fragment{child}},$tk;
+          $Token{$tk}=1 if ($tk=~/tk_/);
+        }
+        $_=<>;
+        while(s/^(\s+)_/$1 /)
+        {
+          $fragment{code}.=$_;
+          $_=<>;
+        }
+        push @{$bisonalternative->{child}},\%fragment;
+        if(not(m/^\s+;$/ || m/^\s+\|\s*/))
+        {
+          goto NEWALTERNATIVE;
+        }
+      }
+      push @{$bisonrule->{alternative}},$bisonalternative;
+    }
+    die "bisonrule should terminate with ';' - see $_" if(not m/^\s+;$/);
+  }
+}
+map {print OFH "\%token <_lexval> $_\n";} keys %Token;
+print OFH "\n";
+map {print OFH "\%type <_yaccval> $_->{name}\n";} @allbisonrule;
+print OFH "\n";
+print OFH "%%\n";
+foreach my$mybisonrule(@allbisonrule)
+{
+  print OFH "$mybisonrule->{name}\n";
+  my$firstalternative=$mybisonrule->{alternative}->[0];
+  my$alterindex=0;
+  foreach my$myalter(@{$mybisonrule->{alternative}})
+  {
+    my$fragmentindex=0;
+    my$tokenstart=0;
+    foreach my$fragment(@{$myalter->{child}})
+    {
+      my$child=$fragment->{child};
+      my$code=$fragment->{code};
+      print OFH " "x8;
+      if($alterindex==0 && $fragmentindex==0)
+      {
+        print OFH ": ";
+      }
+      elsif($fragmentindex==0)
+      {
+        print OFH "| ";
+      }
+      else
+      {
+        print OFH " ";
+      }
+      print OFH join " ", @$child if $child;
+      print OFH "\n";
+      print OFH " "x10 . "{\n";
+      if($code)
+      {
+        my$tkindex=$tokenstart+1;
+        foreach my$tk(@$child)
+        {
+          if(defined($Token{$tk}) && ($code =~ m/mylexval$tkindex/))
+          {
+            print OFH " "x12 . "char* mylexval$tkindex=((p_lexval)\$$tkindex)->_string;\n";
+          }
+          $tkindex++;
+        }
+        print OFH $code;
+      }
+      my$tkindex=$tokenstart+1;
+      foreach my$tk(@$child)
+      {
+        $tkindex++;
+      }
+      print OFH " "x10 . "}";
+      print OFH " " . $fragment->{precedence} if (defined $fragment->{precedence});
+      print OFH "\n";
+      $fragmentindex++;
+      $tokenstart+=scalar(@$child)+1;
+    }
+    $alterindex++;
+  }
+  print OFH " "x8 . ";\n";
+}
+print OFH @Bottom;
+close OFH;
diff --git a/admsXml/preprocessorLex.c b/admsXml/preprocessorLex.c
new file mode 100644
index 0000000..cb3b2c0
--- /dev/null
+++ b/admsXml/preprocessorLex.c
@@ -0,0 +1,3198 @@
+#line 2 "preprocessorLex.c"
+
+#line 4 "preprocessorLex.c"
+
+#define  YY_INT_ALIGNED short int
+
+/* A lexical scanner generated by flex */
+
+#define yy_create_buffer preprocessor_create_buffer
+#define yy_delete_buffer preprocessor_delete_buffer
+#define yy_flex_debug preprocessor_flex_debug
+#define yy_init_buffer preprocessor_init_buffer
+#define yy_flush_buffer preprocessor_flush_buffer
+#define yy_load_buffer_state preprocessor_load_buffer_state
+#define yy_switch_to_buffer preprocessor_switch_to_buffer
+#define yyin preprocessorin
+#define yyleng preprocessorleng
+#define yylex preprocessorlex
+#define yylineno preprocessorlineno
+#define yyout preprocessorout
+#define yyrestart preprocessorrestart
+#define yytext preprocessortext
+#define yywrap preprocessorwrap
+#define yyalloc preprocessoralloc
+#define yyrealloc preprocessorrealloc
+#define yyfree preprocessorfree
+
+#define FLEX_SCANNER
+#define YY_FLEX_MAJOR_VERSION 2
+#define YY_FLEX_MINOR_VERSION 5
+#define YY_FLEX_SUBMINOR_VERSION 35
+#if YY_FLEX_SUBMINOR_VERSION > 0
+#define FLEX_BETA
+#endif
+
+/* First, we deal with  platform-specific or compiler-specific issues. */
+
+/* begin standard C headers. */
+#include <stdio.h>
+#include <string.h>
+#include <errno.h>
+#include <stdlib.h>
+
+/* end standard C headers. */
+
+/* flex integer type definitions */
+
+#ifndef FLEXINT_H
+#define FLEXINT_H
+
+/* C99 systems have <inttypes.h>. Non-C99 systems may or may not. */
+
+#if defined (__STDC_VERSION__) && __STDC_VERSION__ >= 199901L
+
+/* C99 says to define __STDC_LIMIT_MACROS before including stdint.h,
+ * if you want the limit (max/min) macros for int types. 
+ */
+#ifndef __STDC_LIMIT_MACROS
+#define __STDC_LIMIT_MACROS 1
+#endif
+
+#include <inttypes.h>
+typedef int8_t flex_int8_t;
+typedef uint8_t flex_uint8_t;
+typedef int16_t flex_int16_t;
+typedef uint16_t flex_uint16_t;
+typedef int32_t flex_int32_t;
+typedef uint32_t flex_uint32_t;
+#else
+typedef signed char flex_int8_t;
+typedef short int flex_int16_t;
+typedef int flex_int32_t;
+typedef unsigned char flex_uint8_t; 
+typedef unsigned short int flex_uint16_t;
+typedef unsigned int flex_uint32_t;
+#endif /* ! C99 */
+
+/* Limits of integral types. */
+#ifndef INT8_MIN
+#define INT8_MIN               (-128)
+#endif
+#ifndef INT16_MIN
+#define INT16_MIN              (-32767-1)
+#endif
+#ifndef INT32_MIN
+#define INT32_MIN              (-2147483647-1)
+#endif
+#ifndef INT8_MAX
+#define INT8_MAX               (127)
+#endif
+#ifndef INT16_MAX
+#define INT16_MAX              (32767)
+#endif
+#ifndef INT32_MAX
+#define INT32_MAX              (2147483647)
+#endif
+#ifndef UINT8_MAX
+#define UINT8_MAX              (255U)
+#endif
+#ifndef UINT16_MAX
+#define UINT16_MAX             (65535U)
+#endif
+#ifndef UINT32_MAX
+#define UINT32_MAX             (4294967295U)
+#endif
+
+#endif /* ! FLEXINT_H */
+
+#ifdef __cplusplus
+
+/* The "const" storage-class-modifier is valid. */
+#define YY_USE_CONST
+
+#else	/* ! __cplusplus */
+
+/* C99 requires __STDC__ to be defined as 1. */
+#if defined (__STDC__)
+
+#define YY_USE_CONST
+
+#endif	/* defined (__STDC__) */
+#endif	/* ! __cplusplus */
+
+#ifdef YY_USE_CONST
+#define yyconst const
+#else
+#define yyconst
+#endif
+
+/* Returned upon end-of-file. */
+#define YY_NULL 0
+
+/* Promotes a possibly negative, possibly signed char to an unsigned
+ * integer for use as an array index.  If the signed char is negative,
+ * we want to instead treat it as an 8-bit unsigned char, hence the
+ * double cast.
+ */
+#define YY_SC_TO_UI(c) ((unsigned int) (unsigned char) c)
+
+/* Enter a start condition.  This macro really ought to take a parameter,
+ * but we do it the disgusting crufty way forced on us by the ()-less
+ * definition of BEGIN.
+ */
+#define BEGIN (yy_start) = 1 + 2 *
+
+/* Translate the current start state into a value that can be later handed
+ * to BEGIN to return to the state.  The YYSTATE alias is for lex
+ * compatibility.
+ */
+#define YY_START (((yy_start) - 1) / 2)
+#define YYSTATE YY_START
+
+/* Action number for EOF rule of a given start state. */
+#define YY_STATE_EOF(state) (YY_END_OF_BUFFER + state + 1)
+
+/* Special action meaning "start processing a new file". */
+#define YY_NEW_FILE preprocessorrestart(preprocessorin  )
+
+#define YY_END_OF_BUFFER_CHAR 0
+
+/* Size of default input buffer. */
+#ifndef YY_BUF_SIZE
+#define YY_BUF_SIZE 16384
+#endif
+
+/* The state buf must be large enough to hold one state per character in the main buffer.
+ */
+#define YY_STATE_BUF_SIZE   ((YY_BUF_SIZE + 2) * sizeof(yy_state_type))
+
+#ifndef YY_TYPEDEF_YY_BUFFER_STATE
+#define YY_TYPEDEF_YY_BUFFER_STATE
+typedef struct yy_buffer_state *YY_BUFFER_STATE;
+#endif
+
+extern int preprocessorleng;
+
+extern FILE *preprocessorin, *preprocessorout;
+
+#define EOB_ACT_CONTINUE_SCAN 0
+#define EOB_ACT_END_OF_FILE 1
+#define EOB_ACT_LAST_MATCH 2
+
+    #define YY_LESS_LINENO(n)
+    
+/* Return all but the first "n" matched characters back to the input stream. */
+#define yyless(n) \
+	do \
+		{ \
+		/* Undo effects of setting up preprocessortext. */ \
+        int yyless_macro_arg = (n); \
+        YY_LESS_LINENO(yyless_macro_arg);\
+		*yy_cp = (yy_hold_char); \
+		YY_RESTORE_YY_MORE_OFFSET \
+		(yy_c_buf_p) = yy_cp = yy_bp + yyless_macro_arg - YY_MORE_ADJ; \
+		YY_DO_BEFORE_ACTION; /* set up preprocessortext again */ \
+		} \
+	while ( 0 )
+
+#define unput(c) yyunput( c, (yytext_ptr)  )
+
+#ifndef YY_TYPEDEF_YY_SIZE_T
+#define YY_TYPEDEF_YY_SIZE_T
+typedef size_t yy_size_t;
+#endif
+
+#ifndef YY_STRUCT_YY_BUFFER_STATE
+#define YY_STRUCT_YY_BUFFER_STATE
+struct yy_buffer_state
+	{
+	FILE *yy_input_file;
+
+	char *yy_ch_buf;		/* input buffer */
+	char *yy_buf_pos;		/* current position in input buffer */
+
+	/* Size of input buffer in bytes, not including room for EOB
+	 * characters.
+	 */
+	yy_size_t yy_buf_size;
+
+	/* Number of characters read into yy_ch_buf, not including EOB
+	 * characters.
+	 */
+	int yy_n_chars;
+
+	/* Whether we "own" the buffer - i.e., we know we created it,
+	 * and can realloc() it to grow it, and should free() it to
+	 * delete it.
+	 */
+	int yy_is_our_buffer;
+
+	/* Whether this is an "interactive" input source; if so, and
+	 * if we're using stdio for input, then we want to use getc()
+	 * instead of fread(), to make sure we stop fetching input after
+	 * each newline.
+	 */
+	int yy_is_interactive;
+
+	/* Whether we're considered to be at the beginning of a line.
+	 * If so, '^' rules will be active on the next match, otherwise
+	 * not.
+	 */
+	int yy_at_bol;
+
+    int yy_bs_lineno; /**< The line count. */
+    int yy_bs_column; /**< The column count. */
+    
+	/* Whether to try to fill the input buffer when we reach the
+	 * end of it.
+	 */
+	int yy_fill_buffer;
+
+	int yy_buffer_status;
+
+#define YY_BUFFER_NEW 0
+#define YY_BUFFER_NORMAL 1
+	/* When an EOF's been seen but there's still some text to process
+	 * then we mark the buffer as YY_EOF_PENDING, to indicate that we
+	 * shouldn't try reading from the input source any more.  We might
+	 * still have a bunch of tokens to match, though, because of
+	 * possible backing-up.
+	 *
+	 * When we actually see the EOF, we change the status to "new"
+	 * (via preprocessorrestart()), so that the user can continue scanning by
+	 * just pointing preprocessorin at a new input file.
+	 */
+#define YY_BUFFER_EOF_PENDING 2
+
+	};
+#endif /* !YY_STRUCT_YY_BUFFER_STATE */
+
+/* Stack of input buffers. */
+static size_t yy_buffer_stack_top = 0; /**< index of top of stack. */
+static size_t yy_buffer_stack_max = 0; /**< capacity of stack. */
+static YY_BUFFER_STATE * yy_buffer_stack = 0; /**< Stack as an array. */
+
+/* We provide macros for accessing buffer states in case in the
+ * future we want to put the buffer states in a more general
+ * "scanner state".
+ *
+ * Returns the top of the stack, or NULL.
+ */
+#define YY_CURRENT_BUFFER ( (yy_buffer_stack) \
+                          ? (yy_buffer_stack)[(yy_buffer_stack_top)] \
+                          : NULL)
+
+/* Same as previous macro, but useful when we know that the buffer stack is not
+ * NULL or when we need an lvalue. For internal use only.
+ */
+#define YY_CURRENT_BUFFER_LVALUE (yy_buffer_stack)[(yy_buffer_stack_top)]
+
+/* yy_hold_char holds the character lost when preprocessortext is formed. */
+static char yy_hold_char;
+static int yy_n_chars;		/* number of characters read into yy_ch_buf */
+int preprocessorleng;
+
+/* Points to current character in buffer. */
+static char *yy_c_buf_p = (char *) 0;
+static int yy_init = 0;		/* whether we need to initialize */
+static int yy_start = 0;	/* start state number */
+
+/* Flag which is used to allow preprocessorwrap()'s to do buffer switches
+ * instead of setting up a fresh preprocessorin.  A bit of a hack ...
+ */
+static int yy_did_buffer_switch_on_eof;
+
+void preprocessorrestart (FILE *input_file  );
+void preprocessor_switch_to_buffer (YY_BUFFER_STATE new_buffer  );
+YY_BUFFER_STATE preprocessor_create_buffer (FILE *file,int size  );
+void preprocessor_delete_buffer (YY_BUFFER_STATE b  );
+void preprocessor_flush_buffer (YY_BUFFER_STATE b  );
+void preprocessorpush_buffer_state (YY_BUFFER_STATE new_buffer  );
+void preprocessorpop_buffer_state (void );
+
+static void preprocessorensure_buffer_stack (void );
+static void preprocessor_load_buffer_state (void );
+static void preprocessor_init_buffer (YY_BUFFER_STATE b,FILE *file  );
+
+#define YY_FLUSH_BUFFER preprocessor_flush_buffer(YY_CURRENT_BUFFER )
+
+YY_BUFFER_STATE preprocessor_scan_buffer (char *base,yy_size_t size  );
+YY_BUFFER_STATE preprocessor_scan_string (yyconst char *yy_str  );
+YY_BUFFER_STATE preprocessor_scan_bytes (yyconst char *bytes,int len  );
+
+void *preprocessoralloc (yy_size_t  );
+void *preprocessorrealloc (void *,yy_size_t  );
+void preprocessorfree (void *  );
+
+#define yy_new_buffer preprocessor_create_buffer
+
+#define yy_set_interactive(is_interactive) \
+	{ \
+	if ( ! YY_CURRENT_BUFFER ){ \
+        preprocessorensure_buffer_stack (); \
+		YY_CURRENT_BUFFER_LVALUE =    \
+            preprocessor_create_buffer(preprocessorin,YY_BUF_SIZE ); \
+	} \
+	YY_CURRENT_BUFFER_LVALUE->yy_is_interactive = is_interactive; \
+	}
+
+#define yy_set_bol(at_bol) \
+	{ \
+	if ( ! YY_CURRENT_BUFFER ){\
+        preprocessorensure_buffer_stack (); \
+		YY_CURRENT_BUFFER_LVALUE =    \
+            preprocessor_create_buffer(preprocessorin,YY_BUF_SIZE ); \
+	} \
+	YY_CURRENT_BUFFER_LVALUE->yy_at_bol = at_bol; \
+	}
+
+#define YY_AT_BOL() (YY_CURRENT_BUFFER_LVALUE->yy_at_bol)
+
+/* Begin user sect3 */
+
+typedef unsigned char YY_CHAR;
+
+FILE *preprocessorin = (FILE *) 0, *preprocessorout = (FILE *) 0;
+
+typedef int yy_state_type;
+
+extern int preprocessorlineno;
+
+int preprocessorlineno = 1;
+
+extern char *preprocessortext;
+#define yytext_ptr preprocessortext
+
+static yy_state_type yy_get_previous_state (void );
+static yy_state_type yy_try_NUL_trans (yy_state_type current_state  );
+static int yy_get_next_buffer (void );
+static void yy_fatal_error (yyconst char msg[]  );
+
+/* Done after the current pattern has been matched and before the
+ * corresponding action - sets up preprocessortext.
+ */
+#define YY_DO_BEFORE_ACTION \
+	(yytext_ptr) = yy_bp; \
+	preprocessorleng = (size_t) (yy_cp - yy_bp); \
+	(yy_hold_char) = *yy_cp; \
+	*yy_cp = '\0'; \
+	(yy_c_buf_p) = yy_cp;
+
+#define YY_NUM_RULES 77
+#define YY_END_OF_BUFFER 78
+/* This struct is not used in this scanner,
+   but its presence is necessary. */
+struct yy_trans_info
+	{
+	flex_int32_t yy_verify;
+	flex_int32_t yy_nxt;
+	};
+static yyconst flex_int16_t yy_acclist[329] =
+    {   0,
+       10,   10,   78,   76,   77,    6,   76,   77,    5,   77,
+       76,   77,   76,   77,   76,   77,   73,   76,   77,   74,
+       76,   77,   75,   76,   77,   76,   77,   72,   76,   77,
+       76,   77,   76,   77,    6,   76,   77,   76,   77,   76,
+       77,   62,   77,   59,   62,   77,   77,   62,   77,   62,
+       77,   68,   77,   63,   68,   77,   67,   77,   68,   77,
+       68,   77,   68,   77,   57,   77,   55,   57,   77,   56,
+       77,   57,   77,   57,   77,   54,   57,   77,   57,   77,
+       77,   69,   77,   77,   23,   77,   21,   23,   77,   22,
+       77,   23,   77,   23,   77,   23,   77,16402,16403,   10,
+
+       77,   11,   77,   10,   77,   27,   77,   24,   27,   77,
+       25,   77,   27,   77,   27,   77,   30,   77,   40,   77,
+       37,   40,   77,   39,   77,   40,   77,   40,   77,   51,
+       77,   48,   51,   77,16425,   53,   77,   51,   77,   51,
+       77,   45,   51,   77,   46,   51,   77,   47,   51,   77,
+       51,   77,   44,   51,   77,   51,   77,   51,   77,   36,
+       77,   35,   36,   77,   34,   36,   77,   33,   36,   77,
+       32,   77,   32,   77,   31,   32,   77,    6,    4,    1,
+       72,    8,   72,    2,   71,16454,    6,   71,16454,   71,
+    16454,   71,16454,   71,16454,   59,   60,   63,   67,   64,
+
+       66,   55,   56,   54,   54,   58,   69,   21,   22,16402,
+    16403, 8211, 8210,   20,16402,16403,   10,   11,   24,   25,
+       26,   30,   28,   37,   39,   38,   48,16425, 8233,   53,
+       44,   49,   44,   52,   43,16426,   35,   31,   31,    8,
+     8262,   71,16454,   71,16454,   71,16454,   71,16454,   71,
+    16454,   71,16454,   71,16454,   61,   64,   29,   49, 8234,
+       43,16426,    7,   71,16454,   71,16454,   71,16454,   71,
+    16454,   71,16454,   71,16454,   71,16454,   65,   50,   71,
+    16454,   15,   71,16454,   71,16454,   71,16454,   71,16454,
+       71,16454,   71,16454,   15,   71,16454,   16,   71,16454,
+
+       13,   71,16454,   71,16454,   71,16454,   12,   71,16454,
+       16,   13,   12,    3,    9,   71,16454,   14,   71,16454,
+       71,16454,    9,   14,   17,   71,16454,   17
+    } ;
+
+static yyconst flex_int16_t yy_accept[295] =
+    {   0,
+        1,    1,    1,    1,    1,    1,    1,    1,    1,    1,
+        1,    1,    1,    2,    3,    3,    3,    3,    3,    3,
+        3,    3,    3,    3,    3,    3,    3,    3,    3,    3,
+        3,    3,    3,    3,    3,    3,    3,    3,    3,    4,
+        6,    9,   11,   13,   15,   17,   20,   23,   26,   28,
+       31,   33,   35,   38,   40,   42,   44,   47,   48,   50,
+       52,   54,   57,   59,   61,   63,   65,   67,   70,   72,
+       74,   76,   79,   81,   82,   84,   85,   87,   90,   92,
+       94,   96,  100,  102,  104,  106,  108,  111,  113,  115,
+      117,  119,  121,  124,  126,  128,  130,  132,  136,  138,
+
+      140,  142,  145,  148,  151,  153,  156,  158,  160,  162,
+      165,  168,  171,  173,  175,  178,  179,  180,  180,  181,
+      182,  182,  183,  184,  185,  185,  185,  187,  188,  188,
+      188,  190,  192,  194,  196,  197,  197,  198,  198,  199,
+      200,  200,  201,  202,  202,  203,  204,  205,  206,  207,
+      207,  207,  208,  208,  209,  210,  212,  213,  214,  214,
+      215,  217,  218,  219,  220,  221,  222,  222,  222,  223,
+      224,  225,  226,  227,  227,  229,  230,  230,  231,  232,
+      232,  233,  234,  235,  235,  235,  237,  238,  239,  240,
+      240,  240,  241,  241,  242,  244,  244,  244,  244,  244,
+
+      244,  246,  248,  250,  252,  254,  256,  257,  257,  257,
+      258,  259,  259,  259,  259,  260,  260,  261,  263,  263,
+      264,  264,  264,  264,  264,  264,  264,  264,  264,  264,
+      266,  268,  270,  272,  274,  276,  278,  278,  279,  279,
+      280,  280,  280,  280,  280,  280,  280,  280,  280,  280,
+      280,  280,  282,  285,  287,  289,  291,  293,  295,  295,
+      295,  295,  295,  295,  296,  296,  296,  296,  296,  296,
+      296,  298,  301,  304,  306,  308,  311,  311,  312,  313,
+      313,  313,  314,  315,  315,  318,  321,  323,  324,  325,
+      325,  328,  329,  329
+
+    } ;
+
+static yyconst flex_int32_t yy_ec[256] =
+    {   0,
+        1,    1,    1,    1,    1,    1,    1,    1,    2,    3,
+        2,    2,    4,    1,    1,    1,    1,    1,    1,    1,
+        1,    1,    1,    1,    1,    1,    1,    1,    1,    1,
+        1,    5,    1,    6,    7,    8,    1,    1,    1,    9,
+       10,   11,    1,   12,    1,    1,   13,   14,   15,   15,
+       15,   15,   15,   15,   15,   15,   15,    1,    1,   16,
+        1,   17,    1,    1,   18,   18,   18,   18,   18,   18,
+       18,   18,   18,   18,   18,   18,   18,   18,   18,   18,
+       18,   18,   18,   18,   18,   18,   18,   18,   18,   18,
+        1,   19,    1,    1,   18,   20,   18,   18,   21,   22,
+
+       23,   24,   18,   18,   25,   18,   18,   26,   18,   27,
+       18,   18,   18,   18,   28,   18,   29,   18,   18,   18,
+       18,   18,    1,    1,    1,    1,    1,    1,    1,    1,
+        1,    1,    1,    1,    1,    1,    1,    1,    1,    1,
+        1,    1,    1,    1,    1,    1,    1,    1,    1,    1,
+        1,    1,    1,    1,    1,    1,    1,    1,    1,    1,
+        1,    1,    1,    1,    1,    1,    1,    1,    1,    1,
+        1,    1,    1,    1,    1,    1,    1,    1,    1,    1,
+        1,    1,    1,    1,    1,    1,    1,    1,    1,    1,
+        1,    1,    1,    1,    1,    1,    1,    1,    1,    1,
+
+        1,    1,    1,    1,    1,    1,    1,    1,    1,    1,
+        1,    1,    1,    1,    1,    1,    1,    1,    1,    1,
+        1,    1,    1,    1,    1,    1,    1,    1,    1,    1,
+        1,    1,    1,    1,    1,    1,    1,    1,    1,    1,
+        1,    1,    1,    1,    1,    1,    1,    1,    1,    1,
+        1,    1,    1,    1,    1
+    } ;
+
+static yyconst flex_int32_t yy_meta[30] =
+    {   0,
+        1,    2,    3,    4,    5,    1,    1,    6,    5,    1,
+        1,    1,    1,    7,    7,    1,    8,    9,    1,    1,
+        9,    9,    9,    9,    9,    9,    9,    9,    9
+    } ;
+
+static yyconst flex_int16_t yy_base[335] =
+    {   0,
+        0,   28,   47,   62,   77,   95,  114,    0,   28,   33,
+      143,    0,   35,   37,  171,  189,  748,  747,  207,  225,
+      244,    0,  272,  283,  295,    0,    0,    0,    0,    0,
+        0,    0,    0,    0,    0,    0,    0,    0,  755,  758,
+       40,  758,  751,  747,    0,  758,  758,  758,   33,    0,
+       51,  744,   54,   55,  317,  758,   56,  758,  745,    0,
+      758,   64,  758,  747,   59,   72,  758,   81,  758,  746,
+        0,    0,   81,   84,  758,   88,  758,   99,  758,  745,
+        0,  345,    0,  758,  744,  758,  100,  758,  743,   90,
+      101,  758,  105,  758,  742,  109,  758,  175,  758,  741,
+
+        0,  758,  758,  758,   60,    0,  178,  735,  758,  181,
+      758,  758,  758,    0,    0,  182,  758,  736,  758,    0,
+      730,    0,    0,  758,  737,  731,   38,  183,  173,  199,
+      208,  192,  197,  209,  195,  732,  758,  720,  220,  758,
+      725,    0,  758,  732,  232,  758,    0,    0,  758,  731,
+      202,  758,  235,  238,  758,  353,  274,  758,  730,  758,
+        0,    0,  758,  276,  758,  758,  729,  323,  361,  758,
+      278,  758,  758,  728,  287,  758,  727,  758,    0,  718,
+        0,    0,  758,  725,  719,  323,  329,    0,    0,  715,
+      222,    0,  332,  758,  702,  701,  215,  311,  696,  370,
+
+      306,  345,  321,  347,  330,  337,  758,  711,  366,    0,
+      377,  379,  710,  375,    0,  385,  758,  386,  709,  758,
+      695,  690,  695,  370,  695,  629,  394,  643,    0,  378,
+      619,  379,  618,  383,  384,  601,  612,  758,  599,  758,
+      597,  398,  576,  577,  562,  561,  561,  554,  540,  553,
+      410,  390,  534,  395,  397,  519,   66,  399,  528,  403,
+      510,  413,  493,  758,  493,  401,  385,  369,  369,  425,
+      355,  344,  338,  408,  411,  329,  322,  758,  758,  312,
+      272,  758,  758,  284,  200,  193,  184,  758,  758,  176,
+      166,  758,  758,  434,  443,  452,  461,  470,  479,  488,
+
+      497,  506,  100,  509,  513,  522,  531,   68,  534,  543,
+       53,  551,  560,  569,   42,  572,  576,   25,  579,  588,
+      597,  602,  611,  620,  629,  638,  643,  652,  661,  670,
+      679,  688,  697,  706
+    } ;
+
+static yyconst flex_int16_t yy_def[335] =
+    {   0,
+      293,    1,  294,  294,  295,  295,  293,    7,  296,  296,
+      293,   11,  297,  297,  298,  298,  299,  299,  300,  300,
+      293,   21,  301,  301,  293,   25,  299,  299,  299,  299,
+      299,  299,  299,  299,  299,  299,  299,  299,  293,  293,
+      293,  293,  293,  302,  303,  293,  293,  293,  293,  304,
+      293,  305,  293,  293,  305,  293,  293,  293,  306,  307,
+      293,  293,  293,  293,  293,  293,  293,  293,  293,  293,
+      308,  309,  293,  310,  293,  310,  293,  293,  293,  293,
+      311,  312,  313,  293,  313,  293,  293,  293,  293,  293,
+      314,  293,  293,  293,  293,  293,  293,  293,  293,  293,
+
+      315,  293,  293,  293,  293,  316,  293,  317,  293,  293,
+      293,  293,  293,  318,  319,  293,  293,  302,  293,  304,
+      320,  321,  304,  293,  293,  305,  322,  293,  293,  293,
+      322,  131,  131,  131,  293,  306,  293,  307,  293,  293,
+      323,  324,  293,  293,  293,  293,  309,  309,  293,  293,
+      310,  293,  310,  293,  293,  312,  293,  293,  293,  293,
+      156,  313,  293,  293,  293,  293,  293,  314,  314,  293,
+      293,  293,  293,  293,  293,  293,  293,  293,  316,  325,
+      326,  316,  293,  293,  317,  327,  293,  319,  319,  320,
+      328,  321,  293,  293,  131,  293,  293,  293,  293,  293,
+
+      131,  131,  131,  131,  131,  131,  293,  323,  329,  324,
+      314,  314,  325,  330,  326,  293,  293,  327,  331,  293,
+      293,  293,  293,  293,  293,  293,  293,  332,  200,  131,
+      131,  131,  131,  131,  131,  131,  333,  293,  334,  293,
+      331,  328,  293,  293,  293,  293,  293,  293,  293,  332,
+      293,  131,  131,  131,  131,  131,  131,  131,  333,  329,
+      334,  330,  293,  293,  293,  293,  293,  293,  293,  293,
+      131,  131,  131,  131,  131,  131,  293,  293,  293,  293,
+      293,  293,  293,  293,  131,  131,  131,  293,  293,  293,
+      131,  293,    0,  293,  293,  293,  293,  293,  293,  293,
+
+      293,  293,  293,  293,  293,  293,  293,  293,  293,  293,
+      293,  293,  293,  293,  293,  293,  293,  293,  293,  293,
+      293,  293,  293,  293,  293,  293,  293,  293,  293,  293,
+      293,  293,  293,  293
+    } ;
+
+static yyconst flex_int16_t yy_nxt[788] =
+    {   0,
+       40,   41,   42,   43,   41,   44,   40,   45,   46,   47,
+       40,   48,   49,   40,   40,   40,   40,   50,   51,   52,
+       50,   50,   50,   50,   50,   50,   50,   50,   50,   53,
+       75,   76,   53,  188,   54,   75,   76,   84,   85,   84,
+       85,  116,  193,  121,  116,  122,  194,   55,   57,   58,
+      179,   57,   59,  124,  125,  128,  130,  135,  128,  130,
+      135,  156,   60,   57,   58,  139,   57,   59,  139,  141,
+      180,  142,  181,  129,  143,  144,  147,   60,   62,   63,
+       64,   62,  145,  149,  150,  145,  152,  153,  195,   65,
+      152,  153,  166,  167,  275,   66,   62,   63,   64,   62,
+
+      154,  164,  169,  154,  164,  169,  171,   65,  120,  171,
+      170,  173,  174,   66,   67,   68,   69,   70,   68,   67,
+       67,   71,   67,   67,   67,   67,   67,   67,   67,   67,
+       67,   72,   73,   67,   72,   72,   72,   72,   72,   72,
+       72,   72,   72,   77,   78,   79,   80,   78,   77,   77,
+       81,   77,   77,   77,   77,   77,   77,   77,   77,   77,
+       82,   77,   77,   82,   82,   82,   82,   82,   82,   82,
+       82,   82,   87,   88,   89,   87,  175,  176,  177,  175,
+      183,  184,  187,  116,  128,  187,  116,  128,  195,   90,
+       87,   88,   89,   87,  196,  197,  135,  198,  292,  135,
+
+      130,  199,  129,  130,  152,  153,  291,   90,   93,   94,
+       95,   93,  193,  200,  195,  195,  194,  202,  203,  195,
+      204,  139,  195,  205,  139,   96,   93,   94,   95,   93,
+      201,  195,  191,  145,  220,  206,  145,  152,  153,  154,
+      222,  223,  154,   96,   97,   98,   99,  100,   98,   97,
+       97,  101,  102,  103,   97,  104,  105,   97,   97,   97,
+       97,  106,  107,  108,  106,  106,  106,  106,  106,  106,
+      106,  106,  106,  110,   58,  157,  110,  164,  157,  171,
+      164,  111,  171,  112,  110,   58,  283,  110,  175,  176,
+      177,  175,  111,  290,  112,  113,  113,   58,  113,  113,
+
+      113,  113,  114,  113,  113,  113,  113,  113,  113,  113,
+      113,  113,  115,  113,  113,  115,  115,  115,  115,  115,
+      115,  115,  115,  115,  126,  211,  212,  216,  195,  230,
+      187,  217,  293,  187,  224,  289,  193,  225,  131,  132,
+      194,  133,  232,  195,  288,  134,  157,  158,  159,  157,
+      235,  195,  195,  160,  157,  158,  159,  157,  236,  195,
+      195,  160,  169,  211,  212,  169,  195,  195,  233,  195,
+      170,  227,  231,  234,  227,  228,  209,  285,  238,  211,
+      212,  211,  212,  229,  229,  214,  293,  240,  293,  216,
+      216,  246,  282,  217,  217,  227,  247,  281,  227,  228,
+
+      195,  195,  252,  254,  256,  195,  195,  280,  242,  257,
+      220,  270,  195,  260,  270,  238,  271,  195,  272,  195,
+      273,  195,  276,  262,  279,  240,  270,  283,  284,  270,
+      195,  286,  287,  195,   56,   56,   56,   56,   56,   56,
+       56,   56,   56,   61,   61,   61,   61,   61,   61,   61,
+       61,   61,   74,   74,   74,   74,   74,   74,   74,   74,
+       74,   83,   83,   83,   83,   83,   83,   83,   83,   83,
+       86,   86,   86,   86,   86,   86,   86,   86,   86,   58,
+       58,   58,   58,   58,   58,   58,   58,   58,   92,   92,
+       92,   92,   92,   92,   92,   92,   92,  109,  109,  109,
+
+      109,  109,  109,  109,  109,  109,  118,  118,  118,  118,
+      118,  118,  118,  118,  118,  123,  278,  123,  127,  277,
+      262,  127,  136,  136,  136,  136,  136,  136,  136,  136,
+      136,  138,  138,  138,  138,  138,  138,  138,  260,  138,
+      148,  274,  148,  151,  151,  151,  151,  151,  151,  151,
+      151,  151,  161,  161,  161,  161,  195,  161,  251,  161,
+      162,  162,  269,  162,  162,  162,  162,  162,  162,  168,
+      168,  168,  168,  168,  168,  168,  168,  168,  182,  268,
+      182,  186,  267,  266,  186,  189,  265,  189,  190,  190,
+      190,  190,  190,  190,  190,  190,  190,  192,  192,  264,
+
+      263,  192,  192,  192,  192,  192,  195,  242,  195,  262,
+      195,  208,  208,  208,  208,  208,  208,  208,  208,  208,
+      210,  210,  260,  258,  210,  210,  210,  210,  210,  213,
+      213,  213,  213,  213,  213,  213,  213,  213,  215,  215,
+      255,  253,  215,  215,  215,  215,  215,  218,  251,  218,
+      249,  218,  219,  219,  219,  219,  219,  219,  219,  219,
+      219,  237,  237,  237,  237,  237,  237,  237,  237,  237,
+      239,  239,  239,  239,  239,  239,  239,  239,  239,  241,
+      241,  241,  241,  241,  241,  241,  241,  241,  250,  250,
+      250,  250,  250,  250,  250,  250,  250,  259,  259,  259,
+
+      259,  259,  259,  259,  259,  259,  261,  261,  261,  261,
+      261,  261,  261,  261,  261,  248,  245,  244,  243,  242,
+      214,  209,  226,  221,  195,  191,  293,  183,  214,  176,
+      173,  166,  158,  149,  143,  209,  207,  137,  293,  124,
+      191,  119,  185,  178,  172,  165,  163,  155,  146,  140,
+      137,  126,  119,  117,  293,   91,   91,   39,  293,  293,
+      293,  293,  293,  293,  293,  293,  293,  293,  293,  293,
+      293,  293,  293,  293,  293,  293,  293,  293,  293,  293,
+      293,  293,  293,  293,  293,  293,  293
+    } ;
+
+static yyconst flex_int16_t yy_chk[788] =
+    {   0,
+        1,    1,    1,    1,    1,    1,    1,    1,    1,    1,
+        1,    1,    1,    1,    1,    1,    1,    1,    1,    1,
+        1,    1,    1,    1,    1,    1,    1,    1,    1,    2,
+        9,    9,    2,  318,    2,   10,   10,   13,   13,   14,
+       14,   41,  127,   49,   41,   49,  127,    2,    3,    3,
+      315,    3,    3,   51,   51,   53,   54,   57,   53,   54,
+       57,  311,    3,    4,    4,   62,    4,    4,   62,   65,
+      105,   65,  105,   53,   66,   66,  308,    4,    5,    5,
+        5,    5,   68,   73,   73,   68,   74,   74,  257,    5,
+       76,   76,   90,   90,  257,    5,    6,    6,    6,    6,
+
+       78,   87,   91,   78,   87,   91,   93,    6,  303,   93,
+       91,   96,   96,    6,    7,    7,    7,    7,    7,    7,
+        7,    7,    7,    7,    7,    7,    7,    7,    7,    7,
+        7,    7,    7,    7,    7,    7,    7,    7,    7,    7,
+        7,    7,    7,   11,   11,   11,   11,   11,   11,   11,
+       11,   11,   11,   11,   11,   11,   11,   11,   11,   11,
+       11,   11,   11,   11,   11,   11,   11,   11,   11,   11,
+       11,   11,   15,   15,   15,   15,   98,   98,   98,   98,
+      107,  107,  110,  116,  128,  110,  116,  128,  291,   15,
+       16,   16,   16,   16,  129,  129,  135,  129,  290,  135,
+
+      130,  129,  128,  130,  151,  151,  287,   16,   19,   19,
+       19,   19,  131,  130,  132,  286,  131,  132,  132,  133,
+      133,  139,  285,  133,  139,   19,   20,   20,   20,   20,
+      131,  134,  191,  145,  191,  134,  145,  153,  153,  154,
+      197,  197,  154,   20,   21,   21,   21,   21,   21,   21,
+       21,   21,   21,   21,   21,   21,   21,   21,   21,   21,
+       21,   21,   21,   21,   21,   21,   21,   21,   21,   21,
+       21,   21,   21,   23,   23,  157,   23,  164,  157,  171,
+      164,   23,  171,   23,   24,   24,  284,   24,  175,  175,
+      175,  175,   24,  281,   24,   25,   25,   25,   25,   25,
+
+       25,   25,   25,   25,   25,   25,   25,   25,   25,   25,
+       25,   25,   25,   25,   25,   25,   25,   25,   25,   25,
+       25,   25,   25,   25,   55,  168,  168,  186,  201,  201,
+      187,  186,  168,  187,  198,  280,  193,  198,   55,   55,
+      193,   55,  203,  203,  277,   55,   82,   82,   82,   82,
+      205,  276,  205,   82,  156,  156,  156,  156,  206,  206,
+      273,  156,  169,  169,  169,  169,  272,  202,  204,  204,
+      169,  200,  202,  204,  200,  200,  209,  271,  209,  211,
+      211,  212,  212,  200,  200,  214,  211,  214,  212,  216,
+      218,  224,  269,  216,  218,  227,  224,  268,  227,  227,
+
+      230,  232,  230,  232,  234,  234,  235,  267,  242,  235,
+      242,  251,  252,  260,  251,  260,  252,  254,  254,  255,
+      255,  258,  258,  262,  266,  262,  270,  270,  270,  270,
+      274,  274,  275,  275,  294,  294,  294,  294,  294,  294,
+      294,  294,  294,  295,  295,  295,  295,  295,  295,  295,
+      295,  295,  296,  296,  296,  296,  296,  296,  296,  296,
+      296,  297,  297,  297,  297,  297,  297,  297,  297,  297,
+      298,  298,  298,  298,  298,  298,  298,  298,  298,  299,
+      299,  299,  299,  299,  299,  299,  299,  299,  300,  300,
+      300,  300,  300,  300,  300,  300,  300,  301,  301,  301,
+
+      301,  301,  301,  301,  301,  301,  302,  302,  302,  302,
+      302,  302,  302,  302,  302,  304,  265,  304,  305,  263,
+      261,  305,  306,  306,  306,  306,  306,  306,  306,  306,
+      306,  307,  307,  307,  307,  307,  307,  307,  259,  307,
+      309,  256,  309,  310,  310,  310,  310,  310,  310,  310,
+      310,  310,  312,  312,  312,  312,  253,  312,  250,  312,
+      313,  313,  249,  313,  313,  313,  313,  313,  313,  314,
+      314,  314,  314,  314,  314,  314,  314,  314,  316,  248,
+      316,  317,  247,  246,  317,  319,  245,  319,  320,  320,
+      320,  320,  320,  320,  320,  320,  320,  321,  321,  244,
+
+      243,  321,  321,  321,  321,  321,  322,  241,  322,  239,
+      322,  323,  323,  323,  323,  323,  323,  323,  323,  323,
+      324,  324,  237,  236,  324,  324,  324,  324,  324,  325,
+      325,  325,  325,  325,  325,  325,  325,  325,  326,  326,
+      233,  231,  326,  326,  326,  326,  326,  327,  228,  327,
+      226,  327,  328,  328,  328,  328,  328,  328,  328,  328,
+      328,  329,  329,  329,  329,  329,  329,  329,  329,  329,
+      330,  330,  330,  330,  330,  330,  330,  330,  330,  331,
+      331,  331,  331,  331,  331,  331,  331,  331,  332,  332,
+      332,  332,  332,  332,  332,  332,  332,  333,  333,  333,
+
+      333,  333,  333,  333,  333,  333,  334,  334,  334,  334,
+      334,  334,  334,  334,  334,  225,  223,  222,  221,  219,
+      213,  208,  199,  196,  195,  190,  185,  184,  180,  177,
+      174,  167,  159,  150,  144,  141,  138,  136,  126,  125,
+      121,  118,  108,  100,   95,   89,   85,   80,   70,   64,
+       59,   52,   44,   43,   39,   18,   17,  293,  293,  293,
+      293,  293,  293,  293,  293,  293,  293,  293,  293,  293,
+      293,  293,  293,  293,  293,  293,  293,  293,  293,  293,
+      293,  293,  293,  293,  293,  293,  293
+    } ;
+
+extern int preprocessor_flex_debug;
+int preprocessor_flex_debug = 0;
+
+static yy_state_type *yy_state_buf=0, *yy_state_ptr=0;
+static char *yy_full_match;
+static int yy_lp;
+static int yy_looking_for_trail_begin = 0;
+static int yy_full_lp;
+static int *yy_full_state;
+#define YY_TRAILING_MASK 0x2000
+#define YY_TRAILING_HEAD_MASK 0x4000
+#define REJECT \
+{ \
+*yy_cp = (yy_hold_char); /* undo effects of setting up preprocessortext */ \
+yy_cp = (yy_full_match); /* restore poss. backed-over text */ \
+(yy_lp) = (yy_full_lp); /* restore orig. accepting pos. */ \
+(yy_state_ptr) = (yy_full_state); /* restore orig. state */ \
+yy_current_state = *(yy_state_ptr); /* restore curr. state */ \
+++(yy_lp); \
+goto find_rule; \
+}
+
+#define yymore() yymore_used_but_not_detected
+#define YY_MORE_ADJ 0
+#define YY_RESTORE_YY_MORE_OFFSET
+char *preprocessortext;
+#line 1 "./preprocessorLex.l"
+#line 2 "./preprocessorLex.l"
+
+#include "adms.h"
+#include "admsPreprocessor.h"
+#include "preprocessorYacc.h"
+
+#ifndef INITIAL
+#  define INITIAL 0
+#endif
+
+#include "constants.vams.c"
+#include "disciplines.vams.c"
+
+#define yyflex_unput \
+{\
+  int i;\
+  char *yycopy=strdup(preprocessortext);\
+  for ( i = preprocessorleng - 1; i >= 0; --i )\
+    unput(yycopy[i]);\
+  free(yycopy);\
+}
+
+#define DONT_SKIPP (pproot()->skipp_text->data==INT2ADMS(0))
+
+void adms_preprocessor_setfile_input (FILE *ifile)
+{
+  preprocessorin = ifile;
+}
+
+FILE *adms_preprocessor_getfile_input (void)
+{
+  return preprocessorin;
+}
+
+void adms_preprocessor_setfile_output (FILE *ifile)
+{
+  preprocessorout = ifile;
+}
+
+FILE *adms_preprocessor_getfile_output (void)
+{
+  return preprocessorout;
+}
+
+#define tkreturn(token) {pproot()->cr_scanner->cur_char_position+=preprocessorleng; return token;}
+
+static char* adms_preprocessor_lex_remove_leading_quote (char* name)
+{
+  char* unquoted_name;
+  char* start;
+  char* finish;
+  start = name+1;
+  finish = name + strlen(name);
+  unquoted_name = adms_knclone (start, finish - start );
+  return (unquoted_name);
+}
+
+static char* adms_preprocessor_lex_get_path (char* macro, const char begin, const char end)
+{
+  char* path;
+  char* start=macro;
+  char* finish;
+  while( *start && (*start != begin) )
+    start++;
+  finish=++start;
+  while( *finish && (*finish != end) )
+    finish++;
+  path=adms_knclone (start, finish - start );
+  return path;
+}
+
+void preprocessorerror (const char *message)
+{
+  p_preprocessor cr_preprocessor=pproot()->cr_scanner;
+  adms_message_fatal (("[%s:%i]:%s: %s\n",
+    cr_preprocessor->filename,
+    adms_preprocessor_get_line_position(cr_preprocessor,cr_preprocessor->cur_char_position-preprocessorleng),
+    message,
+    cr_preprocessor->cur_message?cr_preprocessor->cur_message:"(unknown)"
+  ))
+}
+static void adms_file_fprintf ( char *filename, const char *filedata)
+{
+  FILE *ofh = fopen (filename,"w");
+  if (fprintf (ofh, filedata)==EOF)
+    adms_message_fatal(("%s: cannot write file\n", filename))
+  fclose (ofh);
+}
+static FILE* adms_file_open_read_with_path (const char* myfilename, p_slist mypath)
+{
+  p_slist l; for(l=mypath;l;l=l->next)
+  {
+    FILE* myfh=NULL;
+    char* mypathname=strdup((char*)(l->data));
+    adms_k2strconcat(&mypathname,ADMS_PATH_SEPARATOR);
+    adms_k2strconcat(&mypathname,myfilename);
+    if((myfh=fopen(mypathname,"r")))
+      return myfh;
+  }
+  return NULL;
+}
+static void adms_preprocessor_lex_include_file (char* fileName)
+{
+  FILE*myfh;
+  p_preprocessor scanner;
+  char*message=NULL;
+  adms_k2strconcat(&message,pproot()->cr_scanner->filename);
+  adms_k2strconcat(&message,":");
+  adms_strconcat(&message,adms_integertostring(adms_preprocessor_get_line_position (pproot()->cr_scanner, 0)));
+  if(pproot()->cr_filename)
+    free(pproot()->cr_filename);
+  pproot()->cr_filename=strdup(fileName);
+  if(!(myfh=adms_file_open_read_with_path(fileName,(p_slist)(pproot()->includePath))))
+  {
+    if(!strcmp(fileName,"discipline.h")||!strcmp(fileName,"disciplines.h")||!strcmp(fileName,"discipline.vams")||!strcmp(fileName,"disciplines.vams"))
+    {
+      adms_message_warning(("[%s]: standard vams file created (not found in -I path) ... '%s'\n",message,fileName))
+      adms_file_fprintf(fileName,disciplines_vams);
+      myfh=adms_file_open_read(fileName);
+    }
+    else if(!strcmp(fileName,"constant.h")||!strcmp(fileName,"constants.h")||!strcmp(fileName,"constant.vams")||!strcmp(fileName,"constants.vams"))
+    {
+      adms_message_warning(("[%s]: standard vams file created (not found in -I path) ... '%s'\n",message,fileName))
+      adms_file_fprintf(fileName,constants_vams);
+      myfh=adms_file_open_read(fileName);
+    }
+    else
+      adms_message_fatal(("[%s]: failed to open file ... '%s'\n",message,fileName))
+  }
+  scanner=(p_preprocessor)malloc(sizeof(t_preprocessor));
+  adms_message_verbose(("include file '%s'\n",fileName))
+  scanner->buffer=NULL;
+  scanner->fid=NULL;
+  scanner->cur_continuator_position=NULL;
+  pproot()->cr_scanner->buffer = (p_adms)YY_CURRENT_BUFFER;
+  adms_slist_push(&pproot()->Scanner,(void*)pproot()->cr_scanner );
+  preprocessorin = myfh;
+  scanner->fid = preprocessorin;
+  scanner->cur_line_position = 1;
+  scanner->cur_char_position = 1;
+  scanner->filename=strdup(pproot()->cr_filename);
+  scanner->buffer = (void*) preprocessor_create_buffer(preprocessorin,YY_BUF_SIZE );
+  pproot()->cr_scanner = scanner;
+  preprocessor_switch_to_buffer((YY_BUFFER_STATE)scanner->buffer );
+  preprocessorlval.mystr = NULL;
+  adms_k2strconcat(&preprocessorlval.mystr,"# 1 \"");
+  adms_k2strconcat(&preprocessorlval.mystr,pproot()->cr_scanner->filename);
+  adms_k2strconcat(&preprocessorlval.mystr,"\"\n");
+  BEGIN( INITIAL );
+  free(message);
+}
+
+static char *adms_preprocessor_lex_skipp_text ()
+{
+  char *c;
+  int nbline=0;
+  c = preprocessortext;
+  while (*c)
+  {
+    if(*c=='\n')
+    {
+      pproot()->cr_scanner->cur_char_position = 1;
+      pproot()->cr_scanner->cur_line_position++;
+      nbline++;
+    }
+    else
+      pproot()->cr_scanner->cur_char_position++;
+    c++;
+  }
+  if(nbline)
+  {
+    char*str=(char*)malloc(sizeof(char)*(nbline+1));
+    memset(str,'\n',nbline);
+    str[nbline] = '\0';
+    return str;
+  }
+  else
+    return strdup("");
+}
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+#line 995 "preprocessorLex.c"
+
+#define INITIAL 0
+#define PRAGMA_PATH 1
+#define PRAGMA_COMMENT 2
+#define PRAGMA_NAME 3
+#define PRAGMA_ERROR 4
+#define PRAGMA_DEFINE_START 5
+#define PRAGMA_DEFINE_IGNORE 6
+#define PRAGMA_DEFINE_NOARG 7
+#define PRAGMA_DEFINE_WITHARG 8
+#define PRAGMA_DEFINE_TEXT_START 9
+#define PRAGMA_DEFINE_TEXT 10
+#define PRAGMA_DEFINE_WITHARG_COMMA 11
+#define PRAGMA_DEFINE_WITHARG_ARGS 12
+#define PRAGMA_SUBSTITUTOR_START 13
+#define PRAGMA_SUBSTITUTOR_ARG 14
+#define PRAGMA_SUBSTITUTOR_PAIR 15
+#define PRAGMA_SUBSTITUTOR_ARGITEM 16
+#define PRAGMA_SUBSTITUTOR_ARGCOMMA 17
+#define PRAGMA_SUBSTITUTOR_ARGEND 18
+
+#ifndef YY_NO_UNISTD_H
+/* Special case for "unistd.h", since it is non-ANSI. We include it way
+ * down here because we want the user's section 1 to have been scanned first.
+ * The user has a chance to override it with an option.
+ */
+#include <unistd.h>
+#endif
+
+#ifndef YY_EXTRA_TYPE
+#define YY_EXTRA_TYPE void *
+#endif
+
+static int yy_init_globals (void );
+
+/* Accessor methods to globals.
+   These are made visible to non-reentrant scanners for convenience. */
+
+int preprocessorlex_destroy (void );
+
+int preprocessorget_debug (void );
+
+void preprocessorset_debug (int debug_flag  );
+
+YY_EXTRA_TYPE preprocessorget_extra (void );
+
+void preprocessorset_extra (YY_EXTRA_TYPE user_defined  );
+
+FILE *preprocessorget_in (void );
+
+void preprocessorset_in  (FILE * in_str  );
+
+FILE *preprocessorget_out (void );
+
+void preprocessorset_out  (FILE * out_str  );
+
+int preprocessorget_leng (void );
+
+char *preprocessorget_text (void );
+
+int preprocessorget_lineno (void );
+
+void preprocessorset_lineno (int line_number  );
+
+/* Macros after this point can all be overridden by user definitions in
+ * section 1.
+ */
+
+#ifndef YY_SKIP_YYWRAP
+#ifdef __cplusplus
+extern "C" int preprocessorwrap (void );
+#else
+extern int preprocessorwrap (void );
+#endif
+#endif
+
+    static void yyunput (int c,char *buf_ptr  );
+    
+#ifndef yytext_ptr
+static void yy_flex_strncpy (char *,yyconst char *,int );
+#endif
+
+#ifdef YY_NEED_STRLEN
+static int yy_flex_strlen (yyconst char * );
+#endif
+
+#ifndef YY_NO_INPUT
+
+#ifdef __cplusplus
+static int yyinput (void );
+#else
+static int input (void );
+#endif
+
+#endif
+
+/* Amount of stuff to slurp up with each read. */
+#ifndef YY_READ_BUF_SIZE
+#define YY_READ_BUF_SIZE 8192
+#endif
+
+/* Copy whatever the last rule matched to the standard output. */
+#ifndef ECHO
+/* This used to be an fputs(), but since the string might contain NUL's,
+ * we now use fwrite().
+ */
+#define ECHO do { if (fwrite( preprocessortext, preprocessorleng, 1, preprocessorout )) {} } while (0)
+#endif
+
+/* Gets input and stuffs it into "buf".  number of characters read, or YY_NULL,
+ * is returned in "result".
+ */
+#ifndef YY_INPUT
+#define YY_INPUT(buf,result,max_size) \
+	if ( YY_CURRENT_BUFFER_LVALUE->yy_is_interactive ) \
+		{ \
+		int c = '*'; \
+		unsigned n; \
+		for ( n = 0; n < max_size && \
+			     (c = getc( preprocessorin )) != EOF && c != '\n'; ++n ) \
+			buf[n] = (char) c; \
+		if ( c == '\n' ) \
+			buf[n++] = (char) c; \
+		if ( c == EOF && ferror( preprocessorin ) ) \
+			YY_FATAL_ERROR( "input in flex scanner failed" ); \
+		result = n; \
+		} \
+	else \
+		{ \
+		errno=0; \
+		while ( (result = fread(buf, 1, max_size, preprocessorin))==0 && ferror(preprocessorin)) \
+			{ \
+			if( errno != EINTR) \
+				{ \
+				YY_FATAL_ERROR( "input in flex scanner failed" ); \
+				break; \
+				} \
+			errno=0; \
+			clearerr(preprocessorin); \
+			} \
+		}\
+\
+
+#endif
+
+/* No semi-colon after return; correct usage is to write "yyterminate();" -
+ * we don't want an extra ';' after the "return" because that will cause
+ * some compilers to complain about unreachable statements.
+ */
+#ifndef yyterminate
+#define yyterminate() return YY_NULL
+#endif
+
+/* Number of entries by which start-condition stack grows. */
+#ifndef YY_START_STACK_INCR
+#define YY_START_STACK_INCR 25
+#endif
+
+/* Report a fatal error. */
+#ifndef YY_FATAL_ERROR
+#define YY_FATAL_ERROR(msg) yy_fatal_error( msg )
+#endif
+
+/* end tables serialization structures and prototypes */
+
+/* Default declaration of generated scanner - a define so the user can
+ * easily add parameters.
+ */
+#ifndef YY_DECL
+#define YY_DECL_IS_OURS 1
+
+extern int preprocessorlex (void);
+
+#define YY_DECL int preprocessorlex (void)
+#endif /* !YY_DECL */
+
+/* Code executed at the beginning of each rule, after preprocessortext and preprocessorleng
+ * have been set up.
+ */
+#ifndef YY_USER_ACTION
+#define YY_USER_ACTION
+#endif
+
+/* Code executed at the end of each rule. */
+#ifndef YY_BREAK
+#define YY_BREAK break;
+#endif
+
+#define YY_RULE_SETUP \
+	if ( preprocessorleng > 0 ) \
+		YY_CURRENT_BUFFER_LVALUE->yy_at_bol = \
+				(preprocessortext[preprocessorleng - 1] == '\n'); \
+	YY_USER_ACTION
+
+/** The main scanner function which does all the work.
+ */
+YY_DECL
+{
+	register yy_state_type yy_current_state;
+	register char *yy_cp, *yy_bp;
+	register int yy_act;
+    
+#line 218 "./preprocessorLex.l"
+
+
+#line 1201 "preprocessorLex.c"
+
+	if ( !(yy_init) )
+		{
+		(yy_init) = 1;
+
+#ifdef YY_USER_INIT
+		YY_USER_INIT;
+#endif
+
+        /* Create the reject buffer large enough to save one state per allowed character. */
+        if ( ! (yy_state_buf) )
+            (yy_state_buf) = (yy_state_type *)preprocessoralloc(YY_STATE_BUF_SIZE  );
+            if ( ! (yy_state_buf) )
+                YY_FATAL_ERROR( "out of dynamic memory in preprocessorlex()" );
+
+		if ( ! (yy_start) )
+			(yy_start) = 1;	/* first start state */
+
+		if ( ! preprocessorin )
+			preprocessorin = stdin;
+
+		if ( ! preprocessorout )
+			preprocessorout = stdout;
+
+		if ( ! YY_CURRENT_BUFFER ) {
+			preprocessorensure_buffer_stack ();
+			YY_CURRENT_BUFFER_LVALUE =
+				preprocessor_create_buffer(preprocessorin,YY_BUF_SIZE );
+		}
+
+		preprocessor_load_buffer_state( );
+		}
+
+	while ( 1 )		/* loops until end-of-file is reached */
+		{
+		yy_cp = (yy_c_buf_p);
+
+		/* Support of preprocessortext. */
+		*yy_cp = (yy_hold_char);
+
+		/* yy_bp points to the position in yy_ch_buf of the start of
+		 * the current run.
+		 */
+		yy_bp = yy_cp;
+
+		yy_current_state = (yy_start);
+		yy_current_state += YY_AT_BOL();
+
+		(yy_state_ptr) = (yy_state_buf);
+		*(yy_state_ptr)++ = yy_current_state;
+
+yy_match:
+		do
+			{
+			register YY_CHAR yy_c = yy_ec[YY_SC_TO_UI(*yy_cp)];
+			while ( yy_chk[yy_base[yy_current_state] + yy_c] != yy_current_state )
+				{
+				yy_current_state = (int) yy_def[yy_current_state];
+				if ( yy_current_state >= 294 )
+					yy_c = yy_meta[(unsigned int) yy_c];
+				}
+			yy_current_state = yy_nxt[yy_base[yy_current_state] + (unsigned int) yy_c];
+			*(yy_state_ptr)++ = yy_current_state;
+			++yy_cp;
+			}
+		while ( yy_base[yy_current_state] != 758 );
+
+yy_find_action:
+		yy_current_state = *--(yy_state_ptr);
+		(yy_lp) = yy_accept[yy_current_state];
+find_rule: /* we branch to this label when backing up */
+		for ( ; ; ) /* until we find what rule we matched */
+			{
+			if ( (yy_lp) && (yy_lp) < yy_accept[yy_current_state + 1] )
+				{
+				yy_act = yy_acclist[(yy_lp)];
+				if ( yy_act & YY_TRAILING_HEAD_MASK ||
+				     (yy_looking_for_trail_begin) )
+					{
+					if ( yy_act == (yy_looking_for_trail_begin) )
+						{
+						(yy_looking_for_trail_begin) = 0;
+						yy_act &= ~YY_TRAILING_HEAD_MASK;
+						break;
+						}
+					}
+				else if ( yy_act & YY_TRAILING_MASK )
+					{
+					(yy_looking_for_trail_begin) = yy_act & ~YY_TRAILING_MASK;
+					(yy_looking_for_trail_begin) |= YY_TRAILING_HEAD_MASK;
+					}
+				else
+					{
+					(yy_full_match) = yy_cp;
+					(yy_full_state) = (yy_state_ptr);
+					(yy_full_lp) = (yy_lp);
+					break;
+					}
+				++(yy_lp);
+				goto find_rule;
+				}
+			--yy_cp;
+			yy_current_state = *--(yy_state_ptr);
+			(yy_lp) = yy_accept[yy_current_state];
+			}
+
+		YY_DO_BEFORE_ACTION;
+
+do_action:	/* This label is used only to access EOF actions. */
+
+		switch ( yy_act )
+	{ /* beginning of action switch */
+case 1:
+/* rule 1 can match eol */
+YY_RULE_SETUP
+#line 220 "./preprocessorLex.l"
+{
+  preprocessorlval.mystr = strdup( preprocessortext );
+  tkreturn(TK_STRING)
+}
+	YY_BREAK
+case 2:
+/* rule 2 can match eol */
+YY_RULE_SETUP
+#line 225 "./preprocessorLex.l"
+{
+  p_continuator continuator;
+
+  continuator=(p_continuator)malloc(sizeof(t_continuator));
+  continuator->char_position = preprocessorleng-1;
+  continuator->line_position = pproot()->cr_scanner->cur_line_position;
+
+  pproot()->cr_scanner->cur_line_position += 1;
+  pproot()->cr_scanner->cur_char_position = 1;
+  adms_slist_push(&(pproot()->cr_scanner->cur_continuator_position),(p_adms)continuator);
+
+  tkreturn(TK_NOPRAGMA_CONTINUATOR)
+}
+	YY_BREAK
+/* ignored sequences */
+case 3:
+/* rule 3 can match eol */
+YY_RULE_SETUP
+#line 241 "./preprocessorLex.l"
+{
+}
+	YY_BREAK
+case 4:
+/* rule 4 can match eol */
+YY_RULE_SETUP
+#line 244 "./preprocessorLex.l"
+{
+  preprocessorlval.mystr=strdup(preprocessortext);
+  return(TK_EOL);
+}
+	YY_BREAK
+case 5:
+/* rule 5 can match eol */
+YY_RULE_SETUP
+#line 248 "./preprocessorLex.l"
+{
+  preprocessorlval.mystr=strdup(preprocessortext);
+  return(TK_EOL);
+}
+	YY_BREAK
+case 6:
+YY_RULE_SETUP
+#line 253 "./preprocessorLex.l"
+{
+  pproot()->cr_scanner->cur_char_position+=preprocessorleng; 
+  preprocessorlval.mystr=strdup(preprocessortext);
+  return(TK_SPACE );
+}
+	YY_BREAK
+case 7:
+/* rule 7 can match eol */
+YY_RULE_SETUP
+#line 259 "./preprocessorLex.l"
+{
+  preprocessorlval.mystr=adms_preprocessor_lex_skipp_text (); return(TK_COMMENT);
+}
+	YY_BREAK
+case 8:
+YY_RULE_SETUP
+#line 263 "./preprocessorLex.l"
+{
+  preprocessorlval.mystr=adms_preprocessor_lex_skipp_text (); return(TK_COMMENT);
+}
+	YY_BREAK
+/* pragma */
+case 9:
+YY_RULE_SETUP
+#line 269 "./preprocessorLex.l"
+{
+  pproot()->cr_scanner->cur_char_position+=preprocessorleng; 
+  if ( DONT_SKIPP )
+    {
+      BEGIN( PRAGMA_DEFINE_START );
+      tkreturn(TK_DEFINE)
+    }
+  else
+      BEGIN( PRAGMA_DEFINE_IGNORE );
+}
+	YY_BREAK
+case 10:
+YY_RULE_SETUP
+#line 279 "./preprocessorLex.l"
+{
+  pproot()->cr_scanner->cur_char_position+=preprocessorleng; 
+  BEGIN( INITIAL );
+}
+	YY_BREAK
+case 11:
+/* rule 11 can match eol */
+YY_RULE_SETUP
+#line 283 "./preprocessorLex.l"
+{
+  pproot()->cr_scanner->cur_message=strdup("- `define: unexpected end-of-line");
+  yyflex_unput
+  BEGIN( INITIAL );
+}
+	YY_BREAK
+case 12:
+YY_RULE_SETUP
+#line 289 "./preprocessorLex.l"
+{
+  if ( DONT_SKIPP )
+    {
+      BEGIN( PRAGMA_NAME );
+      tkreturn(TK_UNDEF)
+    }
+  else
+      BEGIN( PRAGMA_DEFINE_IGNORE );
+}
+	YY_BREAK
+case 13:
+YY_RULE_SETUP
+#line 298 "./preprocessorLex.l"
+{
+  preprocessorlval.mystr=strdup("");;
+  BEGIN( PRAGMA_NAME );
+  tkreturn(TK_IFDEF)
+}
+	YY_BREAK
+case 14:
+YY_RULE_SETUP
+#line 303 "./preprocessorLex.l"
+{
+  preprocessorlval.mystr=strdup("");;
+  BEGIN( PRAGMA_NAME );
+  tkreturn(TK_IFNDEF)
+}
+	YY_BREAK
+case 15:
+YY_RULE_SETUP
+#line 308 "./preprocessorLex.l"
+{
+  char* string=NULL;
+  adms_k2strconcat(&string,"# ");
+  adms_strconcat(&string,adms_integertostring(pproot()->cr_scanner->cur_line_position+1));
+  adms_k2strconcat(&string," \"");
+  adms_k2strconcat(&string,pproot()->cr_scanner->filename);
+  adms_k2strconcat(&string,"\"");
+  preprocessorlval.mystr=string;
+  BEGIN( PRAGMA_COMMENT );
+  tkreturn(TK_ELSE)
+}
+	YY_BREAK
+case 16:
+YY_RULE_SETUP
+#line 319 "./preprocessorLex.l"
+{
+  char* string=NULL;
+  adms_k2strconcat(&string,"# ");
+  adms_strconcat(&string,adms_integertostring(pproot()->cr_scanner->cur_line_position+1));
+  adms_k2strconcat(&string," \"");
+  adms_k2strconcat(&string,pproot()->cr_scanner->filename);
+  adms_k2strconcat(&string,"\"");
+  preprocessorlval.mystr=string;
+  BEGIN( PRAGMA_COMMENT );
+  tkreturn(TK_ENDIF)
+}
+	YY_BREAK
+case 17:
+YY_RULE_SETUP
+#line 330 "./preprocessorLex.l"
+{
+  if(DONT_SKIPP)
+    BEGIN(PRAGMA_PATH);
+  else
+    BEGIN(PRAGMA_DEFINE_IGNORE);
+}
+	YY_BREAK
+case 18:
+/* rule 18 can match eol */
+YY_RULE_SETUP
+#line 337 "./preprocessorLex.l"
+{
+  BEGIN( PRAGMA_DEFINE_NOARG );
+  preprocessorlval.mystr=strdup(preprocessortext );
+  tkreturn(TK_PRAGMA_NAME)
+}
+	YY_BREAK
+case 19:
+YY_RULE_SETUP
+#line 342 "./preprocessorLex.l"
+{
+  BEGIN( PRAGMA_DEFINE_NOARG );
+  preprocessorlval.mystr=strdup(preprocessortext );
+  tkreturn(TK_PRAGMA_NAME)
+}
+	YY_BREAK
+case 20:
+*yy_cp = (yy_hold_char); /* undo effects of setting up preprocessortext */
+(yy_c_buf_p) = yy_cp -= 1;
+YY_DO_BEFORE_ACTION; /* set up preprocessortext again */
+YY_RULE_SETUP
+#line 347 "./preprocessorLex.l"
+{
+  BEGIN( PRAGMA_DEFINE_WITHARG );
+  preprocessorlval.mystr=strdup(preprocessortext );
+  tkreturn(TK_PRAGMA_NAME)
+}
+	YY_BREAK
+case 21:
+YY_RULE_SETUP
+#line 352 "./preprocessorLex.l"
+{
+  pproot()->cr_scanner->cur_char_position+=preprocessorleng; 
+}
+	YY_BREAK
+case 22:
+/* rule 22 can match eol */
+YY_RULE_SETUP
+#line 355 "./preprocessorLex.l"
+{
+  pproot()->cr_scanner->cur_message=strdup("- pragma name: unexpected end-of-line");
+  yyflex_unput
+  BEGIN( PRAGMA_ERROR );
+}
+	YY_BREAK
+case 23:
+YY_RULE_SETUP
+#line 360 "./preprocessorLex.l"
+{
+  char* string=NULL;
+  adms_k2strconcat(&string,"at character ");
+  adms_k2strconcat(&string,preprocessortext);
+  adms_k2strconcat(&string," - identifier was expected");
+  pproot()->cr_scanner->cur_message=string;
+  yyflex_unput
+  BEGIN( PRAGMA_ERROR );
+}
+	YY_BREAK
+case 24:
+YY_RULE_SETUP
+#line 370 "./preprocessorLex.l"
+{
+  pproot()->cr_scanner->cur_char_position+=preprocessorleng; 
+}
+	YY_BREAK
+case 25:
+/* rule 25 can match eol */
+YY_RULE_SETUP
+#line 373 "./preprocessorLex.l"
+{
+  pproot()->cr_scanner->cur_message=strdup("- `define: unexpected end-of-line)");
+  yyflex_unput
+  BEGIN( INITIAL );
+  tkreturn(TK_DEFINE_END)
+}
+	YY_BREAK
+case 26:
+/* rule 26 can match eol */
+YY_RULE_SETUP
+#line 379 "./preprocessorLex.l"
+{
+  p_continuator continuator;
+
+  continuator=(p_continuator)malloc(sizeof(t_continuator));
+  continuator->char_position = preprocessorleng-1;
+  continuator->line_position = pproot()->cr_scanner->cur_line_position;
+
+  pproot()->cr_scanner->cur_line_position += 1;
+  pproot()->cr_scanner->cur_char_position = 1;
+  adms_slist_push(&(pproot()->cr_scanner->cur_continuator_position),(p_adms)continuator);
+
+  tkreturn(TK_CONTINUATOR)
+}
+	YY_BREAK
+case 27:
+YY_RULE_SETUP
+#line 392 "./preprocessorLex.l"
+{
+  char* string=NULL;
+  adms_k2strconcat(&string,"at character ");
+  adms_k2strconcat(&string,preprocessortext);
+  adms_k2strconcat(&string," - whitespace was expected");
+  pproot()->cr_scanner->cur_message=string;
+  yyflex_unput
+  BEGIN( PRAGMA_DEFINE_TEXT_START );
+}
+	YY_BREAK
+case 28:
+YY_RULE_SETUP
+#line 402 "./preprocessorLex.l"
+{
+  pproot()->cr_scanner->cur_char_position+=preprocessorleng; 
+  BEGIN( PRAGMA_DEFINE_TEXT_START );
+  tkreturn(TK_ARG_NULL)
+}
+	YY_BREAK
+case 29:
+/* rule 29 can match eol */
+YY_RULE_SETUP
+#line 407 "./preprocessorLex.l"
+{
+  char* string=NULL;
+  adms_k2strconcat(&string,"at character ");
+  adms_k2strconcat(&string,preprocessortext);
+  adms_k2strconcat(&string," - `define has no closing paren");
+  pproot()->cr_scanner->cur_message=string;
+  yyflex_unput
+  BEGIN( PRAGMA_ERROR );
+}
+	YY_BREAK
+case 30:
+YY_RULE_SETUP
+#line 416 "./preprocessorLex.l"
+{
+  pproot()->cr_scanner->cur_char_position+=preprocessorleng; 
+  BEGIN( PRAGMA_DEFINE_WITHARG_ARGS );
+}
+	YY_BREAK
+case 31:
+YY_RULE_SETUP
+#line 421 "./preprocessorLex.l"
+{
+  BEGIN( PRAGMA_DEFINE_WITHARG_COMMA );
+  preprocessorlval.mystr=strdup(preprocessortext );
+  tkreturn(TK_ARG)
+}
+	YY_BREAK
+case 32:
+YY_RULE_SETUP
+#line 426 "./preprocessorLex.l"
+{
+  char* string=NULL;
+  adms_k2strconcat(&string,"at character ");
+  adms_k2strconcat(&string,preprocessortext);
+  adms_k2strconcat(&string," - `define has unexpected syntax");
+  pproot()->cr_scanner->cur_message=string;
+  yyflex_unput
+  BEGIN( PRAGMA_ERROR );
+}
+	YY_BREAK
+case 33:
+YY_RULE_SETUP
+#line 436 "./preprocessorLex.l"
+{
+  pproot()->cr_scanner->cur_char_position+=preprocessorleng; 
+}
+	YY_BREAK
+case 34:
+YY_RULE_SETUP
+#line 439 "./preprocessorLex.l"
+{
+  pproot()->cr_scanner->cur_char_position+=preprocessorleng; 
+  BEGIN( PRAGMA_DEFINE_TEXT_START );
+}
+	YY_BREAK
+case 35:
+YY_RULE_SETUP
+#line 443 "./preprocessorLex.l"
+{
+  pproot()->cr_scanner->cur_char_position+=preprocessorleng; 
+}
+	YY_BREAK
+case 36:
+YY_RULE_SETUP
+#line 446 "./preprocessorLex.l"
+{
+  yyflex_unput
+  BEGIN( PRAGMA_DEFINE_WITHARG_ARGS );
+}
+	YY_BREAK
+case 37:
+YY_RULE_SETUP
+#line 451 "./preprocessorLex.l"
+{
+  pproot()->cr_scanner->cur_char_position+=preprocessorleng; 
+  BEGIN( PRAGMA_DEFINE_TEXT );
+}
+	YY_BREAK
+case 38:
+/* rule 38 can match eol */
+YY_RULE_SETUP
+#line 455 "./preprocessorLex.l"
+{
+  p_continuator continuator;
+
+  continuator=(p_continuator)malloc(sizeof(t_continuator));
+  continuator->char_position = preprocessorleng-1;
+  continuator->line_position = pproot()->cr_scanner->cur_line_position;
+
+  pproot()->cr_scanner->cur_line_position += 1;
+  pproot()->cr_scanner->cur_char_position = 1;
+  adms_slist_push(&(pproot()->cr_scanner->cur_continuator_position),(p_adms)continuator);
+
+  tkreturn(TK_CONTINUATOR)
+}
+	YY_BREAK
+case 39:
+/* rule 39 can match eol */
+YY_RULE_SETUP
+#line 468 "./preprocessorLex.l"
+{
+  yyflex_unput
+  BEGIN( INITIAL );
+  tkreturn(TK_DEFINE_END)
+}
+	YY_BREAK
+case 40:
+YY_RULE_SETUP
+#line 473 "./preprocessorLex.l"
+{
+  yyflex_unput
+  BEGIN( PRAGMA_DEFINE_TEXT );
+}
+	YY_BREAK
+case 41:
+/* rule 41 can match eol */
+YY_RULE_SETUP
+#line 478 "./preprocessorLex.l"
+{
+  pproot()->cr_scanner->cur_char_position+=preprocessorleng; 
+}
+	YY_BREAK
+case 42:
+YY_RULE_SETUP
+#line 482 "./preprocessorLex.l"
+{
+
+  preprocessorlval.mystr=strdup(adms_preprocessor_lex_remove_leading_quote( preprocessortext ));
+  if(DONT_SKIPP)
+  {
+    p_preprocessor_pragma_define Define;
+    if ((Define = adms_preprocessor_pragma_define_exists (preprocessorlval.mystr)))
+    {
+      if(adms_preprocessor_pragma_define_has_noarg(Define))
+        tkreturn(TK_SUBSTITUTOR_NOARG)
+      else if(adms_preprocessor_pragma_define_has_nullarg(Define))
+        tkreturn(TK_SUBSTITUTOR_NULLARG)
+      else
+        tkreturn(TK_SUBSTITUTOR_WITHARG)
+    }
+    else
+    {
+       preprocessorlval.mystr = strdup(preprocessortext);
+       pproot()->cr_scanner->cur_message=strdup(preprocessortext);
+       tkreturn(TK_ERROR_PRAGMA_NOT_FOUND)
+    }
+  }
+  else
+    tkreturn(TK_IDENT)
+}
+	YY_BREAK
+case 43:
+YY_RULE_SETUP
+#line 508 "./preprocessorLex.l"
+{
+
+  preprocessorlval.mystr=strdup(adms_preprocessor_lex_remove_leading_quote( preprocessortext ));
+  if(DONT_SKIPP)
+  {
+    p_preprocessor_pragma_define Define;
+    if((Define=adms_preprocessor_pragma_define_exists (preprocessorlval.mystr)))
+    {
+      if(adms_preprocessor_pragma_define_has_noarg(Define))
+        tkreturn(TK_SUBSTITUTOR_NOARG)
+      else if(adms_preprocessor_pragma_define_has_nullarg(Define))
+        tkreturn(TK_SUBSTITUTOR_NULLARG_ALONE)
+      else
+        tkreturn(TK_SUBSTITUTOR_WITHARG_ALONE)
+    }
+    else
+    {
+       preprocessorlval.mystr=strdup(preprocessortext);
+       pproot()->cr_scanner->cur_message=strdup(preprocessortext);
+       tkreturn(TK_ERROR_PRAGMA_NOT_FOUND)
+    }
+  }
+  else
+    tkreturn(TK_IDENT)
+}
+	YY_BREAK
+case 44:
+YY_RULE_SETUP
+#line 534 "./preprocessorLex.l"
+{
+
+  preprocessorlval.mystr = strdup(preprocessortext);
+  tkreturn(TK_IDENT)
+}
+	YY_BREAK
+case 45:
+YY_RULE_SETUP
+#line 540 "./preprocessorLex.l"
+{
+  preprocessorlval.mystr = strdup(preprocessortext);
+  tkreturn('(')
+}
+	YY_BREAK
+case 46:
+YY_RULE_SETUP
+#line 545 "./preprocessorLex.l"
+{
+  preprocessorlval.mystr = strdup(preprocessortext);
+  tkreturn(')')
+}
+	YY_BREAK
+case 47:
+YY_RULE_SETUP
+#line 550 "./preprocessorLex.l"
+{
+  preprocessorlval.mystr = strdup(preprocessortext);
+  tkreturn(',')
+}
+	YY_BREAK
+case 48:
+YY_RULE_SETUP
+#line 555 "./preprocessorLex.l"
+{
+  pproot()->cr_scanner->cur_char_position+=preprocessorleng; 
+  preprocessorlval.mystr=strdup(preprocessortext);
+  return(TK_SPACE );
+}
+	YY_BREAK
+case 49:
+YY_RULE_SETUP
+#line 561 "./preprocessorLex.l"
+{
+  preprocessorlval.mystr=adms_preprocessor_lex_skipp_text (); return(TK_COMMENT);
+}
+	YY_BREAK
+case 50:
+/* rule 50 can match eol */
+YY_RULE_SETUP
+#line 565 "./preprocessorLex.l"
+{
+  preprocessorlval.mystr=adms_preprocessor_lex_skipp_text (); return(TK_COMMENT);
+}
+	YY_BREAK
+case 51:
+YY_RULE_SETUP
+#line 569 "./preprocessorLex.l"
+{
+  preprocessorlval.mystr = strdup(preprocessortext);
+  tkreturn(TK_NOT_IDENT)
+}
+	YY_BREAK
+case 52:
+/* rule 52 can match eol */
+YY_RULE_SETUP
+#line 574 "./preprocessorLex.l"
+{
+  p_continuator continuator;
+
+  continuator=(p_continuator)malloc(sizeof(t_continuator));
+  continuator->char_position = preprocessorleng-1;
+  continuator->line_position = pproot()->cr_scanner->cur_line_position;
+
+  pproot()->cr_scanner->cur_line_position += 1;
+  pproot()->cr_scanner->cur_char_position = 1;
+  adms_slist_push(&(pproot()->cr_scanner->cur_continuator_position),(p_adms)continuator);
+
+  tkreturn(TK_CONTINUATOR)
+}
+	YY_BREAK
+case 53:
+/* rule 53 can match eol */
+YY_RULE_SETUP
+#line 587 "./preprocessorLex.l"
+{
+  yyflex_unput
+  BEGIN( INITIAL );
+  tkreturn(TK_DEFINE_END)
+}
+	YY_BREAK
+case 54:
+YY_RULE_SETUP
+#line 593 "./preprocessorLex.l"
+{
+  BEGIN( PRAGMA_COMMENT );
+  preprocessorlval.mystr=strdup(preprocessortext );
+  tkreturn(TK_PRAGMA_NAME)
+}
+	YY_BREAK
+case 55:
+YY_RULE_SETUP
+#line 598 "./preprocessorLex.l"
+{
+  pproot()->cr_scanner->cur_char_position+=preprocessorleng; 
+}
+	YY_BREAK
+case 56:
+/* rule 56 can match eol */
+YY_RULE_SETUP
+#line 601 "./preprocessorLex.l"
+{
+  pproot()->cr_scanner->cur_message=strdup("- unexpected end-of-line");
+  yyflex_unput
+  BEGIN( PRAGMA_ERROR );
+}
+	YY_BREAK
+case 57:
+YY_RULE_SETUP
+#line 606 "./preprocessorLex.l"
+{
+  char* string=NULL;
+  adms_k2strconcat(&string,"at character ");
+  adms_k2strconcat(&string,preprocessortext);
+  adms_k2strconcat(&string," - pragma name was expected");
+  pproot()->cr_scanner->cur_message=string;
+  yyflex_unput
+  BEGIN( PRAGMA_ERROR );
+}
+	YY_BREAK
+case 58:
+/* rule 58 can match eol */
+YY_RULE_SETUP
+#line 615 "./preprocessorLex.l"
+{
+  p_continuator continuator;
+
+  continuator=(p_continuator)malloc(sizeof(t_continuator));
+  continuator->char_position = preprocessorleng-1;
+  continuator->line_position = pproot()->cr_scanner->cur_line_position;
+
+  pproot()->cr_scanner->cur_line_position += 1;
+  pproot()->cr_scanner->cur_char_position = 1;
+  adms_slist_push(&(pproot()->cr_scanner->cur_continuator_position),(p_adms)continuator);
+
+  tkreturn(TK_CONTINUATOR)
+}
+	YY_BREAK
+case 59:
+YY_RULE_SETUP
+#line 629 "./preprocessorLex.l"
+{
+  pproot()->cr_scanner->cur_char_position+=preprocessorleng; 
+}
+	YY_BREAK
+case 60:
+/* rule 60 can match eol */
+YY_RULE_SETUP
+#line 632 "./preprocessorLex.l"
+{
+  char* fileName;
+  BEGIN( INITIAL );
+  fileName = adms_preprocessor_lex_get_path(preprocessortext,'"','"');
+  adms_preprocessor_lex_include_file(fileName);
+  tkreturn(TK_INCLUDE)
+}
+	YY_BREAK
+case 61:
+/* rule 61 can match eol */
+YY_RULE_SETUP
+#line 639 "./preprocessorLex.l"
+{
+  char* fileName;
+  BEGIN( INITIAL );
+  fileName = adms_preprocessor_lex_get_path(preprocessortext,'<','>');
+  adms_message_info(("%s: implicit path handled as explicit path!",fileName))
+  adms_preprocessor_lex_include_file(fileName);
+  tkreturn(TK_INCLUDE)
+}
+	YY_BREAK
+case 62:
+YY_RULE_SETUP
+#line 647 "./preprocessorLex.l"
+{
+  char* string=NULL;
+  adms_k2strconcat(&string,"at character ");
+  adms_k2strconcat(&string,preprocessortext);
+  adms_k2strconcat(&string," - bad path");
+  pproot()->cr_scanner->cur_message=string;
+  yyflex_unput
+  BEGIN( PRAGMA_ERROR );
+}
+	YY_BREAK
+case 63:
+YY_RULE_SETUP
+#line 657 "./preprocessorLex.l"
+{
+  pproot()->cr_scanner->cur_char_position+=preprocessorleng; 
+}
+	YY_BREAK
+case 64:
+YY_RULE_SETUP
+#line 660 "./preprocessorLex.l"
+{
+  preprocessorlval.mystr=adms_preprocessor_lex_skipp_text (); return(TK_COMMENT);
+}
+	YY_BREAK
+case 65:
+/* rule 65 can match eol */
+YY_RULE_SETUP
+#line 663 "./preprocessorLex.l"
+{
+  preprocessorlval.mystr=adms_preprocessor_lex_skipp_text (); return(TK_COMMENT);
+}
+	YY_BREAK
+case 66:
+/* rule 66 can match eol */
+YY_RULE_SETUP
+#line 666 "./preprocessorLex.l"
+{
+  p_continuator continuator;
+
+  continuator=(p_continuator)malloc(sizeof(t_continuator));
+  continuator->char_position = preprocessorleng-1;
+  continuator->line_position = pproot()->cr_scanner->cur_line_position;
+
+  pproot()->cr_scanner->cur_line_position += 1;
+  pproot()->cr_scanner->cur_char_position = 1;
+  adms_slist_push(&(pproot()->cr_scanner->cur_continuator_position),(p_adms)continuator);
+
+  tkreturn(TK_CONTINUATOR)
+
+}
+	YY_BREAK
+case 67:
+/* rule 67 can match eol */
+YY_RULE_SETUP
+#line 680 "./preprocessorLex.l"
+{
+  yyflex_unput
+  BEGIN( INITIAL );
+}
+	YY_BREAK
+case 68:
+YY_RULE_SETUP
+#line 684 "./preprocessorLex.l"
+{
+  char* string=NULL;
+  adms_k2strconcat(&string,"at character ");
+  adms_k2strconcat(&string,preprocessortext);
+  adms_k2strconcat(&string," - unknown error");
+  pproot()->cr_scanner->cur_message=string;
+  yyflex_unput
+  BEGIN( PRAGMA_ERROR );
+}
+	YY_BREAK
+case 69:
+/* rule 69 can match eol */
+YY_RULE_SETUP
+#line 694 "./preprocessorLex.l"
+{
+  char* string=NULL;
+  adms_k2strconcat(&string,"at character ");
+  adms_k2strconcat(&string,preprocessortext);
+  adms_k2strconcat(&string," - unknown error");
+  pproot()->cr_scanner->cur_message=string;
+  tkreturn(TK_ERROR_PRAGMA_DEFINITION)
+}
+	YY_BREAK
+case 70:
+YY_RULE_SETUP
+#line 703 "./preprocessorLex.l"
+{
+
+  p_preprocessor_pragma_define Define;
+  preprocessorlval.mystr = 
+    strdup(adms_preprocessor_lex_remove_leading_quote( preprocessortext));
+  if(DONT_SKIPP)
+  {
+    if ((Define = adms_preprocessor_pragma_define_exists (preprocessorlval.mystr)))
+    {
+      if(adms_preprocessor_pragma_define_has_noarg(Define))
+        tkreturn(TK_SUBSTITUTOR_NOARG)
+      else if(adms_preprocessor_pragma_define_has_nullarg(Define))
+        tkreturn(TK_SUBSTITUTOR_NULLARG)
+      else
+        tkreturn(TK_SUBSTITUTOR_WITHARG)
+    }
+    else
+    {
+      preprocessorlval.mystr = strdup(preprocessortext);
+      pproot()->cr_scanner->cur_message=strdup(preprocessortext);
+      tkreturn(TK_ERROR_PRAGMA_NOT_FOUND)
+    }
+  }
+  else
+    tkreturn(TK_IDENT)
+
+}
+	YY_BREAK
+case 71:
+YY_RULE_SETUP
+#line 731 "./preprocessorLex.l"
+{
+
+  preprocessorlval.mystr = 
+    strdup(adms_preprocessor_lex_remove_leading_quote( preprocessortext ));
+  {
+    p_preprocessor_pragma_define Define;
+    if(DONT_SKIPP)
+    {
+      if((Define=adms_preprocessor_pragma_define_exists (preprocessorlval.mystr)))
+      {
+        if(adms_preprocessor_pragma_define_has_noarg(Define))
+          tkreturn(TK_SUBSTITUTOR_NOARG)
+        else if(adms_preprocessor_pragma_define_has_nullarg(Define))
+          tkreturn(TK_SUBSTITUTOR_NULLARG_ALONE)
+        else
+          tkreturn(TK_SUBSTITUTOR_WITHARG_ALONE)
+      }
+      else
+      {
+         preprocessorlval.mystr = strdup(preprocessortext);
+         pproot()->cr_scanner->cur_message=strdup(preprocessortext);
+         tkreturn(TK_ERROR_PRAGMA_NOT_FOUND)
+      }
+    }
+    else
+      tkreturn(TK_IDENT)
+  }
+
+}
+	YY_BREAK
+case 72:
+YY_RULE_SETUP
+#line 761 "./preprocessorLex.l"
+{
+
+  preprocessorlval.mystr = strdup(preprocessortext);
+  tkreturn(TK_IDENT)
+
+}
+	YY_BREAK
+case 73:
+YY_RULE_SETUP
+#line 768 "./preprocessorLex.l"
+{
+  preprocessorlval.mystr = strdup(preprocessortext);
+  return '(';
+}
+	YY_BREAK
+case 74:
+YY_RULE_SETUP
+#line 773 "./preprocessorLex.l"
+{
+  preprocessorlval.mystr = strdup(preprocessortext);
+  return ')';
+}
+	YY_BREAK
+case 75:
+YY_RULE_SETUP
+#line 778 "./preprocessorLex.l"
+{
+  preprocessorlval.mystr = strdup(preprocessortext);
+  return ',';
+}
+	YY_BREAK
+case 76:
+YY_RULE_SETUP
+#line 783 "./preprocessorLex.l"
+{
+  preprocessorlval.mystr = strdup(preprocessortext);
+  return TK_NOT_IDENT;
+}
+	YY_BREAK
+case YY_STATE_EOF(INITIAL):
+case YY_STATE_EOF(PRAGMA_PATH):
+case YY_STATE_EOF(PRAGMA_COMMENT):
+case YY_STATE_EOF(PRAGMA_NAME):
+case YY_STATE_EOF(PRAGMA_ERROR):
+case YY_STATE_EOF(PRAGMA_DEFINE_START):
+case YY_STATE_EOF(PRAGMA_DEFINE_IGNORE):
+case YY_STATE_EOF(PRAGMA_DEFINE_NOARG):
+case YY_STATE_EOF(PRAGMA_DEFINE_WITHARG):
+case YY_STATE_EOF(PRAGMA_DEFINE_TEXT_START):
+case YY_STATE_EOF(PRAGMA_DEFINE_TEXT):
+case YY_STATE_EOF(PRAGMA_DEFINE_WITHARG_COMMA):
+case YY_STATE_EOF(PRAGMA_DEFINE_WITHARG_ARGS):
+case YY_STATE_EOF(PRAGMA_SUBSTITUTOR_START):
+case YY_STATE_EOF(PRAGMA_SUBSTITUTOR_ARG):
+case YY_STATE_EOF(PRAGMA_SUBSTITUTOR_PAIR):
+case YY_STATE_EOF(PRAGMA_SUBSTITUTOR_ARGITEM):
+case YY_STATE_EOF(PRAGMA_SUBSTITUTOR_ARGCOMMA):
+case YY_STATE_EOF(PRAGMA_SUBSTITUTOR_ARGEND):
+#line 788 "./preprocessorLex.l"
+{
+  char* string=NULL;
+  if( pproot()->Scanner != NULL )
+    {
+      preprocessor_delete_buffer((YY_BUFFER_STATE)(pproot()->cr_scanner->buffer));
+      free(pproot()->cr_scanner );
+      pproot()->cr_scanner = (p_preprocessor) adms_slist_pull(&pproot()->Scanner );
+      preprocessorin = pproot()->cr_scanner->fid;
+      preprocessor_switch_to_buffer((YY_BUFFER_STATE)pproot()->cr_scanner->buffer );
+    }
+  else
+    yyterminate ();
+  adms_k2strconcat(&string,"# ");
+  adms_strconcat(&string,adms_integertostring(pproot()->cr_scanner->cur_line_position+1));
+  adms_k2strconcat(&string," \"");
+  adms_k2strconcat(&string,pproot()->cr_scanner->filename);
+  adms_k2strconcat(&string,"\"");
+  preprocessorlval.mystr=string;
+  tkreturn(TK_EOF)
+}
+	YY_BREAK
+case 77:
+YY_RULE_SETUP
+#line 809 "./preprocessorLex.l"
+ECHO;
+	YY_BREAK
+#line 2221 "preprocessorLex.c"
+
+	case YY_END_OF_BUFFER:
+		{
+		/* Amount of text matched not including the EOB char. */
+		int yy_amount_of_matched_text = (int) (yy_cp - (yytext_ptr)) - 1;
+
+		/* Undo the effects of YY_DO_BEFORE_ACTION. */
+		*yy_cp = (yy_hold_char);
+		YY_RESTORE_YY_MORE_OFFSET
+
+		if ( YY_CURRENT_BUFFER_LVALUE->yy_buffer_status == YY_BUFFER_NEW )
+			{
+			/* We're scanning a new file or input source.  It's
+			 * possible that this happened because the user
+			 * just pointed preprocessorin at a new source and called
+			 * preprocessorlex().  If so, then we have to assure
+			 * consistency between YY_CURRENT_BUFFER and our
+			 * globals.  Here is the right place to do so, because
+			 * this is the first action (other than possibly a
+			 * back-up) that will match for the new input source.
+			 */
+			(yy_n_chars) = YY_CURRENT_BUFFER_LVALUE->yy_n_chars;
+			YY_CURRENT_BUFFER_LVALUE->yy_input_file = preprocessorin;
+			YY_CURRENT_BUFFER_LVALUE->yy_buffer_status = YY_BUFFER_NORMAL;
+			}
+
+		/* Note that here we test for yy_c_buf_p "<=" to the position
+		 * of the first EOB in the buffer, since yy_c_buf_p will
+		 * already have been incremented past the NUL character
+		 * (since all states make transitions on EOB to the
+		 * end-of-buffer state).  Contrast this with the test
+		 * in input().
+		 */
+		if ( (yy_c_buf_p) <= &YY_CURRENT_BUFFER_LVALUE->yy_ch_buf[(yy_n_chars)] )
+			{ /* This was really a NUL. */
+			yy_state_type yy_next_state;
+
+			(yy_c_buf_p) = (yytext_ptr) + yy_amount_of_matched_text;
+
+			yy_current_state = yy_get_previous_state(  );
+
+			/* Okay, we're now positioned to make the NUL
+			 * transition.  We couldn't have
+			 * yy_get_previous_state() go ahead and do it
+			 * for us because it doesn't know how to deal
+			 * with the possibility of jamming (and we don't
+			 * want to build jamming into it because then it
+			 * will run more slowly).
+			 */
+
+			yy_next_state = yy_try_NUL_trans( yy_current_state );
+
+			yy_bp = (yytext_ptr) + YY_MORE_ADJ;
+
+			if ( yy_next_state )
+				{
+				/* Consume the NUL. */
+				yy_cp = ++(yy_c_buf_p);
+				yy_current_state = yy_next_state;
+				goto yy_match;
+				}
+
+			else
+				{
+				yy_cp = (yy_c_buf_p);
+				goto yy_find_action;
+				}
+			}
+
+		else switch ( yy_get_next_buffer(  ) )
+			{
+			case EOB_ACT_END_OF_FILE:
+				{
+				(yy_did_buffer_switch_on_eof) = 0;
+
+				if ( preprocessorwrap( ) )
+					{
+					/* Note: because we've taken care in
+					 * yy_get_next_buffer() to have set up
+					 * preprocessortext, we can now set up
+					 * yy_c_buf_p so that if some total
+					 * hoser (like flex itself) wants to
+					 * call the scanner after we return the
+					 * YY_NULL, it'll still work - another
+					 * YY_NULL will get returned.
+					 */
+					(yy_c_buf_p) = (yytext_ptr) + YY_MORE_ADJ;
+
+					yy_act = YY_STATE_EOF(YY_START);
+					goto do_action;
+					}
+
+				else
+					{
+					if ( ! (yy_did_buffer_switch_on_eof) )
+						YY_NEW_FILE;
+					}
+				break;
+				}
+
+			case EOB_ACT_CONTINUE_SCAN:
+				(yy_c_buf_p) =
+					(yytext_ptr) + yy_amount_of_matched_text;
+
+				yy_current_state = yy_get_previous_state(  );
+
+				yy_cp = (yy_c_buf_p);
+				yy_bp = (yytext_ptr) + YY_MORE_ADJ;
+				goto yy_match;
+
+			case EOB_ACT_LAST_MATCH:
+				(yy_c_buf_p) =
+				&YY_CURRENT_BUFFER_LVALUE->yy_ch_buf[(yy_n_chars)];
+
+				yy_current_state = yy_get_previous_state(  );
+
+				yy_cp = (yy_c_buf_p);
+				yy_bp = (yytext_ptr) + YY_MORE_ADJ;
+				goto yy_find_action;
+			}
+		break;
+		}
+
+	default:
+		YY_FATAL_ERROR(
+			"fatal flex scanner internal error--no action found" );
+	} /* end of action switch */
+		} /* end of scanning one token */
+} /* end of preprocessorlex */
+
+/* yy_get_next_buffer - try to read in a new buffer
+ *
+ * Returns a code representing an action:
+ *	EOB_ACT_LAST_MATCH -
+ *	EOB_ACT_CONTINUE_SCAN - continue scanning from current position
+ *	EOB_ACT_END_OF_FILE - end of file
+ */
+static int yy_get_next_buffer (void)
+{
+    	register char *dest = YY_CURRENT_BUFFER_LVALUE->yy_ch_buf;
+	register char *source = (yytext_ptr);
+	register int number_to_move, i;
+	int ret_val;
+
+	if ( (yy_c_buf_p) > &YY_CURRENT_BUFFER_LVALUE->yy_ch_buf[(yy_n_chars) + 1] )
+		YY_FATAL_ERROR(
+		"fatal flex scanner internal error--end of buffer missed" );
+
+	if ( YY_CURRENT_BUFFER_LVALUE->yy_fill_buffer == 0 )
+		{ /* Don't try to fill the buffer, so this is an EOF. */
+		if ( (yy_c_buf_p) - (yytext_ptr) - YY_MORE_ADJ == 1 )
+			{
+			/* We matched a single character, the EOB, so
+			 * treat this as a final EOF.
+			 */
+			return EOB_ACT_END_OF_FILE;
+			}
+
+		else
+			{
+			/* We matched some text prior to the EOB, first
+			 * process it.
+			 */
+			return EOB_ACT_LAST_MATCH;
+			}
+		}
+
+	/* Try to read more data. */
+
+	/* First move last chars to start of buffer. */
+	number_to_move = (int) ((yy_c_buf_p) - (yytext_ptr)) - 1;
+
+	for ( i = 0; i < number_to_move; ++i )
+		*(dest++) = *(source++);
+
+	if ( YY_CURRENT_BUFFER_LVALUE->yy_buffer_status == YY_BUFFER_EOF_PENDING )
+		/* don't do the read, it's not guaranteed to return an EOF,
+		 * just force an EOF
+		 */
+		YY_CURRENT_BUFFER_LVALUE->yy_n_chars = (yy_n_chars) = 0;
+
+	else
+		{
+			int num_to_read =
+			YY_CURRENT_BUFFER_LVALUE->yy_buf_size - number_to_move - 1;
+
+		while ( num_to_read <= 0 )
+			{ /* Not enough room in the buffer - grow it. */
+
+			YY_FATAL_ERROR(
+"input buffer overflow, can't enlarge buffer because scanner uses REJECT" );
+
+			}
+
+		if ( num_to_read > YY_READ_BUF_SIZE )
+			num_to_read = YY_READ_BUF_SIZE;
+
+		/* Read in more data. */
+		YY_INPUT( (&YY_CURRENT_BUFFER_LVALUE->yy_ch_buf[number_to_move]),
+			(yy_n_chars), (size_t) num_to_read );
+
+		YY_CURRENT_BUFFER_LVALUE->yy_n_chars = (yy_n_chars);
+		}
+
+	if ( (yy_n_chars) == 0 )
+		{
+		if ( number_to_move == YY_MORE_ADJ )
+			{
+			ret_val = EOB_ACT_END_OF_FILE;
+			preprocessorrestart(preprocessorin  );
+			}
+
+		else
+			{
+			ret_val = EOB_ACT_LAST_MATCH;
+			YY_CURRENT_BUFFER_LVALUE->yy_buffer_status =
+				YY_BUFFER_EOF_PENDING;
+			}
+		}
+
+	else
+		ret_val = EOB_ACT_CONTINUE_SCAN;
+
+	if ((yy_size_t) ((yy_n_chars) + number_to_move) > YY_CURRENT_BUFFER_LVALUE->yy_buf_size) {
+		/* Extend the array by 50%, plus the number we really need. */
+		yy_size_t new_size = (yy_n_chars) + number_to_move + ((yy_n_chars) >> 1);
+		YY_CURRENT_BUFFER_LVALUE->yy_ch_buf = (char *) preprocessorrealloc((void *) YY_CURRENT_BUFFER_LVALUE->yy_ch_buf,new_size  );
+		if ( ! YY_CURRENT_BUFFER_LVALUE->yy_ch_buf )
+			YY_FATAL_ERROR( "out of dynamic memory in yy_get_next_buffer()" );
+	}
+
+	(yy_n_chars) += number_to_move;
+	YY_CURRENT_BUFFER_LVALUE->yy_ch_buf[(yy_n_chars)] = YY_END_OF_BUFFER_CHAR;
+	YY_CURRENT_BUFFER_LVALUE->yy_ch_buf[(yy_n_chars) + 1] = YY_END_OF_BUFFER_CHAR;
+
+	(yytext_ptr) = &YY_CURRENT_BUFFER_LVALUE->yy_ch_buf[0];
+
+	return ret_val;
+}
+
+/* yy_get_previous_state - get the state just before the EOB char was reached */
+
+    static yy_state_type yy_get_previous_state (void)
+{
+	register yy_state_type yy_current_state;
+	register char *yy_cp;
+    
+	yy_current_state = (yy_start);
+	yy_current_state += YY_AT_BOL();
+
+	(yy_state_ptr) = (yy_state_buf);
+	*(yy_state_ptr)++ = yy_current_state;
+
+	for ( yy_cp = (yytext_ptr) + YY_MORE_ADJ; yy_cp < (yy_c_buf_p); ++yy_cp )
+		{
+		register YY_CHAR yy_c = (*yy_cp ? yy_ec[YY_SC_TO_UI(*yy_cp)] : 1);
+		while ( yy_chk[yy_base[yy_current_state] + yy_c] != yy_current_state )
+			{
+			yy_current_state = (int) yy_def[yy_current_state];
+			if ( yy_current_state >= 294 )
+				yy_c = yy_meta[(unsigned int) yy_c];
+			}
+		yy_current_state = yy_nxt[yy_base[yy_current_state] + (unsigned int) yy_c];
+		*(yy_state_ptr)++ = yy_current_state;
+		}
+
+	return yy_current_state;
+}
+
+/* yy_try_NUL_trans - try to make a transition on the NUL character
+ *
+ * synopsis
+ *	next_state = yy_try_NUL_trans( current_state );
+ */
+    static yy_state_type yy_try_NUL_trans  (yy_state_type yy_current_state )
+{
+	register int yy_is_jam;
+    
+	register YY_CHAR yy_c = 1;
+	while ( yy_chk[yy_base[yy_current_state] + yy_c] != yy_current_state )
+		{
+		yy_current_state = (int) yy_def[yy_current_state];
+		if ( yy_current_state >= 294 )
+			yy_c = yy_meta[(unsigned int) yy_c];
+		}
+	yy_current_state = yy_nxt[yy_base[yy_current_state] + (unsigned int) yy_c];
+	yy_is_jam = (yy_current_state == 293);
+	if ( ! yy_is_jam )
+		*(yy_state_ptr)++ = yy_current_state;
+
+	return yy_is_jam ? 0 : yy_current_state;
+}
+
+    static void yyunput (int c, register char * yy_bp )
+{
+	register char *yy_cp;
+    
+    yy_cp = (yy_c_buf_p);
+
+	/* undo effects of setting up preprocessortext */
+	*yy_cp = (yy_hold_char);
+
+	if ( yy_cp < YY_CURRENT_BUFFER_LVALUE->yy_ch_buf + 2 )
+		{ /* need to shift things up to make room */
+		/* +2 for EOB chars. */
+		register int number_to_move = (yy_n_chars) + 2;
+		register char *dest = &YY_CURRENT_BUFFER_LVALUE->yy_ch_buf[
+					YY_CURRENT_BUFFER_LVALUE->yy_buf_size + 2];
+		register char *source =
+				&YY_CURRENT_BUFFER_LVALUE->yy_ch_buf[number_to_move];
+
+		while ( source > YY_CURRENT_BUFFER_LVALUE->yy_ch_buf )
+			*--dest = *--source;
+
+		yy_cp += (int) (dest - source);
+		yy_bp += (int) (dest - source);
+		YY_CURRENT_BUFFER_LVALUE->yy_n_chars =
+			(yy_n_chars) = YY_CURRENT_BUFFER_LVALUE->yy_buf_size;
+
+		if ( yy_cp < YY_CURRENT_BUFFER_LVALUE->yy_ch_buf + 2 )
+			YY_FATAL_ERROR( "flex scanner push-back overflow" );
+		}
+
+	*--yy_cp = (char) c;
+
+	(yytext_ptr) = yy_bp;
+	(yy_hold_char) = *yy_cp;
+	(yy_c_buf_p) = yy_cp;
+}
+
+#ifndef YY_NO_INPUT
+#ifdef __cplusplus
+    static int yyinput (void)
+#else
+    static int input  (void)
+#endif
+
+{
+	int c;
+    
+	*(yy_c_buf_p) = (yy_hold_char);
+
+	if ( *(yy_c_buf_p) == YY_END_OF_BUFFER_CHAR )
+		{
+		/* yy_c_buf_p now points to the character we want to return.
+		 * If this occurs *before* the EOB characters, then it's a
+		 * valid NUL; if not, then we've hit the end of the buffer.
+		 */
+		if ( (yy_c_buf_p) < &YY_CURRENT_BUFFER_LVALUE->yy_ch_buf[(yy_n_chars)] )
+			/* This was really a NUL. */
+			*(yy_c_buf_p) = '\0';
+
+		else
+			{ /* need more input */
+			int offset = (yy_c_buf_p) - (yytext_ptr);
+			++(yy_c_buf_p);
+
+			switch ( yy_get_next_buffer(  ) )
+				{
+				case EOB_ACT_LAST_MATCH:
+					/* This happens because yy_g_n_b()
+					 * sees that we've accumulated a
+					 * token and flags that we need to
+					 * try matching the token before
+					 * proceeding.  But for input(),
+					 * there's no matching to consider.
+					 * So convert the EOB_ACT_LAST_MATCH
+					 * to EOB_ACT_END_OF_FILE.
+					 */
+
+					/* Reset buffer status. */
+					preprocessorrestart(preprocessorin );
+
+					/*FALLTHROUGH*/
+
+				case EOB_ACT_END_OF_FILE:
+					{
+					if ( preprocessorwrap( ) )
+						return EOF;
+
+					if ( ! (yy_did_buffer_switch_on_eof) )
+						YY_NEW_FILE;
+#ifdef __cplusplus
+					return yyinput();
+#else
+					return input();
+#endif
+					}
+
+				case EOB_ACT_CONTINUE_SCAN:
+					(yy_c_buf_p) = (yytext_ptr) + offset;
+					break;
+				}
+			}
+		}
+
+	c = *(unsigned char *) (yy_c_buf_p);	/* cast for 8-bit char's */
+	*(yy_c_buf_p) = '\0';	/* preserve preprocessortext */
+	(yy_hold_char) = *++(yy_c_buf_p);
+
+	YY_CURRENT_BUFFER_LVALUE->yy_at_bol = (c == '\n');
+
+	return c;
+}
+#endif	/* ifndef YY_NO_INPUT */
+
+/** Immediately switch to a different input stream.
+ * @param input_file A readable stream.
+ * 
+ * @note This function does not reset the start condition to @c INITIAL .
+ */
+    void preprocessorrestart  (FILE * input_file )
+{
+    
+	if ( ! YY_CURRENT_BUFFER ){
+        preprocessorensure_buffer_stack ();
+		YY_CURRENT_BUFFER_LVALUE =
+            preprocessor_create_buffer(preprocessorin,YY_BUF_SIZE );
+	}
+
+	preprocessor_init_buffer(YY_CURRENT_BUFFER,input_file );
+	preprocessor_load_buffer_state( );
+}
+
+/** Switch to a different input buffer.
+ * @param new_buffer The new input buffer.
+ * 
+ */
+    void preprocessor_switch_to_buffer  (YY_BUFFER_STATE  new_buffer )
+{
+    
+	/* TODO. We should be able to replace this entire function body
+	 * with
+	 *		preprocessorpop_buffer_state();
+	 *		preprocessorpush_buffer_state(new_buffer);
+     */
+	preprocessorensure_buffer_stack ();
+	if ( YY_CURRENT_BUFFER == new_buffer )
+		return;
+
+	if ( YY_CURRENT_BUFFER )
+		{
+		/* Flush out information for old buffer. */
+		*(yy_c_buf_p) = (yy_hold_char);
+		YY_CURRENT_BUFFER_LVALUE->yy_buf_pos = (yy_c_buf_p);
+		YY_CURRENT_BUFFER_LVALUE->yy_n_chars = (yy_n_chars);
+		}
+
+	YY_CURRENT_BUFFER_LVALUE = new_buffer;
+	preprocessor_load_buffer_state( );
+
+	/* We don't actually know whether we did this switch during
+	 * EOF (preprocessorwrap()) processing, but the only time this flag
+	 * is looked at is after preprocessorwrap() is called, so it's safe
+	 * to go ahead and always set it.
+	 */
+	(yy_did_buffer_switch_on_eof) = 1;
+}
+
+static void preprocessor_load_buffer_state  (void)
+{
+    	(yy_n_chars) = YY_CURRENT_BUFFER_LVALUE->yy_n_chars;
+	(yytext_ptr) = (yy_c_buf_p) = YY_CURRENT_BUFFER_LVALUE->yy_buf_pos;
+	preprocessorin = YY_CURRENT_BUFFER_LVALUE->yy_input_file;
+	(yy_hold_char) = *(yy_c_buf_p);
+}
+
+/** Allocate and initialize an input buffer state.
+ * @param file A readable stream.
+ * @param size The character buffer size in bytes. When in doubt, use @c YY_BUF_SIZE.
+ * 
+ * @return the allocated buffer state.
+ */
+    YY_BUFFER_STATE preprocessor_create_buffer  (FILE * file, int  size )
+{
+	YY_BUFFER_STATE b;
+    
+	b = (YY_BUFFER_STATE) preprocessoralloc(sizeof( struct yy_buffer_state )  );
+	if ( ! b )
+		YY_FATAL_ERROR( "out of dynamic memory in preprocessor_create_buffer()" );
+
+	b->yy_buf_size = size;
+
+	/* yy_ch_buf has to be 2 characters longer than the size given because
+	 * we need to put in 2 end-of-buffer characters.
+	 */
+	b->yy_ch_buf = (char *) preprocessoralloc(b->yy_buf_size + 2  );
+	if ( ! b->yy_ch_buf )
+		YY_FATAL_ERROR( "out of dynamic memory in preprocessor_create_buffer()" );
+
+	b->yy_is_our_buffer = 1;
+
+	preprocessor_init_buffer(b,file );
+
+	return b;
+}
+
+/** Destroy the buffer.
+ * @param b a buffer created with preprocessor_create_buffer()
+ * 
+ */
+    void preprocessor_delete_buffer (YY_BUFFER_STATE  b )
+{
+    
+	if ( ! b )
+		return;
+
+	if ( b == YY_CURRENT_BUFFER ) /* Not sure if we should pop here. */
+		YY_CURRENT_BUFFER_LVALUE = (YY_BUFFER_STATE) 0;
+
+	if ( b->yy_is_our_buffer )
+		preprocessorfree((void *) b->yy_ch_buf  );
+
+	preprocessorfree((void *) b  );
+}
+
+#ifndef __cplusplus
+extern int isatty (int );
+#endif /* __cplusplus */
+    
+/* Initializes or reinitializes a buffer.
+ * This function is sometimes called more than once on the same buffer,
+ * such as during a preprocessorrestart() or at EOF.
+ */
+    static void preprocessor_init_buffer  (YY_BUFFER_STATE  b, FILE * file )
+
+{
+	int oerrno = errno;
+    
+	preprocessor_flush_buffer(b );
+
+	b->yy_input_file = file;
+	b->yy_fill_buffer = 1;
+
+    /* If b is the current buffer, then preprocessor_init_buffer was _probably_
+     * called from preprocessorrestart() or through yy_get_next_buffer.
+     * In that case, we don't want to reset the lineno or column.
+     */
+    if (b != YY_CURRENT_BUFFER){
+        b->yy_bs_lineno = 1;
+        b->yy_bs_column = 0;
+    }
+
+        b->yy_is_interactive = file ? (isatty( fileno(file) ) > 0) : 0;
+    
+	errno = oerrno;
+}
+
+/** Discard all buffered characters. On the next scan, YY_INPUT will be called.
+ * @param b the buffer state to be flushed, usually @c YY_CURRENT_BUFFER.
+ * 
+ */
+    void preprocessor_flush_buffer (YY_BUFFER_STATE  b )
+{
+    	if ( ! b )
+		return;
+
+	b->yy_n_chars = 0;
+
+	/* We always need two end-of-buffer characters.  The first causes
+	 * a transition to the end-of-buffer state.  The second causes
+	 * a jam in that state.
+	 */
+	b->yy_ch_buf[0] = YY_END_OF_BUFFER_CHAR;
+	b->yy_ch_buf[1] = YY_END_OF_BUFFER_CHAR;
+
+	b->yy_buf_pos = &b->yy_ch_buf[0];
+
+	b->yy_at_bol = 1;
+	b->yy_buffer_status = YY_BUFFER_NEW;
+
+	if ( b == YY_CURRENT_BUFFER )
+		preprocessor_load_buffer_state( );
+}
+
+/** Pushes the new state onto the stack. The new state becomes
+ *  the current state. This function will allocate the stack
+ *  if necessary.
+ *  @param new_buffer The new state.
+ *  
+ */
+void preprocessorpush_buffer_state (YY_BUFFER_STATE new_buffer )
+{
+    	if (new_buffer == NULL)
+		return;
+
+	preprocessorensure_buffer_stack();
+
+	/* This block is copied from preprocessor_switch_to_buffer. */
+	if ( YY_CURRENT_BUFFER )
+		{
+		/* Flush out information for old buffer. */
+		*(yy_c_buf_p) = (yy_hold_char);
+		YY_CURRENT_BUFFER_LVALUE->yy_buf_pos = (yy_c_buf_p);
+		YY_CURRENT_BUFFER_LVALUE->yy_n_chars = (yy_n_chars);
+		}
+
+	/* Only push if top exists. Otherwise, replace top. */
+	if (YY_CURRENT_BUFFER)
+		(yy_buffer_stack_top)++;
+	YY_CURRENT_BUFFER_LVALUE = new_buffer;
+
+	/* copied from preprocessor_switch_to_buffer. */
+	preprocessor_load_buffer_state( );
+	(yy_did_buffer_switch_on_eof) = 1;
+}
+
+/** Removes and deletes the top of the stack, if present.
+ *  The next element becomes the new top.
+ *  
+ */
+void preprocessorpop_buffer_state (void)
+{
+    	if (!YY_CURRENT_BUFFER)
+		return;
+
+	preprocessor_delete_buffer(YY_CURRENT_BUFFER );
+	YY_CURRENT_BUFFER_LVALUE = NULL;
+	if ((yy_buffer_stack_top) > 0)
+		--(yy_buffer_stack_top);
+
+	if (YY_CURRENT_BUFFER) {
+		preprocessor_load_buffer_state( );
+		(yy_did_buffer_switch_on_eof) = 1;
+	}
+}
+
+/* Allocates the stack if it does not exist.
+ *  Guarantees space for at least one push.
+ */
+static void preprocessorensure_buffer_stack (void)
+{
+	int num_to_alloc;
+    
+	if (!(yy_buffer_stack)) {
+
+		/* First allocation is just for 2 elements, since we don't know if this
+		 * scanner will even need a stack. We use 2 instead of 1 to avoid an
+		 * immediate realloc on the next call.
+         */
+		num_to_alloc = 1;
+		(yy_buffer_stack) = (struct yy_buffer_state**)preprocessoralloc
+								(num_to_alloc * sizeof(struct yy_buffer_state*)
+								);
+		if ( ! (yy_buffer_stack) )
+			YY_FATAL_ERROR( "out of dynamic memory in preprocessorensure_buffer_stack()" );
+								  
+		memset((yy_buffer_stack), 0, num_to_alloc * sizeof(struct yy_buffer_state*));
+				
+		(yy_buffer_stack_max) = num_to_alloc;
+		(yy_buffer_stack_top) = 0;
+		return;
+	}
+
+	if ((yy_buffer_stack_top) >= ((yy_buffer_stack_max)) - 1){
+
+		/* Increase the buffer to prepare for a possible push. */
+		int grow_size = 8 /* arbitrary grow size */;
+
+		num_to_alloc = (yy_buffer_stack_max) + grow_size;
+		(yy_buffer_stack) = (struct yy_buffer_state**)preprocessorrealloc
+								((yy_buffer_stack),
+								num_to_alloc * sizeof(struct yy_buffer_state*)
+								);
+		if ( ! (yy_buffer_stack) )
+			YY_FATAL_ERROR( "out of dynamic memory in preprocessorensure_buffer_stack()" );
+
+		/* zero only the new slots.*/
+		memset((yy_buffer_stack) + (yy_buffer_stack_max), 0, grow_size * sizeof(struct yy_buffer_state*));
+		(yy_buffer_stack_max) = num_to_alloc;
+	}
+}
+
+/** Setup the input buffer state to scan directly from a user-specified character buffer.
+ * @param base the character buffer
+ * @param size the size in bytes of the character buffer
+ * 
+ * @return the newly allocated buffer state object. 
+ */
+YY_BUFFER_STATE preprocessor_scan_buffer  (char * base, yy_size_t  size )
+{
+	YY_BUFFER_STATE b;
+    
+	if ( size < 2 ||
+	     base[size-2] != YY_END_OF_BUFFER_CHAR ||
+	     base[size-1] != YY_END_OF_BUFFER_CHAR )
+		/* They forgot to leave room for the EOB's. */
+		return 0;
+
+	b = (YY_BUFFER_STATE) preprocessoralloc(sizeof( struct yy_buffer_state )  );
+	if ( ! b )
+		YY_FATAL_ERROR( "out of dynamic memory in preprocessor_scan_buffer()" );
+
+	b->yy_buf_size = size - 2;	/* "- 2" to take care of EOB's */
+	b->yy_buf_pos = b->yy_ch_buf = base;
+	b->yy_is_our_buffer = 0;
+	b->yy_input_file = 0;
+	b->yy_n_chars = b->yy_buf_size;
+	b->yy_is_interactive = 0;
+	b->yy_at_bol = 1;
+	b->yy_fill_buffer = 0;
+	b->yy_buffer_status = YY_BUFFER_NEW;
+
+	preprocessor_switch_to_buffer(b  );
+
+	return b;
+}
+
+/** Setup the input buffer state to scan a string. The next call to preprocessorlex() will
+ * scan from a @e copy of @a str.
+ * @param yystr a NUL-terminated string to scan
+ * 
+ * @return the newly allocated buffer state object.
+ * @note If you want to scan bytes that may contain NUL values, then use
+ *       preprocessor_scan_bytes() instead.
+ */
+YY_BUFFER_STATE preprocessor_scan_string (yyconst char * yystr )
+{
+    
+	return preprocessor_scan_bytes(yystr,strlen(yystr) );
+}
+
+/** Setup the input buffer state to scan the given bytes. The next call to preprocessorlex() will
+ * scan from a @e copy of @a bytes.
+ * @param bytes the byte buffer to scan
+ * @param len the number of bytes in the buffer pointed to by @a bytes.
+ * 
+ * @return the newly allocated buffer state object.
+ */
+YY_BUFFER_STATE preprocessor_scan_bytes  (yyconst char * yybytes, int  _yybytes_len )
+{
+	YY_BUFFER_STATE b;
+	char *buf;
+	yy_size_t n;
+	int i;
+    
+	/* Get memory for full buffer, including space for trailing EOB's. */
+	n = _yybytes_len + 2;
+	buf = (char *) preprocessoralloc(n  );
+	if ( ! buf )
+		YY_FATAL_ERROR( "out of dynamic memory in preprocessor_scan_bytes()" );
+
+	for ( i = 0; i < _yybytes_len; ++i )
+		buf[i] = yybytes[i];
+
+	buf[_yybytes_len] = buf[_yybytes_len+1] = YY_END_OF_BUFFER_CHAR;
+
+	b = preprocessor_scan_buffer(buf,n );
+	if ( ! b )
+		YY_FATAL_ERROR( "bad buffer in preprocessor_scan_bytes()" );
+
+	/* It's okay to grow etc. this buffer, and we should throw it
+	 * away when we're done.
+	 */
+	b->yy_is_our_buffer = 1;
+
+	return b;
+}
+
+#ifndef YY_EXIT_FAILURE
+#define YY_EXIT_FAILURE 2
+#endif
+
+static void yy_fatal_error (yyconst char* msg )
+{
+    	(void) fprintf( stderr, "%s\n", msg );
+	exit( YY_EXIT_FAILURE );
+}
+
+/* Redefine yyless() so it works in section 3 code. */
+
+#undef yyless
+#define yyless(n) \
+	do \
+		{ \
+		/* Undo effects of setting up preprocessortext. */ \
+        int yyless_macro_arg = (n); \
+        YY_LESS_LINENO(yyless_macro_arg);\
+		preprocessortext[preprocessorleng] = (yy_hold_char); \
+		(yy_c_buf_p) = preprocessortext + yyless_macro_arg; \
+		(yy_hold_char) = *(yy_c_buf_p); \
+		*(yy_c_buf_p) = '\0'; \
+		preprocessorleng = yyless_macro_arg; \
+		} \
+	while ( 0 )
+
+/* Accessor  methods (get/set functions) to struct members. */
+
+/** Get the current line number.
+ * 
+ */
+int preprocessorget_lineno  (void)
+{
+        
+    return preprocessorlineno;
+}
+
+/** Get the input stream.
+ * 
+ */
+FILE *preprocessorget_in  (void)
+{
+        return preprocessorin;
+}
+
+/** Get the output stream.
+ * 
+ */
+FILE *preprocessorget_out  (void)
+{
+        return preprocessorout;
+}
+
+/** Get the length of the current token.
+ * 
+ */
+int preprocessorget_leng  (void)
+{
+        return preprocessorleng;
+}
+
+/** Get the current token.
+ * 
+ */
+
+char *preprocessorget_text  (void)
+{
+        return preprocessortext;
+}
+
+/** Set the current line number.
+ * @param line_number
+ * 
+ */
+void preprocessorset_lineno (int  line_number )
+{
+    
+    preprocessorlineno = line_number;
+}
+
+/** Set the input stream. This does not discard the current
+ * input buffer.
+ * @param in_str A readable stream.
+ * 
+ * @see preprocessor_switch_to_buffer
+ */
+void preprocessorset_in (FILE *  in_str )
+{
+        preprocessorin = in_str ;
+}
+
+void preprocessorset_out (FILE *  out_str )
+{
+        preprocessorout = out_str ;
+}
+
+int preprocessorget_debug  (void)
+{
+        return preprocessor_flex_debug;
+}
+
+void preprocessorset_debug (int  bdebug )
+{
+        preprocessor_flex_debug = bdebug ;
+}
+
+static int yy_init_globals (void)
+{
+        /* Initialization is the same as for the non-reentrant scanner.
+     * This function is called from preprocessorlex_destroy(), so don't allocate here.
+     */
+
+    (yy_buffer_stack) = 0;
+    (yy_buffer_stack_top) = 0;
+    (yy_buffer_stack_max) = 0;
+    (yy_c_buf_p) = (char *) 0;
+    (yy_init) = 0;
+    (yy_start) = 0;
+
+    (yy_state_buf) = 0;
+    (yy_state_ptr) = 0;
+    (yy_full_match) = 0;
+    (yy_lp) = 0;
+
+/* Defined in main.c */
+#ifdef YY_STDINIT
+    preprocessorin = stdin;
+    preprocessorout = stdout;
+#else
+    preprocessorin = (FILE *) 0;
+    preprocessorout = (FILE *) 0;
+#endif
+
+    /* For future reference: Set errno on error, since we are called by
+     * preprocessorlex_init()
+     */
+    return 0;
+}
+
+/* preprocessorlex_destroy is for both reentrant and non-reentrant scanners. */
+int preprocessorlex_destroy  (void)
+{
+    
+    /* Pop the buffer stack, destroying each element. */
+	while(YY_CURRENT_BUFFER){
+		preprocessor_delete_buffer(YY_CURRENT_BUFFER  );
+		YY_CURRENT_BUFFER_LVALUE = NULL;
+		preprocessorpop_buffer_state();
+	}
+
+	/* Destroy the stack itself. */
+	preprocessorfree((yy_buffer_stack) );
+	(yy_buffer_stack) = NULL;
+
+    preprocessorfree ( (yy_state_buf) );
+    (yy_state_buf)  = NULL;
+
+    /* Reset the globals. This is important in a non-reentrant scanner so the next time
+     * preprocessorlex() is called, initialization will occur. */
+    yy_init_globals( );
+
+    return 0;
+}
+
+/*
+ * Internal utility routines.
+ */
+
+#ifndef yytext_ptr
+static void yy_flex_strncpy (char* s1, yyconst char * s2, int n )
+{
+	register int i;
+	for ( i = 0; i < n; ++i )
+		s1[i] = s2[i];
+}
+#endif
+
+#ifdef YY_NEED_STRLEN
+static int yy_flex_strlen (yyconst char * s )
+{
+	register int n;
+	for ( n = 0; s[n]; ++n )
+		;
+
+	return n;
+}
+#endif
+
+void *preprocessoralloc (yy_size_t  size )
+{
+	return (void *) malloc( size );
+}
+
+void *preprocessorrealloc  (void * ptr, yy_size_t  size )
+{
+	/* The cast to (char *) in the following accommodates both
+	 * implementations that use char* generic pointers, and those
+	 * that use void* generic pointers.  It works with the latter
+	 * because both ANSI C and C++ allow castless assignment from
+	 * any pointer type to void*, and deal with argument conversions
+	 * as though doing an assignment.
+	 */
+	return (void *) realloc( (char *) ptr, size );
+}
+
+void preprocessorfree (void * ptr )
+{
+	free( (char *) ptr );	/* see preprocessorrealloc() for (char *) cast */
+}
+
+#define YYTABLES_NAME "yytables"
+
+#line 809 "./preprocessorLex.l"
+
+
+int preprocessorwrap (void) {return 1;}
+
+
diff --git a/admsXml/preprocessorLex.l b/admsXml/preprocessorLex.l
new file mode 100644
index 0000000..5b35f08
--- /dev/null
+++ b/admsXml/preprocessorLex.l
@@ -0,0 +1,811 @@
+%{
+
+#include "adms.h"
+#include "admsPreprocessor.h"
+#include "preprocessorYacc.h"
+
+#ifndef INITIAL
+#  define INITIAL 0
+#endif
+
+#include "constants.vams.c"
+#include "disciplines.vams.c"
+
+#define yyflex_unput \
+{\
+  int i;\
+  char *yycopy=strdup(yytext);\
+  for ( i = yyleng - 1; i >= 0; --i )\
+    unput(yycopy[i]);\
+  free(yycopy);\
+}
+
+#define DONT_SKIPP (pproot()->skipp_text->data==INT2ADMS(0))
+
+void adms_preprocessor_setfile_input (FILE *ifile)
+{
+  yyin = ifile;
+}
+
+FILE *adms_preprocessor_getfile_input (void)
+{
+  return yyin;
+}
+
+void adms_preprocessor_setfile_output (FILE *ifile)
+{
+  yyout = ifile;
+}
+
+FILE *adms_preprocessor_getfile_output (void)
+{
+  return yyout;
+}
+
+#define tkreturn(token) {pproot()->cr_scanner->cur_char_position+=yyleng; return token;}
+
+static char* adms_preprocessor_lex_remove_leading_quote (char* name)
+{
+  char* unquoted_name;
+  char* start;
+  char* finish;
+  start = name+1;
+  finish = name + strlen(name);
+  unquoted_name = adms_knclone (start, finish - start );
+  return (unquoted_name);
+}
+
+static char* adms_preprocessor_lex_get_path (char* macro, const char begin, const char end)
+{
+  char* path;
+  char* start=macro;
+  char* finish;
+  while( *start && (*start != begin) )
+    start++;
+  finish=++start;
+  while( *finish && (*finish != end) )
+    finish++;
+  path=adms_knclone (start, finish - start );
+  return path;
+}
+
+void preprocessorerror (const char *message)
+{
+  p_preprocessor cr_preprocessor=pproot()->cr_scanner;
+  adms_message_fatal (("[%s:%i]:%s: %s\n",
+    cr_preprocessor->filename,
+    adms_preprocessor_get_line_position(cr_preprocessor,cr_preprocessor->cur_char_position-yyleng),
+    message,
+    cr_preprocessor->cur_message?cr_preprocessor->cur_message:"(unknown)"
+  ))
+}
+static void adms_file_fprintf ( char *filename, const char *filedata)
+{
+  FILE *ofh = fopen (filename,"w");
+  if (fprintf (ofh, filedata)==EOF)
+    adms_message_fatal(("%s: cannot write file\n", filename))
+  fclose (ofh);
+}
+static FILE* adms_file_open_read_with_path (const char* myfilename, p_slist mypath)
+{
+  p_slist l; for(l=mypath;l;l=l->next)
+  {
+    FILE* myfh=NULL;
+    char* mypathname=strdup((char*)(l->data));
+    adms_k2strconcat(&mypathname,ADMS_PATH_SEPARATOR);
+    adms_k2strconcat(&mypathname,myfilename);
+    if((myfh=fopen(mypathname,"r")))
+      return myfh;
+  }
+  return NULL;
+}
+static void adms_preprocessor_lex_include_file (char* fileName)
+{
+  FILE*myfh;
+  p_preprocessor scanner;
+  char*message=NULL;
+  adms_k2strconcat(&message,pproot()->cr_scanner->filename);
+  adms_k2strconcat(&message,":");
+  adms_strconcat(&message,adms_integertostring(adms_preprocessor_get_line_position (pproot()->cr_scanner, 0)));
+  if(pproot()->cr_filename)
+    free(pproot()->cr_filename);
+  pproot()->cr_filename=strdup(fileName);
+  if(!(myfh=adms_file_open_read_with_path(fileName,(p_slist)(pproot()->includePath))))
+  {
+    if(!strcmp(fileName,"discipline.h")||!strcmp(fileName,"disciplines.h")||!strcmp(fileName,"discipline.vams")||!strcmp(fileName,"disciplines.vams"))
+    {
+      adms_message_warning(("[%s]: standard vams file created (not found in -I path) ... '%s'\n",message,fileName))
+      adms_file_fprintf(fileName,disciplines_vams);
+      myfh=adms_file_open_read(fileName);
+    }
+    else if(!strcmp(fileName,"constant.h")||!strcmp(fileName,"constants.h")||!strcmp(fileName,"constant.vams")||!strcmp(fileName,"constants.vams"))
+    {
+      adms_message_warning(("[%s]: standard vams file created (not found in -I path) ... '%s'\n",message,fileName))
+      adms_file_fprintf(fileName,constants_vams);
+      myfh=adms_file_open_read(fileName);
+    }
+    else
+      adms_message_fatal(("[%s]: failed to open file ... '%s'\n",message,fileName))
+  }
+  scanner=(p_preprocessor)malloc(sizeof(t_preprocessor));
+  adms_message_verbose(("include file '%s'\n",fileName))
+  scanner->buffer=NULL;
+  scanner->fid=NULL;
+  scanner->cur_continuator_position=NULL;
+  pproot()->cr_scanner->buffer = (p_adms)YY_CURRENT_BUFFER;
+  adms_slist_push(&pproot()->Scanner,(void*)pproot()->cr_scanner );
+  yyin = myfh;
+  scanner->fid = yyin;
+  scanner->cur_line_position = 1;
+  scanner->cur_char_position = 1;
+  scanner->filename=strdup(pproot()->cr_filename);
+  scanner->buffer = (void*) yy_create_buffer(yyin,YY_BUF_SIZE );
+  pproot()->cr_scanner = scanner;
+  yy_switch_to_buffer((YY_BUFFER_STATE)scanner->buffer );
+  preprocessorlval.mystr = NULL;
+  adms_k2strconcat(&preprocessorlval.mystr,"# 1 \"");
+  adms_k2strconcat(&preprocessorlval.mystr,pproot()->cr_scanner->filename);
+  adms_k2strconcat(&preprocessorlval.mystr,"\"\n");
+  BEGIN( INITIAL );
+  free(message);
+}
+
+static char *adms_preprocessor_lex_skipp_text ()
+{
+  char *c;
+  int nbline=0;
+  c = yytext;
+  while (*c)
+  {
+    if(*c=='\n')
+    {
+      pproot()->cr_scanner->cur_char_position = 1;
+      pproot()->cr_scanner->cur_line_position++;
+      nbline++;
+    }
+    else
+      pproot()->cr_scanner->cur_char_position++;
+    c++;
+  }
+  if(nbline)
+  {
+    char*str=(char*)malloc(sizeof(char)*(nbline+1));
+    memset(str,'\n',nbline);
+    str[nbline] = '\0';
+    return str;
+  }
+  else
+    return strdup("");
+}
+
+%}
+
+whitespace	 [ \t\v\f]*
+Whitespace	 [ \t\v\f]+
+newline		 \r?\n
+ident		 $?[A-Za-z_][A-Za-z0-9_]*
+notident	 [^`a-zA-Z_\r\n]+
+notpragma	 [^#`a-zA-Z_\r\n]+
+xpath	         \"[^\"]*\"
+ipath	         \<[^>]+\>
+continuator	 \\\r?\n
+anystring  \"[^\"]*\"
+b10_uint   [1-9][0-9]*
+ 
+comment          \/\*[^\*]*\*+([^\*\/][^\*]*\*+)*\/
+comment_oneline  \/\/[^\r\n]*
+
+
+%x PRAGMA_PATH
+%x PRAGMA_COMMENT
+%x PRAGMA_NAME
+%x PRAGMA_ERROR
+%x PRAGMA_DEFINE_START
+%x PRAGMA_DEFINE_IGNORE
+%x PRAGMA_DEFINE_NOARG
+%x PRAGMA_DEFINE_WITHARG
+%x PRAGMA_DEFINE_TEXT_START
+%x PRAGMA_DEFINE_TEXT
+%x PRAGMA_DEFINE_WITHARG_COMMA
+%x PRAGMA_DEFINE_WITHARG_ARGS
+%x PRAGMA_SUBSTITUTOR_START
+%x PRAGMA_SUBSTITUTOR_ARG
+%x PRAGMA_SUBSTITUTOR_PAIR
+%x PRAGMA_SUBSTITUTOR_ARGITEM
+%x PRAGMA_SUBSTITUTOR_ARGCOMMA
+%x PRAGMA_SUBSTITUTOR_ARGEND
+
+%%
+
+\"[^"]*\"  {
+  preprocessorlval.mystr = strdup( yytext );
+  tkreturn(TK_STRING)
+}
+
+{continuator} {
+  p_continuator continuator;
+
+  continuator=(p_continuator)malloc(sizeof(t_continuator));
+  continuator->char_position = yyleng-1;
+  continuator->line_position = pproot()->cr_scanner->cur_line_position;
+
+  pproot()->cr_scanner->cur_line_position += 1;
+  pproot()->cr_scanner->cur_char_position = 1;
+  adms_slist_push(&(pproot()->cr_scanner->cur_continuator_position),(p_adms)continuator);
+
+  tkreturn(TK_NOPRAGMA_CONTINUATOR)
+}
+
+ /* ignored sequences */
+
+^#{Whitespace}{b10_uint}{whitespace}{anystring}{Whitespace}{newline} {
+}
+
+\r\n {
+  preprocessorlval.mystr=strdup(yytext);
+  return(TK_EOL);
+}
+\n {
+  preprocessorlval.mystr=strdup(yytext);
+  return(TK_EOL);
+}
+ 
+{Whitespace} {
+  pproot()->cr_scanner->cur_char_position+=yyleng; 
+  preprocessorlval.mystr=strdup(yytext);
+  return(TK_SPACE );
+}
+
+{comment} {
+  preprocessorlval.mystr=adms_preprocessor_lex_skipp_text (); return(TK_COMMENT);
+}
+
+{comment_oneline} {
+  preprocessorlval.mystr=adms_preprocessor_lex_skipp_text (); return(TK_COMMENT);
+}
+
+ /* pragma */
+
+^{whitespace}[`]define {
+  pproot()->cr_scanner->cur_char_position+=yyleng; 
+  if ( DONT_SKIPP )
+    {
+      BEGIN( PRAGMA_DEFINE_START );
+      tkreturn(TK_DEFINE)
+    }
+  else
+      BEGIN( PRAGMA_DEFINE_IGNORE );
+}
+<PRAGMA_DEFINE_IGNORE>.* {
+  pproot()->cr_scanner->cur_char_position+=yyleng; 
+  BEGIN( INITIAL );
+}
+<PRAGMA_DEFINE_IGNORE>{newline} {
+  pproot()->cr_scanner->cur_message=strdup("- `define: unexpected end-of-line");
+  yyflex_unput
+  BEGIN( INITIAL );
+}
+
+^{whitespace}[`]undef {
+  if ( DONT_SKIPP )
+    {
+      BEGIN( PRAGMA_NAME );
+      tkreturn(TK_UNDEF)
+    }
+  else
+      BEGIN( PRAGMA_DEFINE_IGNORE );
+}
+^{whitespace}[`]ifdef {
+  preprocessorlval.mystr=strdup("");;
+  BEGIN( PRAGMA_NAME );
+  tkreturn(TK_IFDEF)
+}
+^{whitespace}[`]ifndef {
+  preprocessorlval.mystr=strdup("");;
+  BEGIN( PRAGMA_NAME );
+  tkreturn(TK_IFNDEF)
+}
+^{whitespace}[`]else {
+  char* string=NULL;
+  adms_k2strconcat(&string,"# ");
+  adms_strconcat(&string,adms_integertostring(pproot()->cr_scanner->cur_line_position+1));
+  adms_k2strconcat(&string," \"");
+  adms_k2strconcat(&string,pproot()->cr_scanner->filename);
+  adms_k2strconcat(&string,"\"");
+  preprocessorlval.mystr=string;
+  BEGIN( PRAGMA_COMMENT );
+  tkreturn(TK_ELSE)
+}
+^{whitespace}[`]endif {
+  char* string=NULL;
+  adms_k2strconcat(&string,"# ");
+  adms_strconcat(&string,adms_integertostring(pproot()->cr_scanner->cur_line_position+1));
+  adms_k2strconcat(&string," \"");
+  adms_k2strconcat(&string,pproot()->cr_scanner->filename);
+  adms_k2strconcat(&string,"\"");
+  preprocessorlval.mystr=string;
+  BEGIN( PRAGMA_COMMENT );
+  tkreturn(TK_ENDIF)
+}
+^{whitespace}[`]include {
+  if(DONT_SKIPP)
+    BEGIN(PRAGMA_PATH);
+  else
+    BEGIN(PRAGMA_DEFINE_IGNORE);
+}
+
+<PRAGMA_DEFINE_START>{ident}/{newline} {
+  BEGIN( PRAGMA_DEFINE_NOARG );
+  preprocessorlval.mystr=strdup(yytext );
+  tkreturn(TK_PRAGMA_NAME)
+}
+<PRAGMA_DEFINE_START>{ident}/{Whitespace} {
+  BEGIN( PRAGMA_DEFINE_NOARG );
+  preprocessorlval.mystr=strdup(yytext );
+  tkreturn(TK_PRAGMA_NAME)
+}
+<PRAGMA_DEFINE_START>{ident}/\( {
+  BEGIN( PRAGMA_DEFINE_WITHARG );
+  preprocessorlval.mystr=strdup(yytext );
+  tkreturn(TK_PRAGMA_NAME)
+}
+<PRAGMA_DEFINE_START>{Whitespace} {
+  pproot()->cr_scanner->cur_char_position+=yyleng; 
+}
+<PRAGMA_DEFINE_START>{newline} {
+  pproot()->cr_scanner->cur_message=strdup("- pragma name: unexpected end-of-line");
+  yyflex_unput
+  BEGIN( PRAGMA_ERROR );
+}
+<PRAGMA_DEFINE_START>. {
+  char* string=NULL;
+  adms_k2strconcat(&string,"at character ");
+  adms_k2strconcat(&string,yytext);
+  adms_k2strconcat(&string," - identifier was expected");
+  pproot()->cr_scanner->cur_message=string;
+  yyflex_unput
+  BEGIN( PRAGMA_ERROR );
+}
+
+<PRAGMA_DEFINE_NOARG>{Whitespace} {
+  pproot()->cr_scanner->cur_char_position+=yyleng; 
+}
+<PRAGMA_DEFINE_NOARG>{newline} {
+  pproot()->cr_scanner->cur_message=strdup("- `define: unexpected end-of-line)");
+  yyflex_unput
+  BEGIN( INITIAL );
+  tkreturn(TK_DEFINE_END)
+}
+<PRAGMA_DEFINE_NOARG>{continuator} {
+  p_continuator continuator;
+
+  continuator=(p_continuator)malloc(sizeof(t_continuator));
+  continuator->char_position = yyleng-1;
+  continuator->line_position = pproot()->cr_scanner->cur_line_position;
+
+  pproot()->cr_scanner->cur_line_position += 1;
+  pproot()->cr_scanner->cur_char_position = 1;
+  adms_slist_push(&(pproot()->cr_scanner->cur_continuator_position),(p_adms)continuator);
+
+  tkreturn(TK_CONTINUATOR)
+}
+<PRAGMA_DEFINE_NOARG>. {
+  char* string=NULL;
+  adms_k2strconcat(&string,"at character ");
+  adms_k2strconcat(&string,yytext);
+  adms_k2strconcat(&string," - whitespace was expected");
+  pproot()->cr_scanner->cur_message=string;
+  yyflex_unput
+  BEGIN( PRAGMA_DEFINE_TEXT_START );
+}
+
+<PRAGMA_DEFINE_WITHARG>\({whitespace}\) {
+  pproot()->cr_scanner->cur_char_position+=yyleng; 
+  BEGIN( PRAGMA_DEFINE_TEXT_START );
+  tkreturn(TK_ARG_NULL)
+}
+<PRAGMA_DEFINE_WITHARG>\([^)]+{newline} {
+  char* string=NULL;
+  adms_k2strconcat(&string,"at character ");
+  adms_k2strconcat(&string,yytext);
+  adms_k2strconcat(&string," - `define has no closing paren");
+  pproot()->cr_scanner->cur_message=string;
+  yyflex_unput
+  BEGIN( PRAGMA_ERROR );
+}
+<PRAGMA_DEFINE_WITHARG>\({whitespace} {
+  pproot()->cr_scanner->cur_char_position+=yyleng; 
+  BEGIN( PRAGMA_DEFINE_WITHARG_ARGS );
+}
+
+<PRAGMA_DEFINE_WITHARG_ARGS>{ident} {
+  BEGIN( PRAGMA_DEFINE_WITHARG_COMMA );
+  preprocessorlval.mystr=strdup(yytext );
+  tkreturn(TK_ARG)
+}
+<PRAGMA_DEFINE_WITHARG_ARGS>. {
+  char* string=NULL;
+  adms_k2strconcat(&string,"at character ");
+  adms_k2strconcat(&string,yytext);
+  adms_k2strconcat(&string," - `define has unexpected syntax");
+  pproot()->cr_scanner->cur_message=string;
+  yyflex_unput
+  BEGIN( PRAGMA_ERROR );
+}
+
+<PRAGMA_DEFINE_WITHARG_COMMA>, {
+  pproot()->cr_scanner->cur_char_position+=yyleng; 
+}
+<PRAGMA_DEFINE_WITHARG_COMMA>\) {
+  pproot()->cr_scanner->cur_char_position+=yyleng; 
+  BEGIN( PRAGMA_DEFINE_TEXT_START );
+}
+<PRAGMA_DEFINE_WITHARG_COMMA>{Whitespace} {
+  pproot()->cr_scanner->cur_char_position+=yyleng; 
+}
+<PRAGMA_DEFINE_WITHARG_COMMA>. {
+  yyflex_unput
+  BEGIN( PRAGMA_DEFINE_WITHARG_ARGS );
+}
+
+<PRAGMA_DEFINE_TEXT_START>{Whitespace} {
+  pproot()->cr_scanner->cur_char_position+=yyleng; 
+  BEGIN( PRAGMA_DEFINE_TEXT );
+}
+<PRAGMA_DEFINE_TEXT_START>{continuator} {
+  p_continuator continuator;
+
+  continuator=(p_continuator)malloc(sizeof(t_continuator));
+  continuator->char_position = yyleng-1;
+  continuator->line_position = pproot()->cr_scanner->cur_line_position;
+
+  pproot()->cr_scanner->cur_line_position += 1;
+  pproot()->cr_scanner->cur_char_position = 1;
+  adms_slist_push(&(pproot()->cr_scanner->cur_continuator_position),(p_adms)continuator);
+
+  tkreturn(TK_CONTINUATOR)
+}
+<PRAGMA_DEFINE_TEXT_START>{newline} {
+  yyflex_unput
+  BEGIN( INITIAL );
+  tkreturn(TK_DEFINE_END)
+}
+<PRAGMA_DEFINE_TEXT_START>. {
+  yyflex_unput
+  BEGIN( PRAGMA_DEFINE_TEXT );
+}
+
+<PRAGMA_DEFINE_TEXT>{Whitespace}/{newline} {
+  pproot()->cr_scanner->cur_char_position+=yyleng; 
+}
+
+<PRAGMA_DEFINE_TEXT>`{ident}/[ ]*\( {
+
+  preprocessorlval.mystr=strdup(adms_preprocessor_lex_remove_leading_quote( yytext ));
+  if(DONT_SKIPP)
+  {
+    p_preprocessor_pragma_define Define;
+    if ((Define = adms_preprocessor_pragma_define_exists (preprocessorlval.mystr)))
+    {
+      if(adms_preprocessor_pragma_define_has_noarg(Define))
+        tkreturn(TK_SUBSTITUTOR_NOARG)
+      else if(adms_preprocessor_pragma_define_has_nullarg(Define))
+        tkreturn(TK_SUBSTITUTOR_NULLARG)
+      else
+        tkreturn(TK_SUBSTITUTOR_WITHARG)
+    }
+    else
+    {
+       preprocessorlval.mystr = strdup(yytext);
+       pproot()->cr_scanner->cur_message=strdup(yytext);
+       tkreturn(TK_ERROR_PRAGMA_NOT_FOUND)
+    }
+  }
+  else
+    tkreturn(TK_IDENT)
+}
+
+<PRAGMA_DEFINE_TEXT>`{ident} {
+
+  preprocessorlval.mystr=strdup(adms_preprocessor_lex_remove_leading_quote( yytext ));
+  if(DONT_SKIPP)
+  {
+    p_preprocessor_pragma_define Define;
+    if((Define=adms_preprocessor_pragma_define_exists (preprocessorlval.mystr)))
+    {
+      if(adms_preprocessor_pragma_define_has_noarg(Define))
+        tkreturn(TK_SUBSTITUTOR_NOARG)
+      else if(adms_preprocessor_pragma_define_has_nullarg(Define))
+        tkreturn(TK_SUBSTITUTOR_NULLARG_ALONE)
+      else
+        tkreturn(TK_SUBSTITUTOR_WITHARG_ALONE)
+    }
+    else
+    {
+       preprocessorlval.mystr=strdup(yytext);
+       pproot()->cr_scanner->cur_message=strdup(yytext);
+       tkreturn(TK_ERROR_PRAGMA_NOT_FOUND)
+    }
+  }
+  else
+    tkreturn(TK_IDENT)
+}
+
+<PRAGMA_DEFINE_TEXT>{ident} {
+
+  preprocessorlval.mystr = strdup(yytext);
+  tkreturn(TK_IDENT)
+}
+
+<PRAGMA_DEFINE_TEXT>\(  {
+  preprocessorlval.mystr = strdup(yytext);
+  tkreturn('(')
+}
+
+<PRAGMA_DEFINE_TEXT>\)  {
+  preprocessorlval.mystr = strdup(yytext);
+  tkreturn(')')
+}
+
+<PRAGMA_DEFINE_TEXT>\,  {
+  preprocessorlval.mystr = strdup(yytext);
+  tkreturn(',')
+}
+
+<PRAGMA_DEFINE_TEXT>{Whitespace} {
+  pproot()->cr_scanner->cur_char_position+=yyleng; 
+  preprocessorlval.mystr=strdup(yytext);
+  return(TK_SPACE );
+}
+
+<PRAGMA_DEFINE_TEXT>{comment_oneline} {
+  preprocessorlval.mystr=adms_preprocessor_lex_skipp_text (); return(TK_COMMENT);
+}
+
+<PRAGMA_DEFINE_TEXT>{comment} {
+  preprocessorlval.mystr=adms_preprocessor_lex_skipp_text (); return(TK_COMMENT);
+}
+
+<PRAGMA_DEFINE_TEXT>.  {
+  preprocessorlval.mystr = strdup(yytext);
+  tkreturn(TK_NOT_IDENT)
+}
+
+<PRAGMA_DEFINE_TEXT>{continuator} {
+  p_continuator continuator;
+
+  continuator=(p_continuator)malloc(sizeof(t_continuator));
+  continuator->char_position = yyleng-1;
+  continuator->line_position = pproot()->cr_scanner->cur_line_position;
+
+  pproot()->cr_scanner->cur_line_position += 1;
+  pproot()->cr_scanner->cur_char_position = 1;
+  adms_slist_push(&(pproot()->cr_scanner->cur_continuator_position),(p_adms)continuator);
+
+  tkreturn(TK_CONTINUATOR)
+}
+<PRAGMA_DEFINE_TEXT>{newline} {
+  yyflex_unput
+  BEGIN( INITIAL );
+  tkreturn(TK_DEFINE_END)
+}
+
+<PRAGMA_NAME>{ident} {
+  BEGIN( PRAGMA_COMMENT );
+  preprocessorlval.mystr=strdup(yytext );
+  tkreturn(TK_PRAGMA_NAME)
+}
+<PRAGMA_NAME>{Whitespace} {
+  pproot()->cr_scanner->cur_char_position+=yyleng; 
+}
+<PRAGMA_NAME>{newline} {
+  pproot()->cr_scanner->cur_message=strdup("- unexpected end-of-line");
+  yyflex_unput
+  BEGIN( PRAGMA_ERROR );
+}
+<PRAGMA_NAME>. {
+  char* string=NULL;
+  adms_k2strconcat(&string,"at character ");
+  adms_k2strconcat(&string,yytext);
+  adms_k2strconcat(&string," - pragma name was expected");
+  pproot()->cr_scanner->cur_message=string;
+  yyflex_unput
+  BEGIN( PRAGMA_ERROR );
+}
+<PRAGMA_NAME>{continuator} {
+  p_continuator continuator;
+
+  continuator=(p_continuator)malloc(sizeof(t_continuator));
+  continuator->char_position = yyleng-1;
+  continuator->line_position = pproot()->cr_scanner->cur_line_position;
+
+  pproot()->cr_scanner->cur_line_position += 1;
+  pproot()->cr_scanner->cur_char_position = 1;
+  adms_slist_push(&(pproot()->cr_scanner->cur_continuator_position),(p_adms)continuator);
+
+  tkreturn(TK_CONTINUATOR)
+}
+
+<PRAGMA_PATH>{Whitespace} {
+  pproot()->cr_scanner->cur_char_position+=yyleng; 
+}
+<PRAGMA_PATH>{xpath} {
+  char* fileName;
+  BEGIN( INITIAL );
+  fileName = adms_preprocessor_lex_get_path(yytext,'"','"');
+  adms_preprocessor_lex_include_file(fileName);
+  tkreturn(TK_INCLUDE)
+}
+<PRAGMA_PATH>{ipath} {
+  char* fileName;
+  BEGIN( INITIAL );
+  fileName = adms_preprocessor_lex_get_path(yytext,'<','>');
+  adms_message_info(("%s: implicit path handled as explicit path!",fileName))
+  adms_preprocessor_lex_include_file(fileName);
+  tkreturn(TK_INCLUDE)
+}
+<PRAGMA_PATH>. {
+  char* string=NULL;
+  adms_k2strconcat(&string,"at character ");
+  adms_k2strconcat(&string,yytext);
+  adms_k2strconcat(&string," - bad path");
+  pproot()->cr_scanner->cur_message=string;
+  yyflex_unput
+  BEGIN( PRAGMA_ERROR );
+}
+
+<PRAGMA_COMMENT>{Whitespace} {
+  pproot()->cr_scanner->cur_char_position+=yyleng; 
+}
+<PRAGMA_COMMENT>{comment_oneline} {
+  preprocessorlval.mystr=adms_preprocessor_lex_skipp_text (); return(TK_COMMENT);
+}
+<PRAGMA_COMMENT>{comment} {
+  preprocessorlval.mystr=adms_preprocessor_lex_skipp_text (); return(TK_COMMENT);
+}
+<PRAGMA_COMMENT>{continuator} {
+  p_continuator continuator;
+
+  continuator=(p_continuator)malloc(sizeof(t_continuator));
+  continuator->char_position = yyleng-1;
+  continuator->line_position = pproot()->cr_scanner->cur_line_position;
+
+  pproot()->cr_scanner->cur_line_position += 1;
+  pproot()->cr_scanner->cur_char_position = 1;
+  adms_slist_push(&(pproot()->cr_scanner->cur_continuator_position),(p_adms)continuator);
+
+  tkreturn(TK_CONTINUATOR)
+
+}
+<PRAGMA_COMMENT>{newline} {
+  yyflex_unput
+  BEGIN( INITIAL );
+}
+<PRAGMA_COMMENT>. {
+  char* string=NULL;
+  adms_k2strconcat(&string,"at character ");
+  adms_k2strconcat(&string,yytext);
+  adms_k2strconcat(&string," - unknown error");
+  pproot()->cr_scanner->cur_message=string;
+  yyflex_unput
+  BEGIN( PRAGMA_ERROR );
+}
+
+<PRAGMA_ERROR>.*{newline} {
+  char* string=NULL;
+  adms_k2strconcat(&string,"at character ");
+  adms_k2strconcat(&string,yytext);
+  adms_k2strconcat(&string," - unknown error");
+  pproot()->cr_scanner->cur_message=string;
+  tkreturn(TK_ERROR_PRAGMA_DEFINITION)
+}
+
+`{ident}/[ ]*\( {
+
+  p_preprocessor_pragma_define Define;
+  preprocessorlval.mystr = 
+    strdup(adms_preprocessor_lex_remove_leading_quote( yytext));
+  if(DONT_SKIPP)
+  {
+    if ((Define = adms_preprocessor_pragma_define_exists (preprocessorlval.mystr)))
+    {
+      if(adms_preprocessor_pragma_define_has_noarg(Define))
+        tkreturn(TK_SUBSTITUTOR_NOARG)
+      else if(adms_preprocessor_pragma_define_has_nullarg(Define))
+        tkreturn(TK_SUBSTITUTOR_NULLARG)
+      else
+        tkreturn(TK_SUBSTITUTOR_WITHARG)
+    }
+    else
+    {
+      preprocessorlval.mystr = strdup(yytext);
+      pproot()->cr_scanner->cur_message=strdup(yytext);
+      tkreturn(TK_ERROR_PRAGMA_NOT_FOUND)
+    }
+  }
+  else
+    tkreturn(TK_IDENT)
+
+}
+
+`{ident} {
+
+  preprocessorlval.mystr = 
+    strdup(adms_preprocessor_lex_remove_leading_quote( yytext ));
+  {
+    p_preprocessor_pragma_define Define;
+    if(DONT_SKIPP)
+    {
+      if((Define=adms_preprocessor_pragma_define_exists (preprocessorlval.mystr)))
+      {
+        if(adms_preprocessor_pragma_define_has_noarg(Define))
+          tkreturn(TK_SUBSTITUTOR_NOARG)
+        else if(adms_preprocessor_pragma_define_has_nullarg(Define))
+          tkreturn(TK_SUBSTITUTOR_NULLARG_ALONE)
+        else
+          tkreturn(TK_SUBSTITUTOR_WITHARG_ALONE)
+      }
+      else
+      {
+         preprocessorlval.mystr = strdup(yytext);
+         pproot()->cr_scanner->cur_message=strdup(yytext);
+         tkreturn(TK_ERROR_PRAGMA_NOT_FOUND)
+      }
+    }
+    else
+      tkreturn(TK_IDENT)
+  }
+
+}
+
+{ident} {
+
+  preprocessorlval.mystr = strdup(yytext);
+  tkreturn(TK_IDENT)
+
+}
+
+\(  {
+  preprocessorlval.mystr = strdup(yytext);
+  return '(';
+}
+
+\)  {
+  preprocessorlval.mystr = strdup(yytext);
+  return ')';
+}
+
+\,  {
+  preprocessorlval.mystr = strdup(yytext);
+  return ',';
+}
+
+.  {
+  preprocessorlval.mystr = strdup(yytext);
+  return TK_NOT_IDENT;
+}
+
+<<EOF>> {
+  char* string=NULL;
+  if( pproot()->Scanner != NULL )
+    {
+      yy_delete_buffer((YY_BUFFER_STATE)(pproot()->cr_scanner->buffer));
+      free(pproot()->cr_scanner );
+      pproot()->cr_scanner = (p_preprocessor) adms_slist_pull(&pproot()->Scanner );
+      yyin = pproot()->cr_scanner->fid;
+      yy_switch_to_buffer((YY_BUFFER_STATE)pproot()->cr_scanner->buffer );
+    }
+  else
+    yyterminate ();
+  adms_k2strconcat(&string,"# ");
+  adms_strconcat(&string,adms_integertostring(pproot()->cr_scanner->cur_line_position+1));
+  adms_k2strconcat(&string," \"");
+  adms_k2strconcat(&string,pproot()->cr_scanner->filename);
+  adms_k2strconcat(&string,"\"");
+  preprocessorlval.mystr=string;
+  tkreturn(TK_EOF)
+}
+
+%%
+int yywrap (void) {return 1;}
+
diff --git a/admsXml/preprocessorMain.c b/admsXml/preprocessorMain.c
new file mode 100644
index 0000000..64ed50b
--- /dev/null
+++ b/admsXml/preprocessorMain.c
@@ -0,0 +1,474 @@
+/*
+ * RCS Info
+ * $Id: preprocessorMain.c 1154 2008-11-04 10:25:43Z r29173 $
+ * 
+ * Log
+ * $Log$
+ * Revision 1.12  2005/06/17 16:44:25  r29173
+ * debug memory allocation with valgrind
+ *
+ * Revision 1.11  2005/06/16 07:12:45  r29173
+ * debug memory allocation with valgrind
+ *
+ * Revision 1.10  2005/05/09 14:38:30  r29173
+ * cleaned-up source directory structure
+ *
+ * Revision 1.9  2005/05/03 09:35:15  r29173
+ * cleaned-up header file dependencies
+ *
+ * Revision 1.8  2005/05/03 07:58:21  r29173
+ * moved admsNS into admsFile
+ *
+ * Revision 1.7  2004/11/19 12:29:56  r29173
+ * renamed _C into CAT
+ * saved argc, argv into admsmain element
+ * added adms:setenv transform
+ *
+ * Revision 1.6  2004/11/01 09:37:37  r29173
+ * changed messaging macros (avoid useless computation of arguments)
+ * improved the coding of transforms in xmlProgram.c
+ *
+ * Revision 1.5  2004/10/20 15:33:52  r29173
+ * tons of changes
+ * 1- introduced element whileloop (marat)
+ * 2- reshaped the handling of the messaging system
+ * 3- fixed bug in admsCheck/Makefile.am
+ * 4- started implementation of implicit adms transforms
+ *
+ * Revision 1.4  2004/08/19 16:45:47  r29173
+ * cleaned-up i/o file handling
+ *
+ * Revision 1.3  2004/08/03 12:33:55  r29173
+ * import adms-1.21.0 from local CVS
+ *
+ * Revision 1.3  2004/07/09 14:39:11  r29173
+ * removed data structure ns
+ *
+ * Revision 1.2  2004/05/26 13:02:48  r29173
+ * added default values to all enumerations
+ *
+ * Revision 1.1.1.1  2004/05/21 12:20:01  r29173
+ * recreated cvs data structure (crashed after revision 1.13.0!)
+ *
+ * Revision 1.14  2004/03/08 13:58:06  r29173
+ * all code lower-cased
+ *
+ * Revision 1.13  2004/02/13 14:28:39  r29173
+ * started implementing code builder in yacc parser
+ *
+ * Revision 1.12  2004/02/06 09:06:03  r29173
+ * started implementing code builder in yacc parser
+ *
+ * Revision 1.11  2004/01/21 14:35:47  r29173
+ * started implementing code builder in yacc parser
+ *
+ * Revision 1.10  2004/01/09 16:23:47  r29173
+ * cleaned-up use of #include
+ *
+ * Revision 1.9  2004/01/08 10:28:50  r29173
+ * moved code to always.[ch]
+ *
+ * Revision 1.8  2004/01/06 12:35:29  r29173
+ * fixed the use of globals: input file and output file
+ *
+ * Revision 1.7  2003/12/12 15:08:35  r29173
+ * changed construct (a==NULL) to (!a)
+ *
+ * Revision 1.6  2003/12/12 14:43:38  r29173
+ * changed construct (a==NULL) to (!a)
+ *
+ * Revision 1.5  2003/12/12 14:33:26  r29173
+ * changed construct (a!=NULL) into (a) or (a)?1:0
+ *
+ * Revision 1.4  2003/12/11 20:14:07  r29173
+ * cleaned-up after compilation with CFLAG+=-Wall
+ *
+ * Revision 1.3  2003/12/11 16:01:53  r29173
+ * changed prefix [epst]_adms_ to [epst]_
+ *
+ * Revision 1.2  2003/05/21 14:18:01  r29173
+ * add rcs info
+ *
+ */
+
+#include "admsPreprocessor.h"
+
+#define strdup_before_ptr(s,sptr,offset) adms_knclone(s+offset,sptr-s-offset)
+#define strdup_after_ptr(s,sptr,offset)  adms_knclone(sptr+offset,strlen(s)-(sptr-s)-offset)
+
+extern int adms_preprocessor_leng;
+static p_preprocessor_main adms_preprocessor_main;
+void adms_preprocessor_valueto_main (p_preprocessor_main myadms_preprocessor_main)
+{
+  adms_preprocessor_main=myadms_preprocessor_main;
+}
+p_preprocessor_main pproot ()
+{
+  return adms_preprocessor_main;
+}
+void adms_preprocessor_get_define_from_argv (const int argc,const char** argv)
+{
+  int i;
+  for (i=1; i<argc; i++)
+  {
+    char *value=strdup(argv[i]);
+    char *defineName = NULL;
+    char *defineValue = NULL;
+    if ( (strlen(value)>2) && ((value[0])=='-') && ((value[1])=='D') )
+    {
+      char *equal = NULL;
+      char *arg = strdup_after_ptr (value,value+2,0);
+      char *argPtr = arg;
+      while (*argPtr && (!equal))
+      {
+        if (*argPtr=='=')
+          equal= argPtr;
+        argPtr++;
+      }
+      if (*arg == '=')
+        adms_message_verbose(("at command line argument, bad syntax ... '%s'\n",value))
+      else if (equal == last_char (arg))
+        defineName = strdup_before_ptr (arg,equal,0);
+      else if (!equal)
+        defineName = strdup(arg);
+      else
+      {
+        defineName = strdup_before_ptr (arg,equal,0);
+        defineValue = strdup_after_ptr (arg,equal,1);
+      }
+      if (defineName)
+      {
+        if (defineValue)
+          adms_preprocessor_define_add_default_with_text (defineName, defineValue);
+        else
+          adms_preprocessor_define_add_default (defineName);
+      }
+      free(arg);
+    }
+    if (defineName)
+      adms_message_verbose(("set pragma ... '%s'\n",defineName))
+    free(value);
+  }
+}
+int adms_preprocessor_add_message ( char *message)
+{
+  adms_message_info((message))
+  return 0;
+}
+p_preprocessor_text adms_preprocessor_new_text_as_string(char *name)
+{
+  p_preprocessor_text text=(p_preprocessor_text)malloc(sizeof(t_preprocessor_text));
+  text->_str = name;
+  text->_isarg = 0;
+  return text;
+}
+static int adms_preprocessor_pragma_substitute_text (p_preprocessor_substitutor substitutor)
+{
+  if (adms_preprocessor_pragma_define_is_def (substitutor->_pragma))
+  {
+    p_preprocessor_text space = adms_preprocessor_new_text_as_string(" ");
+    p_slist l; for(l=substitutor->_pragma->text;l;l=l->next)
+    {
+      p_preprocessor_text text=(p_preprocessor_text)(l->data);
+      p_slist arg=adms_slist_copy(substitutor->_pragma->arg);
+      adms_slist_inreverse(&arg);
+      substitutor->_cr_text=text;
+      substitutor->_cr_newarg=adms_slist_copy(substitutor->_newarg);
+      adms_slist_inreverse(&substitutor->_cr_newarg);
+      if (text->_isarg==1)
+      {
+        p_slist ll; for(ll=arg;ll;ll=ll->next)
+        {
+          char* arg=(char*)ll->data;
+          if(!strcmp(substitutor->_cr_text->_str,arg))
+          {
+            if(substitutor->_cr_newarg)
+            {
+              p_slist newarg=adms_slist_copy((p_slist)(substitutor->_cr_newarg->data));
+              adms_slist_inreverse(&newarg);
+              adms_slist_concat(&newarg,substitutor->_newtext);
+              substitutor->_newtext=newarg;
+            }
+            else
+              adms_slist_push(&(substitutor->_newtext),(p_adms)substitutor->_cr_text);
+          }
+          substitutor->_cr_newarg = substitutor->_cr_newarg->next;
+        }
+      }
+      else
+      {
+        p_preprocessor_text newtext = adms_preprocessor_new_text_as_string(text->_str);
+        newtext->_isarg = -1;
+        adms_slist_push(&(substitutor->_newtext),(p_adms)newtext);
+      }
+      adms_slist_free(arg);
+      adms_slist_free(substitutor->_cr_newarg);
+    }
+    adms_slist_push(&(substitutor->_newtext),(p_adms)space);
+  }
+  else
+  {
+    p_preprocessor_text name = adms_preprocessor_new_text_as_string(substitutor->_pragma->name);
+    adms_slist_push(&(substitutor->_newtext),(p_adms)name);
+  }
+  return 0;
+}
+p_slist adms_preprocessor_new_text_as_substitutor (p_preprocessor_pragma_define define, p_slist newarg)
+{
+  p_preprocessor_substitutor substitutor=(p_preprocessor_substitutor)malloc(sizeof(t_preprocessor_substitutor));
+  substitutor->_newtext=NULL;
+  substitutor->_cr_text=NULL;
+  substitutor->_cr_newarg=NULL;
+  substitutor->_pragma = define;
+  substitutor->_newarg = newarg;
+  adms_preprocessor_pragma_substitute_text(substitutor);
+  adms_slist_inreverse(&substitutor->_newtext);
+  return substitutor->_newtext;
+}
+int adms_preprocessor_pragma_define_has_arg (p_preprocessor_pragma_define pragma)
+{
+  return (pragma->hasArg==1);
+}
+int adms_preprocessor_pragma_define_is_def (p_preprocessor_pragma_define pragma)
+{
+  return (pragma->isDefined==1);
+}
+int adms_preprocessor_identifier_is_def (char * name)
+{
+  int test;
+  p_preprocessor_pragma_define pragma;
+  if(
+      (pragma = adms_preprocessor_pragma_define_exists (name))
+      &&
+      adms_preprocessor_pragma_define_is_def(pragma)
+    )
+    test = ( pragma->isDefined == 1 );
+  else
+    test = 0;
+  return test;
+}
+p_preprocessor_pragma_define adms_preprocessor_identifer_set_undef (char * name)
+{
+  p_preprocessor_pragma_define pragma = adms_preprocessor_pragma_define_exists (name);
+  if(!pragma)
+    pragma = adms_preprocessor_define_add (name);
+  pragma->isDefined = 0;
+  return pragma;
+}
+int adms_preprocessor_identifier_is_ndef (char * name)
+{
+  return (!adms_preprocessor_identifier_is_def (name) );
+}
+int adms_preprocessor_pragma_define_is_ndef (p_preprocessor_pragma_define pragma)
+{
+  return (!adms_preprocessor_pragma_define_is_def(pragma));
+}
+int adms_preprocessor_pragma_define_has_noarg (p_preprocessor_pragma_define pragma)
+{
+  return (!adms_preprocessor_pragma_define_has_arg(pragma));
+}
+int adms_preprocessor_pragma_define_has_nullarg (p_preprocessor_pragma_define pragma)
+{
+  return adms_preprocessor_pragma_define_has_arg(pragma) && (adms_slist_length( pragma->arg )==0);
+}
+int adms_preprocessor_pragma_define_has_text (p_preprocessor_pragma_define pragma)
+{
+  return ((pragma->text)?1:0);
+}
+int adms_preprocessor_pragma_define_has_notext (p_preprocessor_pragma_define pragma)
+{
+  return (!adms_preprocessor_pragma_define_has_text(pragma));
+}
+int adms_preprocessor_pragma_define_has_noarg_and_notext (p_preprocessor_pragma_define pragma)
+{
+  int test;
+  test = adms_preprocessor_pragma_define_has_noarg(pragma)
+         &&
+         adms_preprocessor_pragma_define_has_notext(pragma)
+         ;
+  return test;
+}
+int adms_preprocessor_pragma_define_has_noarg_and_text (p_preprocessor_pragma_define pragma)
+{
+  int test;
+  test = adms_preprocessor_pragma_define_has_noarg(pragma)
+         &&
+         adms_preprocessor_pragma_define_has_text(pragma)
+         ;
+  return test;
+}
+int adms_preprocessor_pragma_define_has_nullarg_and_notext (p_preprocessor_pragma_define pragma)
+{
+  int test;
+  test = adms_preprocessor_pragma_define_has_nullarg(pragma)
+         &&
+         adms_preprocessor_pragma_define_has_notext(pragma)
+         ;
+  return test;
+}
+int adms_preprocessor_pragma_define_has_nullarg_and_text (p_preprocessor_pragma_define pragma)
+{
+  int test;
+  test = adms_preprocessor_pragma_define_has_nullarg(pragma)
+         &&
+         adms_preprocessor_pragma_define_has_text(pragma)
+         ;
+  return test;
+}
+int adms_preprocessor_pragma_define_has_arg_and_notext (p_preprocessor_pragma_define pragma)
+{
+  int test;
+  test = adms_preprocessor_pragma_define_has_arg(pragma)
+         &&
+         adms_preprocessor_pragma_define_has_notext(pragma)
+         ;
+  return test;
+}
+int adms_preprocessor_pragma_define_has_arg_and_text (p_preprocessor_pragma_define pragma)
+{
+  int test;
+  test = adms_preprocessor_pragma_define_has_arg(pragma)
+         &&
+         adms_preprocessor_pragma_define_has_text(pragma)
+         ;
+  return test;
+}
+static int adms_preprocessor_identifier_is_pragma_cb (p_preprocessor_pragma_define pragma, char * identifier)
+{
+  return (!!strcmp(pragma->name,identifier));
+}
+typedef int (*Binary) (const void* d1,const void* d2);
+static p_slist adms_slist_find_custom (p_slist l,const void* data,Binary func)
+{
+  while(l)
+  {
+    if(!func(l->data,data))
+       return l;
+      l=l->next;
+  }
+  return ((void *)0);
+}
+p_preprocessor_pragma_define adms_preprocessor_pragma_define_exists (char * name)
+{
+  p_slist Data;
+  p_preprocessor_pragma_define pragma;
+  if((Data=adms_slist_find_custom ( pproot()->Defined,name,(Binary)adms_preprocessor_identifier_is_pragma_cb)))
+    pragma=(p_preprocessor_pragma_define) Data->data;
+  else
+    pragma=NULL;
+  return pragma;
+}
+p_preprocessor_pragma_define adms_preprocessor_define_add (char * name)
+{
+  p_preprocessor_pragma_define pragma;
+  if ((pragma = adms_preprocessor_pragma_define_exists(name)))
+  {
+    if( pragma->isDefined == 1 )
+      adms_message_warning(("pragma redefined ... '%s'\n",name))
+    pragma->hasArg = 0;
+    pragma->arg = NULL;
+    pragma->text = NULL;
+  }
+  else
+  {
+    pragma=(p_preprocessor_pragma_define)malloc(sizeof(t_preprocessor_pragma_define));
+    pragma->hasArg = 0;
+    pragma->arg=NULL;
+    pragma->text=NULL;
+    pragma->name = name;
+    adms_slist_push(&(pproot()->Defined),(p_adms)pragma);
+  }
+  pragma->isDefined = 1;
+  return pragma;
+}
+int adms_preprocessor_define_add_default (char * name)
+{
+  p_preprocessor_pragma_define pragma;
+  pragma = adms_preprocessor_define_add (name);
+  adms_message_verbose(("define macro ... '%s'\n",name))
+  assert(adms_preprocessor_pragma_define_has_noarg_and_notext(pragma));
+  return 0;
+}
+int adms_preprocessor_define_add_default_with_text (char * name, char * value)
+{
+  p_slist Text;
+  p_preprocessor_text text;
+  p_preprocessor_pragma_define pragma;
+  text = adms_preprocessor_new_text_as_string(value);
+  Text = adms_slist_new((p_adms)text);
+  pragma = adms_preprocessor_define_add_with_text (name, Text);
+  adms_message_verbose(("define macro with value ... '%s=%s'\n",name, value))
+  assert(adms_preprocessor_pragma_define_has_noarg_and_text(pragma));
+  return 0;
+}
+p_preprocessor_pragma_define adms_preprocessor_undefine_add (char * name)
+{
+  p_preprocessor_pragma_define pragma;
+  pragma = adms_preprocessor_pragma_define_exists(name);
+  if(!pragma)
+    {
+      pragma=(p_preprocessor_pragma_define)malloc(sizeof(t_preprocessor_pragma_define));
+      pragma->arg=NULL;
+      pragma->text=NULL;
+      pragma->name = name;
+      adms_slist_push(&(pproot()->Defined),(p_adms)pragma);
+    }
+  pragma->isDefined = 0;
+  return pragma;
+}
+p_preprocessor_pragma_define adms_preprocessor_define_add_with_arg (char * name, p_slist arg)
+{
+  p_preprocessor_pragma_define pragma;
+  pragma = adms_preprocessor_define_add (name );
+  pragma->hasArg = 1;
+  pragma->arg = arg;
+  pragma->text = NULL;
+  return pragma;
+}
+p_preprocessor_pragma_define adms_preprocessor_define_add_with_text (char * name, p_slist text)
+{
+  p_preprocessor_pragma_define pragma;
+  pragma = adms_preprocessor_define_add (name );
+  pragma->hasArg = 0;
+  pragma->arg = NULL;
+  pragma->text = text;
+  return pragma;
+}
+p_preprocessor_pragma_define adms_preprocessor_define_add_with_arg_and_text (char * name, p_slist arg, p_slist text)
+{
+  p_preprocessor_pragma_define pragma;
+  p_slist l;
+  pragma = adms_preprocessor_define_add_with_arg (name, arg );
+  pragma->text = text;
+  for(l=pragma->text;l;l=l->next)
+  {
+    p_preprocessor_text text=(p_preprocessor_text)(l->data);
+    p_slist ll; for(ll=pragma->arg;ll;ll=ll->next)
+    {
+      if((text->_isarg != -1) && !strcmp(text->_str,(char*)(ll->data)))
+        text->_isarg = 1;
+    }
+  }
+  return pragma;
+}
+static p_continuator adms_preprocessor_get_line_position_cb (p_slist l,int mycharpos)
+{
+  while(l)
+  {
+    p_continuator mycontinuator=(p_continuator)(l->data);
+    if(mycharpos<=mycontinuator->char_position)
+       return mycontinuator;
+    l=l->next;
+  }
+  return NULL;
+}
+int adms_preprocessor_get_line_position (p_preprocessor cr_preprocessor, int char_pos)
+{
+  int line_pos=cr_preprocessor->cur_line_position;
+  p_continuator mycontinuator;
+  p_slist Reversed=adms_slist_reverse(cr_preprocessor->cur_continuator_position);
+  if((mycontinuator=adms_preprocessor_get_line_position_cb(Reversed,char_pos)))
+    line_pos=mycontinuator->line_position;
+  cr_preprocessor->cur_continuator_position = adms_slist_reverse(Reversed );
+  return line_pos;
+}
diff --git a/admsXml/preprocessorYacc.c b/admsXml/preprocessorYacc.c
new file mode 100644
index 0000000..ed2afd9
--- /dev/null
+++ b/admsXml/preprocessorYacc.c
@@ -0,0 +1,2728 @@
+
+/* A Bison parser, made by GNU Bison 2.4.1.  */
+
+/* Skeleton implementation for Bison's Yacc-like parsers in C
+   
+      Copyright (C) 1984, 1989, 1990, 2000, 2001, 2002, 2003, 2004, 2005, 2006
+   Free Software Foundation, Inc.
+   
+   This program is free software: you can redistribute it and/or modify
+   it under the terms of the GNU General Public License as published by
+   the Free Software Foundation, either version 3 of the License, or
+   (at your option) any later version.
+   
+   This program is distributed in the hope that it will be useful,
+   but WITHOUT ANY WARRANTY; without even the implied warranty of
+   MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+   GNU General Public License for more details.
+   
+   You should have received a copy of the GNU General Public License
+   along with this program.  If not, see <http://www.gnu.org/licenses/>.  */
+
+/* As a special exception, you may create a larger work that contains
+   part or all of the Bison parser skeleton and distribute that work
+   under terms of your choice, so long as that work isn't itself a
+   parser generator using the skeleton or a modified version thereof
+   as a parser skeleton.  Alternatively, if you modify or redistribute
+   the parser skeleton itself, you may (at your option) remove this
+   special exception, which will cause the skeleton and the resulting
+   Bison output files to be licensed under the GNU General Public
+   License without this special exception.
+   
+   This special exception was added by the Free Software Foundation in
+   version 2.2 of Bison.  */
+
+/* C LALR(1) parser skeleton written by Richard Stallman, by
+   simplifying the original so-called "semantic" parser.  */
+
+/* All symbols defined below should begin with yy or YY, to avoid
+   infringing on user name space.  This should be done even for local
+   variables, as they might otherwise be expanded by user macros.
+   There are some unavoidable exceptions within include files to
+   define necessary library symbols; they are noted "INFRINGES ON
+   USER NAME SPACE" below.  */
+
+/* Identify Bison output.  */
+#define YYBISON 1
+
+/* Bison version.  */
+#define YYBISON_VERSION "2.4.1"
+
+/* Skeleton name.  */
+#define YYSKELETON_NAME "yacc.c"
+
+/* Pure parsers.  */
+#define YYPURE 0
+
+/* Push parsers.  */
+#define YYPUSH 0
+
+/* Pull parsers.  */
+#define YYPULL 1
+
+/* Using locations.  */
+#define YYLSP_NEEDED 0
+
+/* Substitute the variable and function names.  */
+#define yyparse         preprocessorparse
+#define yylex           preprocessorlex
+#define yyerror         preprocessorerror
+#define yylval          preprocessorlval
+#define yychar          preprocessorchar
+#define yydebug         preprocessordebug
+#define yynerrs         preprocessornerrs
+
+
+/* Copy the first part of user declarations.  */
+
+/* Line 189 of yacc.c  */
+#line 1 "./preprocessorYacc.y"
+
+
+#include "admsPreprocessor.h"
+
+#define YYDEBUG 1
+#define KS(s) adms_k2strconcat(&message,s);
+#define KI(i) adms_k2strconcat(&message,adms_integertostring(i));
+#define K0 KS("[") KS(pproot()->cr_scanner->filename) KS(":") \
+  KI(adms_preprocessor_get_line_position(pproot()->cr_scanner,0)) KS("]: ")
+#define DONT_SKIPP (pproot()->skipp_text->data==INT2ADMS(0))
+
+p_slist continuatorList=NULL;
+p_slist condistrue=NULL;
+
+
+
+/* Line 189 of yacc.c  */
+#line 98 "y.tab.c"
+
+/* Enabling traces.  */
+#ifndef YYDEBUG
+# define YYDEBUG 0
+#endif
+
+/* Enabling verbose error messages.  */
+#ifdef YYERROR_VERBOSE
+# undef YYERROR_VERBOSE
+# define YYERROR_VERBOSE 1
+#else
+# define YYERROR_VERBOSE 0
+#endif
+
+/* Enabling the token table.  */
+#ifndef YYTOKEN_TABLE
+# define YYTOKEN_TABLE 0
+#endif
+
+
+/* Tokens.  */
+#ifndef YYTOKENTYPE
+# define YYTOKENTYPE
+   /* Put the tokens into the symbol table, so that GDB and other debuggers
+      know about them.  */
+   enum yytokentype {
+     TK_PRAGMA_NAME = 258,
+     TK_IDENT = 259,
+     TK_STRING = 260,
+     TK_NOT_IDENT = 261,
+     TK_ARG = 262,
+     TK_ARG_NULL = 263,
+     TK_SUBSTITUTOR_NOARG = 264,
+     TK_SUBSTITUTOR_NULLARG = 265,
+     TK_SUBSTITUTOR_NULLARG_ALONE = 266,
+     TK_SUBSTITUTOR_WITHARG = 267,
+     TK_SUBSTITUTOR_WITHARG_ALONE = 268,
+     TK_CONTINUATOR = 269,
+     TK_NOPRAGMA_CONTINUATOR = 270,
+     TK_EOL = 271,
+     TK_EOF = 272,
+     TK_COMMENT = 273,
+     TK_INCLUDE = 274,
+     TK_SPACE = 275,
+     TK_ERROR_PRAGMA_DEFINITION = 276,
+     TK_ERROR_PRAGMA_NOT_FOUND = 277,
+     TK_ERROR_UNEXPECTED_CHAR = 278,
+     TK_ERROR_FILE_OPEN = 279,
+     TK_DEFINE = 280,
+     TK_DEFINE_END = 281,
+     TK_UNDEF = 282,
+     TK_IFDEF = 283,
+     TK_IFNDEF = 284,
+     TK_ELSE = 285,
+     TK_ENDIF = 286
+   };
+#endif
+
+
+
+#if ! defined YYSTYPE && ! defined YYSTYPE_IS_DECLARED
+typedef union YYSTYPE
+{
+
+/* Line 214 of yacc.c  */
+#line 17 "./preprocessorYacc.y"
+
+  p_slist slist;
+  char* mystr;
+
+
+
+/* Line 214 of yacc.c  */
+#line 172 "y.tab.c"
+} YYSTYPE;
+# define YYSTYPE_IS_TRIVIAL 1
+# define yystype YYSTYPE /* obsolescent; will be withdrawn */
+# define YYSTYPE_IS_DECLARED 1
+#endif
+
+
+/* Copy the second part of user declarations.  */
+
+
+/* Line 264 of yacc.c  */
+#line 184 "y.tab.c"
+
+#ifdef short
+# undef short
+#endif
+
+#ifdef YYTYPE_UINT8
+typedef YYTYPE_UINT8 yytype_uint8;
+#else
+typedef unsigned char yytype_uint8;
+#endif
+
+#ifdef YYTYPE_INT8
+typedef YYTYPE_INT8 yytype_int8;
+#elif (defined __STDC__ || defined __C99__FUNC__ \
+     || defined __cplusplus || defined _MSC_VER)
+typedef signed char yytype_int8;
+#else
+typedef short int yytype_int8;
+#endif
+
+#ifdef YYTYPE_UINT16
+typedef YYTYPE_UINT16 yytype_uint16;
+#else
+typedef unsigned short int yytype_uint16;
+#endif
+
+#ifdef YYTYPE_INT16
+typedef YYTYPE_INT16 yytype_int16;
+#else
+typedef short int yytype_int16;
+#endif
+
+#ifndef YYSIZE_T
+# ifdef __SIZE_TYPE__
+#  define YYSIZE_T __SIZE_TYPE__
+# elif defined size_t
+#  define YYSIZE_T size_t
+# elif ! defined YYSIZE_T && (defined __STDC__ || defined __C99__FUNC__ \
+     || defined __cplusplus || defined _MSC_VER)
+#  include <stddef.h> /* INFRINGES ON USER NAME SPACE */
+#  define YYSIZE_T size_t
+# else
+#  define YYSIZE_T unsigned int
+# endif
+#endif
+
+#define YYSIZE_MAXIMUM ((YYSIZE_T) -1)
+
+#ifndef YY_
+# if YYENABLE_NLS
+#  if ENABLE_NLS
+#   include <libintl.h> /* INFRINGES ON USER NAME SPACE */
+#   define YY_(msgid) dgettext ("bison-runtime", msgid)
+#  endif
+# endif
+# ifndef YY_
+#  define YY_(msgid) msgid
+# endif
+#endif
+
+/* Suppress unused-variable warnings by "using" E.  */
+#if ! defined lint || defined __GNUC__
+# define YYUSE(e) ((void) (e))
+#else
+# define YYUSE(e) /* empty */
+#endif
+
+/* Identity function, used to suppress warnings about constant conditions.  */
+#ifndef lint
+# define YYID(n) (n)
+#else
+#if (defined __STDC__ || defined __C99__FUNC__ \
+     || defined __cplusplus || defined _MSC_VER)
+static int
+YYID (int yyi)
+#else
+static int
+YYID (yyi)
+    int yyi;
+#endif
+{
+  return yyi;
+}
+#endif
+
+#if ! defined yyoverflow || YYERROR_VERBOSE
+
+/* The parser invokes alloca or malloc; define the necessary symbols.  */
+
+# ifdef YYSTACK_USE_ALLOCA
+#  if YYSTACK_USE_ALLOCA
+#   ifdef __GNUC__
+#    define YYSTACK_ALLOC __builtin_alloca
+#   elif defined __BUILTIN_VA_ARG_INCR
+#    include <alloca.h> /* INFRINGES ON USER NAME SPACE */
+#   elif defined _AIX
+#    define YYSTACK_ALLOC __alloca
+#   elif defined _MSC_VER
+#    include <malloc.h> /* INFRINGES ON USER NAME SPACE */
+#    define alloca _alloca
+#   else
+#    define YYSTACK_ALLOC alloca
+#    if ! defined _ALLOCA_H && ! defined _STDLIB_H && (defined __STDC__ || defined __C99__FUNC__ \
+     || defined __cplusplus || defined _MSC_VER)
+#     include <stdlib.h> /* INFRINGES ON USER NAME SPACE */
+#     ifndef _STDLIB_H
+#      define _STDLIB_H 1
+#     endif
+#    endif
+#   endif
+#  endif
+# endif
+
+# ifdef YYSTACK_ALLOC
+   /* Pacify GCC's `empty if-body' warning.  */
+#  define YYSTACK_FREE(Ptr) do { /* empty */; } while (YYID (0))
+#  ifndef YYSTACK_ALLOC_MAXIMUM
+    /* The OS might guarantee only one guard page at the bottom of the stack,
+       and a page size can be as small as 4096 bytes.  So we cannot safely
+       invoke alloca (N) if N exceeds 4096.  Use a slightly smaller number
+       to allow for a few compiler-allocated temporary stack slots.  */
+#   define YYSTACK_ALLOC_MAXIMUM 4032 /* reasonable circa 2006 */
+#  endif
+# else
+#  define YYSTACK_ALLOC YYMALLOC
+#  define YYSTACK_FREE YYFREE
+#  ifndef YYSTACK_ALLOC_MAXIMUM
+#   define YYSTACK_ALLOC_MAXIMUM YYSIZE_MAXIMUM
+#  endif
+#  if (defined __cplusplus && ! defined _STDLIB_H \
+       && ! ((defined YYMALLOC || defined malloc) \
+	     && (defined YYFREE || defined free)))
+#   include <stdlib.h> /* INFRINGES ON USER NAME SPACE */
+#   ifndef _STDLIB_H
+#    define _STDLIB_H 1
+#   endif
+#  endif
+#  ifndef YYMALLOC
+#   define YYMALLOC malloc
+#   if ! defined malloc && ! defined _STDLIB_H && (defined __STDC__ || defined __C99__FUNC__ \
+     || defined __cplusplus || defined _MSC_VER)
+void *malloc (YYSIZE_T); /* INFRINGES ON USER NAME SPACE */
+#   endif
+#  endif
+#  ifndef YYFREE
+#   define YYFREE free
+#   if ! defined free && ! defined _STDLIB_H && (defined __STDC__ || defined __C99__FUNC__ \
+     || defined __cplusplus || defined _MSC_VER)
+void free (void *); /* INFRINGES ON USER NAME SPACE */
+#   endif
+#  endif
+# endif
+#endif /* ! defined yyoverflow || YYERROR_VERBOSE */
+
+
+#if (! defined yyoverflow \
+     && (! defined __cplusplus \
+	 || (defined YYSTYPE_IS_TRIVIAL && YYSTYPE_IS_TRIVIAL)))
+
+/* A type that is properly aligned for any stack member.  */
+union yyalloc
+{
+  yytype_int16 yyss_alloc;
+  YYSTYPE yyvs_alloc;
+};
+
+/* The size of the maximum gap between one aligned stack and the next.  */
+# define YYSTACK_GAP_MAXIMUM (sizeof (union yyalloc) - 1)
+
+/* The size of an array large to enough to hold all stacks, each with
+   N elements.  */
+# define YYSTACK_BYTES(N) \
+     ((N) * (sizeof (yytype_int16) + sizeof (YYSTYPE)) \
+      + YYSTACK_GAP_MAXIMUM)
+
+/* Copy COUNT objects from FROM to TO.  The source and destination do
+   not overlap.  */
+# ifndef YYCOPY
+#  if defined __GNUC__ && 1 < __GNUC__
+#   define YYCOPY(To, From, Count) \
+      __builtin_memcpy (To, From, (Count) * sizeof (*(From)))
+#  else
+#   define YYCOPY(To, From, Count)		\
+      do					\
+	{					\
+	  YYSIZE_T yyi;				\
+	  for (yyi = 0; yyi < (Count); yyi++)	\
+	    (To)[yyi] = (From)[yyi];		\
+	}					\
+      while (YYID (0))
+#  endif
+# endif
+
+/* Relocate STACK from its old location to the new one.  The
+   local variables YYSIZE and YYSTACKSIZE give the old and new number of
+   elements in the stack, and YYPTR gives the new location of the
+   stack.  Advance YYPTR to a properly aligned location for the next
+   stack.  */
+# define YYSTACK_RELOCATE(Stack_alloc, Stack)				\
+    do									\
+      {									\
+	YYSIZE_T yynewbytes;						\
+	YYCOPY (&yyptr->Stack_alloc, Stack, yysize);			\
+	Stack = &yyptr->Stack_alloc;					\
+	yynewbytes = yystacksize * sizeof (*Stack) + YYSTACK_GAP_MAXIMUM; \
+	yyptr += yynewbytes / sizeof (*yyptr);				\
+      }									\
+    while (YYID (0))
+
+#endif
+
+/* YYFINAL -- State number of the termination state.  */
+#define YYFINAL  46
+/* YYLAST -- Last index in YYTABLE.  */
+#define YYLAST   417
+
+/* YYNTOKENS -- Number of terminals.  */
+#define YYNTOKENS  35
+/* YYNNTS -- Number of nonterminals.  */
+#define YYNNTS  29
+/* YYNRULES -- Number of rules.  */
+#define YYNRULES  82
+/* YYNRULES -- Number of states.  */
+#define YYNSTATES  112
+
+/* YYTRANSLATE(YYLEX) -- Bison symbol number corresponding to YYLEX.  */
+#define YYUNDEFTOK  2
+#define YYMAXUTOK   286
+
+#define YYTRANSLATE(YYX)						\
+  ((unsigned int) (YYX) <= YYMAXUTOK ? yytranslate[YYX] : YYUNDEFTOK)
+
+/* YYTRANSLATE[YYLEX] -- Bison symbol number corresponding to YYLEX.  */
+static const yytype_uint8 yytranslate[] =
+{
+       0,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+      32,    33,     2,     2,    34,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     1,     2,     3,     4,
+       5,     6,     7,     8,     9,    10,    11,    12,    13,    14,
+      15,    16,    17,    18,    19,    20,    21,    22,    23,    24,
+      25,    26,    27,    28,    29,    30,    31
+};
+
+#if YYDEBUG
+/* YYPRHS[YYN] -- Index of the first RHS symbol of rule number YYN in
+   YYRHS.  */
+static const yytype_uint8 yyprhs[] =
+{
+       0,     0,     3,     5,     7,    10,    17,    22,    29,    34,
+      36,    38,    40,    42,    44,    46,    48,    50,    53,    55,
+      57,    59,    61,    63,    65,    67,    69,    71,    73,    75,
+      77,    79,    81,    83,    85,    87,    89,    91,    93,    96,
+     101,   103,   106,   111,   114,   116,   119,   121,   124,   126,
+     130,   132,   135,   137,   141,   143,   145,   147,   151,   154,
+     156,   158,   160,   162,   164,   166,   168,   170,   172,   174,
+     176,   178,   180,   183,   187,   191,   196,   200,   205,   208,
+     210,   213,   215
+};
+
+/* YYRHS -- A `-1'-separated list of the rules' RHS.  */
+static const yytype_int8 yyrhs[] =
+{
+      36,     0,    -1,    37,    -1,    38,    -1,    37,    38,    -1,
+      39,    41,    37,    43,    37,    44,    -1,    39,    41,    37,
+      44,    -1,    40,    42,    37,    43,    37,    44,    -1,    40,
+      42,    37,    44,    -1,    47,    -1,    28,    -1,    29,    -1,
+       3,    -1,     3,    -1,    30,    -1,    31,    -1,    19,    -1,
+      27,     3,    -1,    48,    -1,    49,    -1,    45,    -1,    60,
+      -1,    46,    -1,    23,    -1,    24,    -1,    21,    -1,    51,
+      -1,    15,    -1,    16,    -1,    59,    -1,    22,    -1,    51,
+      -1,    14,    -1,    16,    -1,    59,    -1,    22,    -1,     9,
+      -1,    11,    -1,    52,    54,    -1,    52,    32,    55,    33,
+      -1,    13,    -1,    53,    54,    -1,    53,    32,    55,    33,
+      -1,    10,    20,    -1,    10,    -1,    12,    20,    -1,    12,
+      -1,    32,    33,    -1,    56,    -1,    55,    34,    56,    -1,
+      58,    -1,    56,    58,    -1,    56,    -1,    57,    34,    56,
+      -1,    20,    -1,    18,    -1,    16,    -1,    32,    57,    33,
+      -1,    32,    33,    -1,     4,    -1,     5,    -1,     6,    -1,
+      51,    -1,    32,    -1,    33,    -1,    34,    -1,     4,    -1,
+       6,    -1,     5,    -1,    20,    -1,    18,    -1,    17,    -1,
+      61,    26,    -1,    61,    63,    26,    -1,    61,     8,    26,
+      -1,    61,     8,    63,    26,    -1,    61,    62,    26,    -1,
+      61,    62,    63,    26,    -1,    25,     3,    -1,     7,    -1,
+      62,     7,    -1,    50,    -1,    63,    50,    -1
+};
+
+/* YYRLINE[YYN] -- source line where rule number YYN was defined.  */
+static const yytype_uint16 yyrline[] =
+{
+       0,    95,    95,   102,   106,   114,   129,   141,   156,   168,
+     175,   182,   189,   210,   232,   246,   254,   263,   270,   274,
+     281,   285,   289,   294,   298,   307,   320,   324,   330,   340,
+     344,   357,   361,   368,   378,   382,   395,   400,   405,   410,
+     419,   429,   438,   483,   487,   494,   498,   505,   511,   515,
+     523,   527,   535,   539,   548,   553,   558,   566,   574,   581,
+     586,   591,   596,   603,   608,   613,   618,   623,   628,   633,
+     638,   643,   651,   657,   663,   669,   675,   681,   690,   697,
+     701,   709,   713
+};
+#endif
+
+#if YYDEBUG || YYERROR_VERBOSE || YYTOKEN_TABLE
+/* YYTNAME[SYMBOL-NUM] -- String name of the symbol SYMBOL-NUM.
+   First, the terminals, then, starting at YYNTOKENS, nonterminals.  */
+static const char *const yytname[] =
+{
+  "$end", "error", "$undefined", "TK_PRAGMA_NAME", "TK_IDENT",
+  "TK_STRING", "TK_NOT_IDENT", "TK_ARG", "TK_ARG_NULL",
+  "TK_SUBSTITUTOR_NOARG", "TK_SUBSTITUTOR_NULLARG",
+  "TK_SUBSTITUTOR_NULLARG_ALONE", "TK_SUBSTITUTOR_WITHARG",
+  "TK_SUBSTITUTOR_WITHARG_ALONE", "TK_CONTINUATOR",
+  "TK_NOPRAGMA_CONTINUATOR", "TK_EOL", "TK_EOF", "TK_COMMENT",
+  "TK_INCLUDE", "TK_SPACE", "TK_ERROR_PRAGMA_DEFINITION",
+  "TK_ERROR_PRAGMA_NOT_FOUND", "TK_ERROR_UNEXPECTED_CHAR",
+  "TK_ERROR_FILE_OPEN", "TK_DEFINE", "TK_DEFINE_END", "TK_UNDEF",
+  "TK_IFDEF", "TK_IFNDEF", "TK_ELSE", "TK_ENDIF", "'('", "')'", "','",
+  "$accept", "R_description", "R_list_of_conditional", "R_conditional",
+  "R_if", "R_ifn", "R_ifdef", "R_ifndef", "R_else", "R_endif", "R_include",
+  "R_undef", "R_alternative", "R_pragma", "R_notpragma",
+  "R_define_notpragma", "R_substitutor", "R_substitutor_nullarg",
+  "R_substitutor_witharg", "R_arg_null", "R_substitutor_list_of_arg",
+  "R_list_of_arg", "R_list_of_arg_with_comma", "R_arg", "R_other",
+  "R_define_alternative", "R_define", "R_define_list_of_arg",
+  "R_define_text", 0
+};
+#endif
+
+# ifdef YYPRINT
+/* YYTOKNUM[YYLEX-NUM] -- Internal token number corresponding to
+   token YYLEX-NUM.  */
+static const yytype_uint16 yytoknum[] =
+{
+       0,   256,   257,   258,   259,   260,   261,   262,   263,   264,
+     265,   266,   267,   268,   269,   270,   271,   272,   273,   274,
+     275,   276,   277,   278,   279,   280,   281,   282,   283,   284,
+     285,   286,    40,    41,    44
+};
+# endif
+
+/* YYR1[YYN] -- Symbol number of symbol that rule YYN derives.  */
+static const yytype_uint8 yyr1[] =
+{
+       0,    35,    36,    37,    37,    38,    38,    38,    38,    38,
+      39,    40,    41,    42,    43,    44,    45,    46,    47,    47,
+      48,    48,    48,    48,    48,    48,    49,    49,    49,    49,
+      49,    50,    50,    50,    50,    50,    51,    51,    51,    51,
+      51,    51,    51,    52,    52,    53,    53,    54,    55,    55,
+      56,    56,    57,    57,    58,    58,    58,    58,    58,    58,
+      58,    58,    58,    59,    59,    59,    59,    59,    59,    59,
+      59,    59,    60,    60,    60,    60,    60,    60,    61,    62,
+      62,    63,    63
+};
+
+/* YYR2[YYN] -- Number of symbols composing right hand side of rule YYN.  */
+static const yytype_uint8 yyr2[] =
+{
+       0,     2,     1,     1,     2,     6,     4,     6,     4,     1,
+       1,     1,     1,     1,     1,     1,     1,     2,     1,     1,
+       1,     1,     1,     1,     1,     1,     1,     1,     1,     1,
+       1,     1,     1,     1,     1,     1,     1,     1,     2,     4,
+       1,     2,     4,     2,     1,     2,     1,     2,     1,     3,
+       1,     2,     1,     3,     1,     1,     1,     3,     2,     1,
+       1,     1,     1,     1,     1,     1,     1,     1,     1,     1,
+       1,     1,     2,     3,     3,     4,     3,     4,     2,     1,
+       2,     1,     2
+};
+
+/* YYDEFACT[STATE-NAME] -- Default rule to reduce with in state
+   STATE-NUM when YYTABLE doesn't specify something else to do.  Zero
+   means the default is an error.  */
+static const yytype_uint8 yydefact[] =
+{
+       0,    66,    68,    67,    36,    44,    37,    46,    40,    27,
+      28,    71,    70,    16,    69,    25,    30,    23,    24,     0,
+       0,    10,    11,    63,    64,    65,     0,     2,     3,     0,
+       0,    20,    22,     9,    18,    19,    26,     0,     0,    29,
+      21,     0,    43,    45,    78,    17,     1,     4,    12,     0,
+      13,     0,     0,    38,     0,    41,    79,     0,    32,    33,
+      35,    72,    81,    31,    34,     0,     0,     0,     0,    59,
+      60,    61,    56,    55,    54,     0,    47,    62,     0,    48,
+      50,     0,    74,     0,    80,    76,     0,    73,    82,    14,
+      15,     0,     6,     0,     8,    58,    52,     0,    39,     0,
+      51,    42,    75,    77,     0,     0,    57,     0,    49,     5,
+       7,    53
+};
+
+/* YYDEFGOTO[NTERM-NUM].  */
+static const yytype_int8 yydefgoto[] =
+{
+      -1,    26,    27,    28,    29,    30,    49,    51,    91,    92,
+      31,    32,    33,    34,    35,    62,    36,    37,    38,    53,
+      78,    79,    97,    80,    39,    40,    41,    65,    66
+};
+
+/* YYPACT[STATE-NUM] -- Index in YYTABLE of the portion describing
+   STATE-NUM.  */
+#define YYPACT_NINF -76
+static const yytype_int16 yypact[] =
+{
+     132,   -76,   -76,   -76,   -76,    -9,   -76,    -7,   -76,   -76,
+     -76,   -76,   -76,   -76,   -76,   -76,   -76,   -76,   -76,    13,
+      15,   -76,   -76,   -76,   -76,   -76,    20,   132,   -76,    21,
+      26,   -76,   -76,   -76,   -76,   -76,   -76,     2,    10,   -76,
+     -76,   163,   -76,   -76,   -76,   -76,   -76,   -76,   -76,   132,
+     -76,   132,   349,   -76,   349,   -76,   -76,   225,   -76,   -76,
+     -76,   -76,   -76,   -76,   -76,   194,   256,    70,    70,   -76,
+     -76,   -76,   -76,   -76,   -76,   367,   -76,   -76,   -25,   385,
+     -76,   -11,   -76,   287,   -76,   -76,   318,   -76,   -76,   -76,
+     -76,   132,   -76,   132,   -76,   -76,   385,    -2,   -76,   385,
+     -76,   -76,   -76,   -76,   101,   101,   -76,   385,   385,   -76,
+     -76,   385
+};
+
+/* YYPGOTO[NTERM-NUM].  */
+static const yytype_int8 yypgoto[] =
+{
+     -76,   -76,   -44,   -27,   -76,   -76,   -76,   -76,   -24,   -53,
+     -76,   -76,   -76,   -76,   -76,   -29,   -40,   -76,   -76,    12,
+      -1,   -69,   -76,   -75,   -38,   -76,   -76,   -76,   -55
+};
+
+/* YYTABLE[YYPACT[STATE-NUM]].  What to do in state STATE-NUM.  If
+   positive, shift that token.  If negative, reduce the rule which
+   number is the opposite.  If zero, do what YYDEFACT says.
+   If YYTABLE_NINF, syntax error.  */
+#define YYTABLE_NINF -1
+static const yytype_uint8 yytable[] =
+{
+      47,    63,    83,    64,   100,    67,    96,    68,    98,    99,
+      86,    42,    77,    43,    77,    94,    44,    63,    45,    64,
+      46,   100,   101,    99,    48,    63,    63,    64,    64,    50,
+     108,   106,   107,   100,    52,    77,   100,    88,   111,    77,
+      47,    47,    54,    63,    93,    64,    63,   104,    64,   105,
+      55,   109,   110,    81,    88,     0,    77,    88,     0,    77,
+       0,     0,     0,     0,     0,     0,     0,    77,    77,     0,
+       0,    77,     0,     0,     1,     2,     3,    47,    47,     4,
+       5,     6,     7,     8,     0,     9,    10,    11,    12,    13,
+      14,    15,    16,    17,    18,    19,     0,    20,    21,    22,
+      89,    90,    23,    24,    25,     1,     2,     3,     0,     0,
+       4,     5,     6,     7,     8,     0,     9,    10,    11,    12,
+      13,    14,    15,    16,    17,    18,    19,     0,    20,    21,
+      22,     0,    90,    23,    24,    25,     1,     2,     3,     0,
+       0,     4,     5,     6,     7,     8,     0,     9,    10,    11,
+      12,    13,    14,    15,    16,    17,    18,    19,     0,    20,
+      21,    22,     0,     0,    23,    24,    25,     1,     2,     3,
+      56,    57,     4,     5,     6,     7,     8,    58,     0,    59,
+      11,    12,     0,    14,     0,    60,     0,     0,     0,    61,
+       0,     0,     0,     0,     0,    23,    24,    25,     1,     2,
+       3,    84,     0,     4,     5,     6,     7,     8,    58,     0,
+      59,    11,    12,     0,    14,     0,    60,     0,     0,     0,
+      85,     0,     0,     0,     0,     0,    23,    24,    25,     1,
+       2,     3,     0,     0,     4,     5,     6,     7,     8,    58,
+       0,    59,    11,    12,     0,    14,     0,    60,     0,     0,
+       0,    82,     0,     0,     0,     0,     0,    23,    24,    25,
+       1,     2,     3,     0,     0,     4,     5,     6,     7,     8,
+      58,     0,    59,    11,    12,     0,    14,     0,    60,     0,
+       0,     0,    87,     0,     0,     0,     0,     0,    23,    24,
+      25,     1,     2,     3,     0,     0,     4,     5,     6,     7,
+       8,    58,     0,    59,    11,    12,     0,    14,     0,    60,
+       0,     0,     0,   102,     0,     0,     0,     0,     0,    23,
+      24,    25,     1,     2,     3,     0,     0,     4,     5,     6,
+       7,     8,    58,     0,    59,    11,    12,     0,    14,     0,
+      60,     0,     0,     0,   103,     0,     0,     0,     0,     0,
+      23,    24,    25,    69,    70,    71,     0,     0,     4,     5,
+       6,     7,     8,     0,     0,    72,     0,    73,     0,    74,
+       0,    69,    70,    71,     0,     0,     4,     5,     6,     7,
+       8,    75,    76,    72,     0,    73,     0,    74,     0,    69,
+      70,    71,     0,     0,     4,     5,     6,     7,     8,    75,
+      95,    72,     0,    73,     0,    74,     0,     0,     0,     0,
+       0,     0,     0,     0,     0,     0,     0,    75
+};
+
+static const yytype_int8 yycheck[] =
+{
+      27,    41,    57,    41,    79,    49,    75,    51,    33,    34,
+      65,    20,    52,    20,    54,    68,     3,    57,     3,    57,
+       0,    96,    33,    34,     3,    65,    66,    65,    66,     3,
+      99,    33,    34,   108,    32,    75,   111,    66,   107,    79,
+      67,    68,    32,    83,    68,    83,    86,    91,    86,    93,
+      38,   104,   105,    54,    83,    -1,    96,    86,    -1,    99,
+      -1,    -1,    -1,    -1,    -1,    -1,    -1,   107,   108,    -1,
+      -1,   111,    -1,    -1,     4,     5,     6,   104,   105,     9,
+      10,    11,    12,    13,    -1,    15,    16,    17,    18,    19,
+      20,    21,    22,    23,    24,    25,    -1,    27,    28,    29,
+      30,    31,    32,    33,    34,     4,     5,     6,    -1,    -1,
+       9,    10,    11,    12,    13,    -1,    15,    16,    17,    18,
+      19,    20,    21,    22,    23,    24,    25,    -1,    27,    28,
+      29,    -1,    31,    32,    33,    34,     4,     5,     6,    -1,
+      -1,     9,    10,    11,    12,    13,    -1,    15,    16,    17,
+      18,    19,    20,    21,    22,    23,    24,    25,    -1,    27,
+      28,    29,    -1,    -1,    32,    33,    34,     4,     5,     6,
+       7,     8,     9,    10,    11,    12,    13,    14,    -1,    16,
+      17,    18,    -1,    20,    -1,    22,    -1,    -1,    -1,    26,
+      -1,    -1,    -1,    -1,    -1,    32,    33,    34,     4,     5,
+       6,     7,    -1,     9,    10,    11,    12,    13,    14,    -1,
+      16,    17,    18,    -1,    20,    -1,    22,    -1,    -1,    -1,
+      26,    -1,    -1,    -1,    -1,    -1,    32,    33,    34,     4,
+       5,     6,    -1,    -1,     9,    10,    11,    12,    13,    14,
+      -1,    16,    17,    18,    -1,    20,    -1,    22,    -1,    -1,
+      -1,    26,    -1,    -1,    -1,    -1,    -1,    32,    33,    34,
+       4,     5,     6,    -1,    -1,     9,    10,    11,    12,    13,
+      14,    -1,    16,    17,    18,    -1,    20,    -1,    22,    -1,
+      -1,    -1,    26,    -1,    -1,    -1,    -1,    -1,    32,    33,
+      34,     4,     5,     6,    -1,    -1,     9,    10,    11,    12,
+      13,    14,    -1,    16,    17,    18,    -1,    20,    -1,    22,
+      -1,    -1,    -1,    26,    -1,    -1,    -1,    -1,    -1,    32,
+      33,    34,     4,     5,     6,    -1,    -1,     9,    10,    11,
+      12,    13,    14,    -1,    16,    17,    18,    -1,    20,    -1,
+      22,    -1,    -1,    -1,    26,    -1,    -1,    -1,    -1,    -1,
+      32,    33,    34,     4,     5,     6,    -1,    -1,     9,    10,
+      11,    12,    13,    -1,    -1,    16,    -1,    18,    -1,    20,
+      -1,     4,     5,     6,    -1,    -1,     9,    10,    11,    12,
+      13,    32,    33,    16,    -1,    18,    -1,    20,    -1,     4,
+       5,     6,    -1,    -1,     9,    10,    11,    12,    13,    32,
+      33,    16,    -1,    18,    -1,    20,    -1,    -1,    -1,    -1,
+      -1,    -1,    -1,    -1,    -1,    -1,    -1,    32
+};
+
+/* YYSTOS[STATE-NUM] -- The (internal number of the) accessing
+   symbol of state STATE-NUM.  */
+static const yytype_uint8 yystos[] =
+{
+       0,     4,     5,     6,     9,    10,    11,    12,    13,    15,
+      16,    17,    18,    19,    20,    21,    22,    23,    24,    25,
+      27,    28,    29,    32,    33,    34,    36,    37,    38,    39,
+      40,    45,    46,    47,    48,    49,    51,    52,    53,    59,
+      60,    61,    20,    20,     3,     3,     0,    38,     3,    41,
+       3,    42,    32,    54,    32,    54,     7,     8,    14,    16,
+      22,    26,    50,    51,    59,    62,    63,    37,    37,     4,
+       5,     6,    16,    18,    20,    32,    33,    51,    55,    56,
+      58,    55,    26,    63,     7,    26,    63,    26,    50,    30,
+      31,    43,    44,    43,    44,    33,    56,    57,    33,    34,
+      58,    33,    26,    26,    37,    37,    33,    34,    56,    44,
+      44,    56
+};
+
+#define yyerrok		(yyerrstatus = 0)
+#define yyclearin	(yychar = YYEMPTY)
+#define YYEMPTY		(-2)
+#define YYEOF		0
+
+#define YYACCEPT	goto yyacceptlab
+#define YYABORT		goto yyabortlab
+#define YYERROR		goto yyerrorlab
+
+
+/* Like YYERROR except do call yyerror.  This remains here temporarily
+   to ease the transition to the new meaning of YYERROR, for GCC.
+   Once GCC version 2 has supplanted version 1, this can go.  */
+
+#define YYFAIL		goto yyerrlab
+
+#define YYRECOVERING()  (!!yyerrstatus)
+
+#define YYBACKUP(Token, Value)					\
+do								\
+  if (yychar == YYEMPTY && yylen == 1)				\
+    {								\
+      yychar = (Token);						\
+      yylval = (Value);						\
+      yytoken = YYTRANSLATE (yychar);				\
+      YYPOPSTACK (1);						\
+      goto yybackup;						\
+    }								\
+  else								\
+    {								\
+      yyerror (YY_("syntax error: cannot back up")); \
+      YYERROR;							\
+    }								\
+while (YYID (0))
+
+
+#define YYTERROR	1
+#define YYERRCODE	256
+
+
+/* YYLLOC_DEFAULT -- Set CURRENT to span from RHS[1] to RHS[N].
+   If N is 0, then set CURRENT to the empty location which ends
+   the previous symbol: RHS[0] (always defined).  */
+
+#define YYRHSLOC(Rhs, K) ((Rhs)[K])
+#ifndef YYLLOC_DEFAULT
+# define YYLLOC_DEFAULT(Current, Rhs, N)				\
+    do									\
+      if (YYID (N))                                                    \
+	{								\
+	  (Current).first_line   = YYRHSLOC (Rhs, 1).first_line;	\
+	  (Current).first_column = YYRHSLOC (Rhs, 1).first_column;	\
+	  (Current).last_line    = YYRHSLOC (Rhs, N).last_line;		\
+	  (Current).last_column  = YYRHSLOC (Rhs, N).last_column;	\
+	}								\
+      else								\
+	{								\
+	  (Current).first_line   = (Current).last_line   =		\
+	    YYRHSLOC (Rhs, 0).last_line;				\
+	  (Current).first_column = (Current).last_column =		\
+	    YYRHSLOC (Rhs, 0).last_column;				\
+	}								\
+    while (YYID (0))
+#endif
+
+
+/* YY_LOCATION_PRINT -- Print the location on the stream.
+   This macro was not mandated originally: define only if we know
+   we won't break user code: when these are the locations we know.  */
+
+#ifndef YY_LOCATION_PRINT
+# if YYLTYPE_IS_TRIVIAL
+#  define YY_LOCATION_PRINT(File, Loc)			\
+     fprintf (File, "%d.%d-%d.%d",			\
+	      (Loc).first_line, (Loc).first_column,	\
+	      (Loc).last_line,  (Loc).last_column)
+# else
+#  define YY_LOCATION_PRINT(File, Loc) ((void) 0)
+# endif
+#endif
+
+
+/* YYLEX -- calling `yylex' with the right arguments.  */
+
+#ifdef YYLEX_PARAM
+# define YYLEX yylex (YYLEX_PARAM)
+#else
+# define YYLEX yylex ()
+#endif
+
+/* Enable debugging if requested.  */
+#if YYDEBUG
+
+# ifndef YYFPRINTF
+#  include <stdio.h> /* INFRINGES ON USER NAME SPACE */
+#  define YYFPRINTF fprintf
+# endif
+
+# define YYDPRINTF(Args)			\
+do {						\
+  if (yydebug)					\
+    YYFPRINTF Args;				\
+} while (YYID (0))
+
+# define YY_SYMBOL_PRINT(Title, Type, Value, Location)			  \
+do {									  \
+  if (yydebug)								  \
+    {									  \
+      YYFPRINTF (stderr, "%s ", Title);					  \
+      yy_symbol_print (stderr,						  \
+		  Type, Value); \
+      YYFPRINTF (stderr, "\n");						  \
+    }									  \
+} while (YYID (0))
+
+
+/*--------------------------------.
+| Print this symbol on YYOUTPUT.  |
+`--------------------------------*/
+
+/*ARGSUSED*/
+#if (defined __STDC__ || defined __C99__FUNC__ \
+     || defined __cplusplus || defined _MSC_VER)
+static void
+yy_symbol_value_print (FILE *yyoutput, int yytype, YYSTYPE const * const yyvaluep)
+#else
+static void
+yy_symbol_value_print (yyoutput, yytype, yyvaluep)
+    FILE *yyoutput;
+    int yytype;
+    YYSTYPE const * const yyvaluep;
+#endif
+{
+  if (!yyvaluep)
+    return;
+# ifdef YYPRINT
+  if (yytype < YYNTOKENS)
+    YYPRINT (yyoutput, yytoknum[yytype], *yyvaluep);
+# else
+  YYUSE (yyoutput);
+# endif
+  switch (yytype)
+    {
+      default:
+	break;
+    }
+}
+
+
+/*--------------------------------.
+| Print this symbol on YYOUTPUT.  |
+`--------------------------------*/
+
+#if (defined __STDC__ || defined __C99__FUNC__ \
+     || defined __cplusplus || defined _MSC_VER)
+static void
+yy_symbol_print (FILE *yyoutput, int yytype, YYSTYPE const * const yyvaluep)
+#else
+static void
+yy_symbol_print (yyoutput, yytype, yyvaluep)
+    FILE *yyoutput;
+    int yytype;
+    YYSTYPE const * const yyvaluep;
+#endif
+{
+  if (yytype < YYNTOKENS)
+    YYFPRINTF (yyoutput, "token %s (", yytname[yytype]);
+  else
+    YYFPRINTF (yyoutput, "nterm %s (", yytname[yytype]);
+
+  yy_symbol_value_print (yyoutput, yytype, yyvaluep);
+  YYFPRINTF (yyoutput, ")");
+}
+
+/*------------------------------------------------------------------.
+| yy_stack_print -- Print the state stack from its BOTTOM up to its |
+| TOP (included).                                                   |
+`------------------------------------------------------------------*/
+
+#if (defined __STDC__ || defined __C99__FUNC__ \
+     || defined __cplusplus || defined _MSC_VER)
+static void
+yy_stack_print (yytype_int16 *yybottom, yytype_int16 *yytop)
+#else
+static void
+yy_stack_print (yybottom, yytop)
+    yytype_int16 *yybottom;
+    yytype_int16 *yytop;
+#endif
+{
+  YYFPRINTF (stderr, "Stack now");
+  for (; yybottom <= yytop; yybottom++)
+    {
+      int yybot = *yybottom;
+      YYFPRINTF (stderr, " %d", yybot);
+    }
+  YYFPRINTF (stderr, "\n");
+}
+
+# define YY_STACK_PRINT(Bottom, Top)				\
+do {								\
+  if (yydebug)							\
+    yy_stack_print ((Bottom), (Top));				\
+} while (YYID (0))
+
+
+/*------------------------------------------------.
+| Report that the YYRULE is going to be reduced.  |
+`------------------------------------------------*/
+
+#if (defined __STDC__ || defined __C99__FUNC__ \
+     || defined __cplusplus || defined _MSC_VER)
+static void
+yy_reduce_print (YYSTYPE *yyvsp, int yyrule)
+#else
+static void
+yy_reduce_print (yyvsp, yyrule)
+    YYSTYPE *yyvsp;
+    int yyrule;
+#endif
+{
+  int yynrhs = yyr2[yyrule];
+  int yyi;
+  unsigned long int yylno = yyrline[yyrule];
+  YYFPRINTF (stderr, "Reducing stack by rule %d (line %lu):\n",
+	     yyrule - 1, yylno);
+  /* The symbols being reduced.  */
+  for (yyi = 0; yyi < yynrhs; yyi++)
+    {
+      YYFPRINTF (stderr, "   $%d = ", yyi + 1);
+      yy_symbol_print (stderr, yyrhs[yyprhs[yyrule] + yyi],
+		       &(yyvsp[(yyi + 1) - (yynrhs)])
+		       		       );
+      YYFPRINTF (stderr, "\n");
+    }
+}
+
+# define YY_REDUCE_PRINT(Rule)		\
+do {					\
+  if (yydebug)				\
+    yy_reduce_print (yyvsp, Rule); \
+} while (YYID (0))
+
+/* Nonzero means print parse trace.  It is left uninitialized so that
+   multiple parsers can coexist.  */
+int yydebug;
+#else /* !YYDEBUG */
+# define YYDPRINTF(Args)
+# define YY_SYMBOL_PRINT(Title, Type, Value, Location)
+# define YY_STACK_PRINT(Bottom, Top)
+# define YY_REDUCE_PRINT(Rule)
+#endif /* !YYDEBUG */
+
+
+/* YYINITDEPTH -- initial size of the parser's stacks.  */
+#ifndef	YYINITDEPTH
+# define YYINITDEPTH 200
+#endif
+
+/* YYMAXDEPTH -- maximum size the stacks can grow to (effective only
+   if the built-in stack extension method is used).
+
+   Do not make this value too large; the results are undefined if
+   YYSTACK_ALLOC_MAXIMUM < YYSTACK_BYTES (YYMAXDEPTH)
+   evaluated with infinite-precision integer arithmetic.  */
+
+#ifndef YYMAXDEPTH
+# define YYMAXDEPTH 10000
+#endif
+
+

+
+#if YYERROR_VERBOSE
+
+# ifndef yystrlen
+#  if defined __GLIBC__ && defined _STRING_H
+#   define yystrlen strlen
+#  else
+/* Return the length of YYSTR.  */
+#if (defined __STDC__ || defined __C99__FUNC__ \
+     || defined __cplusplus || defined _MSC_VER)
+static YYSIZE_T
+yystrlen (const char *yystr)
+#else
+static YYSIZE_T
+yystrlen (yystr)
+    const char *yystr;
+#endif
+{
+  YYSIZE_T yylen;
+  for (yylen = 0; yystr[yylen]; yylen++)
+    continue;
+  return yylen;
+}
+#  endif
+# endif
+
+# ifndef yystpcpy
+#  if defined __GLIBC__ && defined _STRING_H && defined _GNU_SOURCE
+#   define yystpcpy stpcpy
+#  else
+/* Copy YYSRC to YYDEST, returning the address of the terminating '\0' in
+   YYDEST.  */
+#if (defined __STDC__ || defined __C99__FUNC__ \
+     || defined __cplusplus || defined _MSC_VER)
+static char *
+yystpcpy (char *yydest, const char *yysrc)
+#else
+static char *
+yystpcpy (yydest, yysrc)
+    char *yydest;
+    const char *yysrc;
+#endif
+{
+  char *yyd = yydest;
+  const char *yys = yysrc;
+
+  while ((*yyd++ = *yys++) != '\0')
+    continue;
+
+  return yyd - 1;
+}
+#  endif
+# endif
+
+# ifndef yytnamerr
+/* Copy to YYRES the contents of YYSTR after stripping away unnecessary
+   quotes and backslashes, so that it's suitable for yyerror.  The
+   heuristic is that double-quoting is unnecessary unless the string
+   contains an apostrophe, a comma, or backslash (other than
+   backslash-backslash).  YYSTR is taken from yytname.  If YYRES is
+   null, do not copy; instead, return the length of what the result
+   would have been.  */
+static YYSIZE_T
+yytnamerr (char *yyres, const char *yystr)
+{
+  if (*yystr == '"')
+    {
+      YYSIZE_T yyn = 0;
+      char const *yyp = yystr;
+
+      for (;;)
+	switch (*++yyp)
+	  {
+	  case '\'':
+	  case ',':
+	    goto do_not_strip_quotes;
+
+	  case '\\':
+	    if (*++yyp != '\\')
+	      goto do_not_strip_quotes;
+	    /* Fall through.  */
+	  default:
+	    if (yyres)
+	      yyres[yyn] = *yyp;
+	    yyn++;
+	    break;
+
+	  case '"':
+	    if (yyres)
+	      yyres[yyn] = '\0';
+	    return yyn;
+	  }
+    do_not_strip_quotes: ;
+    }
+
+  if (! yyres)
+    return yystrlen (yystr);
+
+  return yystpcpy (yyres, yystr) - yyres;
+}
+# endif
+
+/* Copy into YYRESULT an error message about the unexpected token
+   YYCHAR while in state YYSTATE.  Return the number of bytes copied,
+   including the terminating null byte.  If YYRESULT is null, do not
+   copy anything; just return the number of bytes that would be
+   copied.  As a special case, return 0 if an ordinary "syntax error"
+   message will do.  Return YYSIZE_MAXIMUM if overflow occurs during
+   size calculation.  */
+static YYSIZE_T
+yysyntax_error (char *yyresult, int yystate, int yychar)
+{
+  int yyn = yypact[yystate];
+
+  if (! (YYPACT_NINF < yyn && yyn <= YYLAST))
+    return 0;
+  else
+    {
+      int yytype = YYTRANSLATE (yychar);
+      YYSIZE_T yysize0 = yytnamerr (0, yytname[yytype]);
+      YYSIZE_T yysize = yysize0;
+      YYSIZE_T yysize1;
+      int yysize_overflow = 0;
+      enum { YYERROR_VERBOSE_ARGS_MAXIMUM = 5 };
+      char const *yyarg[YYERROR_VERBOSE_ARGS_MAXIMUM];
+      int yyx;
+
+# if 0
+      /* This is so xgettext sees the translatable formats that are
+	 constructed on the fly.  */
+      YY_("syntax error, unexpected %s");
+      YY_("syntax error, unexpected %s, expecting %s");
+      YY_("syntax error, unexpected %s, expecting %s or %s");
+      YY_("syntax error, unexpected %s, expecting %s or %s or %s");
+      YY_("syntax error, unexpected %s, expecting %s or %s or %s or %s");
+# endif
+      char *yyfmt;
+      char const *yyf;
+      static char const yyunexpected[] = "syntax error, unexpected %s";
+      static char const yyexpecting[] = ", expecting %s";
+      static char const yyor[] = " or %s";
+      char yyformat[sizeof yyunexpected
+		    + sizeof yyexpecting - 1
+		    + ((YYERROR_VERBOSE_ARGS_MAXIMUM - 2)
+		       * (sizeof yyor - 1))];
+      char const *yyprefix = yyexpecting;
+
+      /* Start YYX at -YYN if negative to avoid negative indexes in
+	 YYCHECK.  */
+      int yyxbegin = yyn < 0 ? -yyn : 0;
+
+      /* Stay within bounds of both yycheck and yytname.  */
+      int yychecklim = YYLAST - yyn + 1;
+      int yyxend = yychecklim < YYNTOKENS ? yychecklim : YYNTOKENS;
+      int yycount = 1;
+
+      yyarg[0] = yytname[yytype];
+      yyfmt = yystpcpy (yyformat, yyunexpected);
+
+      for (yyx = yyxbegin; yyx < yyxend; ++yyx)
+	if (yycheck[yyx + yyn] == yyx && yyx != YYTERROR)
+	  {
+	    if (yycount == YYERROR_VERBOSE_ARGS_MAXIMUM)
+	      {
+		yycount = 1;
+		yysize = yysize0;
+		yyformat[sizeof yyunexpected - 1] = '\0';
+		break;
+	      }
+	    yyarg[yycount++] = yytname[yyx];
+	    yysize1 = yysize + yytnamerr (0, yytname[yyx]);
+	    yysize_overflow |= (yysize1 < yysize);
+	    yysize = yysize1;
+	    yyfmt = yystpcpy (yyfmt, yyprefix);
+	    yyprefix = yyor;
+	  }
+
+      yyf = YY_(yyformat);
+      yysize1 = yysize + yystrlen (yyf);
+      yysize_overflow |= (yysize1 < yysize);
+      yysize = yysize1;
+
+      if (yysize_overflow)
+	return YYSIZE_MAXIMUM;
+
+      if (yyresult)
+	{
+	  /* Avoid sprintf, as that infringes on the user's name space.
+	     Don't have undefined behavior even if the translation
+	     produced a string with the wrong number of "%s"s.  */
+	  char *yyp = yyresult;
+	  int yyi = 0;
+	  while ((*yyp = *yyf) != '\0')
+	    {
+	      if (*yyp == '%' && yyf[1] == 's' && yyi < yycount)
+		{
+		  yyp += yytnamerr (yyp, yyarg[yyi++]);
+		  yyf += 2;
+		}
+	      else
+		{
+		  yyp++;
+		  yyf++;
+		}
+	    }
+	}
+      return yysize;
+    }
+}
+#endif /* YYERROR_VERBOSE */
+

+
+/*-----------------------------------------------.
+| Release the memory associated to this symbol.  |
+`-----------------------------------------------*/
+
+/*ARGSUSED*/
+#if (defined __STDC__ || defined __C99__FUNC__ \
+     || defined __cplusplus || defined _MSC_VER)
+static void
+yydestruct (const char *yymsg, int yytype, YYSTYPE *yyvaluep)
+#else
+static void
+yydestruct (yymsg, yytype, yyvaluep)
+    const char *yymsg;
+    int yytype;
+    YYSTYPE *yyvaluep;
+#endif
+{
+  YYUSE (yyvaluep);
+
+  if (!yymsg)
+    yymsg = "Deleting";
+  YY_SYMBOL_PRINT (yymsg, yytype, yyvaluep, yylocationp);
+
+  switch (yytype)
+    {
+
+      default:
+	break;
+    }
+}
+
+/* Prevent warnings from -Wmissing-prototypes.  */
+#ifdef YYPARSE_PARAM
+#if defined __STDC__ || defined __cplusplus
+int yyparse (void *YYPARSE_PARAM);
+#else
+int yyparse ();
+#endif
+#else /* ! YYPARSE_PARAM */
+#if defined __STDC__ || defined __cplusplus
+int yyparse (void);
+#else
+int yyparse ();
+#endif
+#endif /* ! YYPARSE_PARAM */
+
+
+/* The lookahead symbol.  */
+int yychar;
+
+/* The semantic value of the lookahead symbol.  */
+YYSTYPE yylval;
+
+/* Number of syntax errors so far.  */
+int yynerrs;
+
+
+
+/*-------------------------.
+| yyparse or yypush_parse.  |
+`-------------------------*/
+
+#ifdef YYPARSE_PARAM
+#if (defined __STDC__ || defined __C99__FUNC__ \
+     || defined __cplusplus || defined _MSC_VER)
+int
+yyparse (void *YYPARSE_PARAM)
+#else
+int
+yyparse (YYPARSE_PARAM)
+    void *YYPARSE_PARAM;
+#endif
+#else /* ! YYPARSE_PARAM */
+#if (defined __STDC__ || defined __C99__FUNC__ \
+     || defined __cplusplus || defined _MSC_VER)
+int
+yyparse (void)
+#else
+int
+yyparse ()
+
+#endif
+#endif
+{
+
+
+    int yystate;
+    /* Number of tokens to shift before error messages enabled.  */
+    int yyerrstatus;
+
+    /* The stacks and their tools:
+       `yyss': related to states.
+       `yyvs': related to semantic values.
+
+       Refer to the stacks thru separate pointers, to allow yyoverflow
+       to reallocate them elsewhere.  */
+
+    /* The state stack.  */
+    yytype_int16 yyssa[YYINITDEPTH];
+    yytype_int16 *yyss;
+    yytype_int16 *yyssp;
+
+    /* The semantic value stack.  */
+    YYSTYPE yyvsa[YYINITDEPTH];
+    YYSTYPE *yyvs;
+    YYSTYPE *yyvsp;
+
+    YYSIZE_T yystacksize;
+
+  int yyn;
+  int yyresult;
+  /* Lookahead token as an internal (translated) token number.  */
+  int yytoken;
+  /* The variables used to return semantic value and location from the
+     action routines.  */
+  YYSTYPE yyval;
+
+#if YYERROR_VERBOSE
+  /* Buffer for error messages, and its allocated size.  */
+  char yymsgbuf[128];
+  char *yymsg = yymsgbuf;
+  YYSIZE_T yymsg_alloc = sizeof yymsgbuf;
+#endif
+
+#define YYPOPSTACK(N)   (yyvsp -= (N), yyssp -= (N))
+
+  /* The number of symbols on the RHS of the reduced rule.
+     Keep to zero when no symbol should be popped.  */
+  int yylen = 0;
+
+  yytoken = 0;
+  yyss = yyssa;
+  yyvs = yyvsa;
+  yystacksize = YYINITDEPTH;
+
+  YYDPRINTF ((stderr, "Starting parse\n"));
+
+  yystate = 0;
+  yyerrstatus = 0;
+  yynerrs = 0;
+  yychar = YYEMPTY; /* Cause a token to be read.  */
+
+  /* Initialize stack pointers.
+     Waste one element of value and location stack
+     so that they stay on the same level as the state stack.
+     The wasted elements are never initialized.  */
+  yyssp = yyss;
+  yyvsp = yyvs;
+
+  goto yysetstate;
+
+/*------------------------------------------------------------.
+| yynewstate -- Push a new state, which is found in yystate.  |
+`------------------------------------------------------------*/
+ yynewstate:
+  /* In all cases, when you get here, the value and location stacks
+     have just been pushed.  So pushing a state here evens the stacks.  */
+  yyssp++;
+
+ yysetstate:
+  *yyssp = yystate;
+
+  if (yyss + yystacksize - 1 <= yyssp)
+    {
+      /* Get the current used size of the three stacks, in elements.  */
+      YYSIZE_T yysize = yyssp - yyss + 1;
+
+#ifdef yyoverflow
+      {
+	/* Give user a chance to reallocate the stack.  Use copies of
+	   these so that the &'s don't force the real ones into
+	   memory.  */
+	YYSTYPE *yyvs1 = yyvs;
+	yytype_int16 *yyss1 = yyss;
+
+	/* Each stack pointer address is followed by the size of the
+	   data in use in that stack, in bytes.  This used to be a
+	   conditional around just the two extra args, but that might
+	   be undefined if yyoverflow is a macro.  */
+	yyoverflow (YY_("memory exhausted"),
+		    &yyss1, yysize * sizeof (*yyssp),
+		    &yyvs1, yysize * sizeof (*yyvsp),
+		    &yystacksize);
+
+	yyss = yyss1;
+	yyvs = yyvs1;
+      }
+#else /* no yyoverflow */
+# ifndef YYSTACK_RELOCATE
+      goto yyexhaustedlab;
+# else
+      /* Extend the stack our own way.  */
+      if (YYMAXDEPTH <= yystacksize)
+	goto yyexhaustedlab;
+      yystacksize *= 2;
+      if (YYMAXDEPTH < yystacksize)
+	yystacksize = YYMAXDEPTH;
+
+      {
+	yytype_int16 *yyss1 = yyss;
+	union yyalloc *yyptr =
+	  (union yyalloc *) YYSTACK_ALLOC (YYSTACK_BYTES (yystacksize));
+	if (! yyptr)
+	  goto yyexhaustedlab;
+	YYSTACK_RELOCATE (yyss_alloc, yyss);
+	YYSTACK_RELOCATE (yyvs_alloc, yyvs);
+#  undef YYSTACK_RELOCATE
+	if (yyss1 != yyssa)
+	  YYSTACK_FREE (yyss1);
+      }
+# endif
+#endif /* no yyoverflow */
+
+      yyssp = yyss + yysize - 1;
+      yyvsp = yyvs + yysize - 1;
+
+      YYDPRINTF ((stderr, "Stack size increased to %lu\n",
+		  (unsigned long int) yystacksize));
+
+      if (yyss + yystacksize - 1 <= yyssp)
+	YYABORT;
+    }
+
+  YYDPRINTF ((stderr, "Entering state %d\n", yystate));
+
+  if (yystate == YYFINAL)
+    YYACCEPT;
+
+  goto yybackup;
+
+/*-----------.
+| yybackup.  |
+`-----------*/
+yybackup:
+
+  /* Do appropriate processing given the current state.  Read a
+     lookahead token if we need one and don't already have one.  */
+
+  /* First try to decide what to do without reference to lookahead token.  */
+  yyn = yypact[yystate];
+  if (yyn == YYPACT_NINF)
+    goto yydefault;
+
+  /* Not known => get a lookahead token if don't already have one.  */
+
+  /* YYCHAR is either YYEMPTY or YYEOF or a valid lookahead symbol.  */
+  if (yychar == YYEMPTY)
+    {
+      YYDPRINTF ((stderr, "Reading a token: "));
+      yychar = YYLEX;
+    }
+
+  if (yychar <= YYEOF)
+    {
+      yychar = yytoken = YYEOF;
+      YYDPRINTF ((stderr, "Now at end of input.\n"));
+    }
+  else
+    {
+      yytoken = YYTRANSLATE (yychar);
+      YY_SYMBOL_PRINT ("Next token is", yytoken, &yylval, &yylloc);
+    }
+
+  /* If the proper action on seeing token YYTOKEN is to reduce or to
+     detect an error, take that action.  */
+  yyn += yytoken;
+  if (yyn < 0 || YYLAST < yyn || yycheck[yyn] != yytoken)
+    goto yydefault;
+  yyn = yytable[yyn];
+  if (yyn <= 0)
+    {
+      if (yyn == 0 || yyn == YYTABLE_NINF)
+	goto yyerrlab;
+      yyn = -yyn;
+      goto yyreduce;
+    }
+
+  /* Count tokens shifted since error; after three, turn off error
+     status.  */
+  if (yyerrstatus)
+    yyerrstatus--;
+
+  /* Shift the lookahead token.  */
+  YY_SYMBOL_PRINT ("Shifting", yytoken, &yylval, &yylloc);
+
+  /* Discard the shifted token.  */
+  yychar = YYEMPTY;
+
+  yystate = yyn;
+  *++yyvsp = yylval;
+
+  goto yynewstate;
+
+
+/*-----------------------------------------------------------.
+| yydefault -- do the default action for the current state.  |
+`-----------------------------------------------------------*/
+yydefault:
+  yyn = yydefact[yystate];
+  if (yyn == 0)
+    goto yyerrlab;
+  goto yyreduce;
+
+
+/*-----------------------------.
+| yyreduce -- Do a reduction.  |
+`-----------------------------*/
+yyreduce:
+  /* yyn is the number of a rule to reduce with.  */
+  yylen = yyr2[yyn];
+
+  /* If YYLEN is nonzero, implement the default value of the action:
+     `$$ = $1'.
+
+     Otherwise, the following line sets YYVAL to garbage.
+     This behavior is undocumented and Bison
+     users should not rely upon it.  Assigning to YYVAL
+     unconditionally makes the parser a bit smaller, and it avoids a
+     GCC warning that YYVAL may be used uninitialized.  */
+  yyval = yyvsp[1-yylen];
+
+
+  YY_REDUCE_PRINT (yyn);
+  switch (yyn)
+    {
+        case 2:
+
+/* Line 1455 of yacc.c  */
+#line 96 "./preprocessorYacc.y"
+    {
+            pproot()->Text=(yyvsp[(1) - (1)].slist);
+          ;}
+    break;
+
+  case 3:
+
+/* Line 1455 of yacc.c  */
+#line 103 "./preprocessorYacc.y"
+    {
+            (yyval.slist)=(yyvsp[(1) - (1)].slist);
+          ;}
+    break;
+
+  case 4:
+
+/* Line 1455 of yacc.c  */
+#line 107 "./preprocessorYacc.y"
+    {
+            (yyval.slist)=(yyvsp[(2) - (2)].slist);
+            adms_slist_concat(&((yyval.slist)),(yyvsp[(1) - (2)].slist));
+          ;}
+    break;
+
+  case 5:
+
+/* Line 1455 of yacc.c  */
+#line 115 "./preprocessorYacc.y"
+    {
+            if(condistrue->data==INT2ADMS(1))
+            {
+              (yyval.slist)=(yyvsp[(6) - (6)].slist);
+              adms_slist_concat(&((yyval.slist)),(yyvsp[(3) - (6)].slist));
+            }
+            else if(condistrue->data==INT2ADMS(0))
+            {
+              (yyval.slist)=(yyvsp[(5) - (6)].slist);
+              adms_slist_concat(&((yyval.slist)),(yyvsp[(4) - (6)].slist));
+            }
+            adms_slist_pull(&pproot()->skipp_text);
+            adms_slist_pull(&condistrue);
+          ;}
+    break;
+
+  case 6:
+
+/* Line 1455 of yacc.c  */
+#line 130 "./preprocessorYacc.y"
+    {
+            if(condistrue->data==INT2ADMS(1))
+            {
+              (yyval.slist)=(yyvsp[(3) - (4)].slist);
+              adms_slist_concat(&((yyval.slist)),(yyvsp[(1) - (4)].slist));
+            }
+            else if(condistrue->data==INT2ADMS(0))
+              (yyval.slist)=(yyvsp[(4) - (4)].slist);
+            adms_slist_pull(&pproot()->skipp_text);
+            adms_slist_pull(&condistrue);
+          ;}
+    break;
+
+  case 7:
+
+/* Line 1455 of yacc.c  */
+#line 142 "./preprocessorYacc.y"
+    {
+            if(condistrue->data==INT2ADMS(1))
+            {
+               (yyval.slist)=(yyvsp[(6) - (6)].slist);
+               adms_slist_concat(&((yyval.slist)),(yyvsp[(3) - (6)].slist));
+            }
+            else if(condistrue->data==INT2ADMS(0))
+            {
+              (yyval.slist)=(yyvsp[(5) - (6)].slist);
+              adms_slist_concat(&((yyval.slist)),(yyvsp[(4) - (6)].slist));
+            }
+            adms_slist_pull(&pproot()->skipp_text);
+            adms_slist_pull(&condistrue);
+          ;}
+    break;
+
+  case 8:
+
+/* Line 1455 of yacc.c  */
+#line 157 "./preprocessorYacc.y"
+    {
+            if(condistrue->data==INT2ADMS(1))
+            {
+              (yyval.slist)=(yyvsp[(3) - (4)].slist);
+              adms_slist_concat(&((yyval.slist)),(yyvsp[(1) - (4)].slist));
+            }
+            else if(condistrue->data==INT2ADMS(0))
+              (yyval.slist)=(yyvsp[(4) - (4)].slist);
+            adms_slist_pull(&pproot()->skipp_text);
+            adms_slist_pull(&condistrue);
+          ;}
+    break;
+
+  case 9:
+
+/* Line 1455 of yacc.c  */
+#line 169 "./preprocessorYacc.y"
+    {
+            (yyval.slist)=(yyvsp[(1) - (1)].slist);
+          ;}
+    break;
+
+  case 10:
+
+/* Line 1455 of yacc.c  */
+#line 176 "./preprocessorYacc.y"
+    {
+            p_preprocessor_text newtext=adms_preprocessor_new_text_as_string((yyvsp[(1) - (1)].mystr));
+            (yyval.slist)=adms_slist_new((p_adms)newtext);
+          ;}
+    break;
+
+  case 11:
+
+/* Line 1455 of yacc.c  */
+#line 183 "./preprocessorYacc.y"
+    {
+            p_preprocessor_text newtext=adms_preprocessor_new_text_as_string((yyvsp[(1) - (1)].mystr));
+            (yyval.slist)=adms_slist_new((p_adms)newtext);
+          ;}
+    break;
+
+  case 12:
+
+/* Line 1455 of yacc.c  */
+#line 190 "./preprocessorYacc.y"
+    {
+            (yyval.mystr)=(yyvsp[(1) - (1)].mystr);
+            if(!DONT_SKIPP)
+            {
+              adms_slist_push(&pproot()->skipp_text,INT2ADMS(1));
+              adms_slist_push(&condistrue,INT2ADMS(-1));
+            }
+            else if(adms_preprocessor_identifier_is_def((yyvsp[(1) - (1)].mystr)))
+            {
+              adms_slist_push(&condistrue,INT2ADMS(1));
+              adms_slist_push(&pproot()->skipp_text,INT2ADMS(0));
+            }
+            else
+            {
+              adms_slist_push(&condistrue,INT2ADMS(0));
+              adms_slist_push(&pproot()->skipp_text,INT2ADMS(1));
+            }
+          ;}
+    break;
+
+  case 13:
+
+/* Line 1455 of yacc.c  */
+#line 211 "./preprocessorYacc.y"
+    {
+            (yyval.mystr)=(yyvsp[(1) - (1)].mystr);
+            if(!DONT_SKIPP)
+            {
+              adms_slist_push(&pproot()->skipp_text,INT2ADMS(1));
+              adms_slist_push(&condistrue,INT2ADMS(-1));
+            }
+            else if(adms_preprocessor_identifier_is_ndef((yyvsp[(1) - (1)].mystr)))
+            {
+              adms_slist_push(&condistrue,INT2ADMS(1));
+              adms_slist_push(&pproot()->skipp_text,INT2ADMS(0));
+            }
+            else
+            {
+              adms_slist_push(&condistrue,INT2ADMS(0));
+              adms_slist_push(&pproot()->skipp_text,INT2ADMS(1));
+            }
+          ;}
+    break;
+
+  case 14:
+
+/* Line 1455 of yacc.c  */
+#line 233 "./preprocessorYacc.y"
+    {
+            p_preprocessor_text newtext=adms_preprocessor_new_text_as_string((yyvsp[(1) - (1)].mystr));
+            (yyval.slist)=adms_slist_new((p_adms)newtext);
+            if(condistrue->data==INT2ADMS(0))
+              pproot()->skipp_text->data=INT2ADMS(0);
+            else if(condistrue->data==INT2ADMS(1))
+              pproot()->skipp_text->data=INT2ADMS(1);
+            else
+              pproot()->skipp_text->data=INT2ADMS(1);
+          ;}
+    break;
+
+  case 15:
+
+/* Line 1455 of yacc.c  */
+#line 247 "./preprocessorYacc.y"
+    {
+            p_preprocessor_text newtext=adms_preprocessor_new_text_as_string((yyvsp[(1) - (1)].mystr));
+            (yyval.slist)=adms_slist_new((p_adms)newtext);
+          ;}
+    break;
+
+  case 16:
+
+/* Line 1455 of yacc.c  */
+#line 255 "./preprocessorYacc.y"
+    {
+            p_preprocessor_text newtext;
+            newtext=adms_preprocessor_new_text_as_string((yyvsp[(1) - (1)].mystr));
+            (yyval.slist)=adms_slist_new((p_adms)newtext);
+          ;}
+    break;
+
+  case 17:
+
+/* Line 1455 of yacc.c  */
+#line 264 "./preprocessorYacc.y"
+    {
+            (yyval.mystr)=(yyvsp[(2) - (2)].mystr);
+          ;}
+    break;
+
+  case 18:
+
+/* Line 1455 of yacc.c  */
+#line 271 "./preprocessorYacc.y"
+    {
+            (yyval.slist)=(yyvsp[(1) - (1)].slist);
+          ;}
+    break;
+
+  case 19:
+
+/* Line 1455 of yacc.c  */
+#line 275 "./preprocessorYacc.y"
+    {
+            (yyval.slist)=(yyvsp[(1) - (1)].slist);
+          ;}
+    break;
+
+  case 20:
+
+/* Line 1455 of yacc.c  */
+#line 282 "./preprocessorYacc.y"
+    {
+            (yyval.slist)=(yyvsp[(1) - (1)].slist);
+          ;}
+    break;
+
+  case 21:
+
+/* Line 1455 of yacc.c  */
+#line 286 "./preprocessorYacc.y"
+    {
+            (yyval.slist)=(yyvsp[(1) - (1)].slist);
+          ;}
+    break;
+
+  case 22:
+
+/* Line 1455 of yacc.c  */
+#line 290 "./preprocessorYacc.y"
+    {
+            (yyval.slist)=NULL;
+            if(DONT_SKIPP) adms_preprocessor_identifer_set_undef((yyvsp[(1) - (1)].mystr));
+          ;}
+    break;
+
+  case 23:
+
+/* Line 1455 of yacc.c  */
+#line 295 "./preprocessorYacc.y"
+    {
+            (yyval.slist)=NULL;
+          ;}
+    break;
+
+  case 24:
+
+/* Line 1455 of yacc.c  */
+#line 299 "./preprocessorYacc.y"
+    {
+            char*message=NULL;
+            (yyval.slist)=NULL;
+            K0 KS(pproot()->cr_scanner->cur_message) KS("\n") 
+            adms_preprocessor_add_message(message);
+            free(pproot()->cr_scanner->cur_message);
+            pproot()->cr_scanner->cur_message=NULL;
+          ;}
+    break;
+
+  case 25:
+
+/* Line 1455 of yacc.c  */
+#line 308 "./preprocessorYacc.y"
+    {
+            char*message=NULL;
+            (yyval.slist)=NULL;
+            K0 KS("macro ") KS(pproot()->cr_scanner->cur_message) KS(" badly formed\n")
+            adms_preprocessor_add_message(message);
+            pproot()->error += 1;
+            free(pproot()->cr_scanner->cur_message);
+            pproot()->cr_scanner->cur_message=NULL;
+          ;}
+    break;
+
+  case 26:
+
+/* Line 1455 of yacc.c  */
+#line 321 "./preprocessorYacc.y"
+    {
+            (yyval.slist)=(yyvsp[(1) - (1)].slist);
+          ;}
+    break;
+
+  case 27:
+
+/* Line 1455 of yacc.c  */
+#line 325 "./preprocessorYacc.y"
+    {
+            p_preprocessor_text newtext=adms_preprocessor_new_text_as_string("\n");
+            adms_slist_push(&continuatorList,(p_adms)newtext);
+            (yyval.slist)=NULL;
+          ;}
+    break;
+
+  case 28:
+
+/* Line 1455 of yacc.c  */
+#line 331 "./preprocessorYacc.y"
+    {
+            p_preprocessor_text newtext=adms_preprocessor_new_text_as_string("\n");
+            (yyval.slist)=adms_slist_new((p_adms)newtext);
+            adms_slist_concat(&((yyval.slist)),continuatorList);
+            continuatorList=NULL;
+            ++pproot()->cr_scanner->cur_line_position;
+            pproot()->cr_scanner->cur_char_position=1;
+            pproot()->cr_scanner->cur_continuator_position=NULL;
+          ;}
+    break;
+
+  case 29:
+
+/* Line 1455 of yacc.c  */
+#line 341 "./preprocessorYacc.y"
+    {
+            (yyval.slist)=(yyvsp[(1) - (1)].slist);
+          ;}
+    break;
+
+  case 30:
+
+/* Line 1455 of yacc.c  */
+#line 345 "./preprocessorYacc.y"
+    {
+            char*message=NULL;
+            (yyval.slist)=NULL;
+            K0 KS("macro ") KS(pproot()->cr_scanner->cur_message) KS(" is undefined\n")
+            adms_preprocessor_add_message(message);
+            pproot()->error += 1;
+            free(pproot()->cr_scanner->cur_message);
+            pproot()->cr_scanner->cur_message=NULL;
+          ;}
+    break;
+
+  case 31:
+
+/* Line 1455 of yacc.c  */
+#line 358 "./preprocessorYacc.y"
+    {
+            (yyval.slist)=(yyvsp[(1) - (1)].slist);
+          ;}
+    break;
+
+  case 32:
+
+/* Line 1455 of yacc.c  */
+#line 362 "./preprocessorYacc.y"
+    {
+            p_preprocessor_text newtext1=adms_preprocessor_new_text_as_string("\n");
+            p_preprocessor_text newtext2=adms_preprocessor_new_text_as_string("");
+            adms_slist_push(&continuatorList,(p_adms)newtext1);
+            (yyval.slist)=adms_slist_new((p_adms)newtext2);
+          ;}
+    break;
+
+  case 33:
+
+/* Line 1455 of yacc.c  */
+#line 369 "./preprocessorYacc.y"
+    {
+            p_preprocessor_text newtext=adms_preprocessor_new_text_as_string((yyvsp[(1) - (1)].mystr));
+            (yyval.slist)=adms_slist_new((p_adms)newtext);
+            adms_slist_concat(&((yyval.slist)),continuatorList);
+            continuatorList=NULL;
+            ++pproot()->cr_scanner->cur_line_position;
+            pproot()->cr_scanner->cur_char_position=1;
+            pproot()->cr_scanner->cur_continuator_position=NULL;
+          ;}
+    break;
+
+  case 34:
+
+/* Line 1455 of yacc.c  */
+#line 379 "./preprocessorYacc.y"
+    {
+            (yyval.slist)=(yyvsp[(1) - (1)].slist);
+          ;}
+    break;
+
+  case 35:
+
+/* Line 1455 of yacc.c  */
+#line 383 "./preprocessorYacc.y"
+    {
+            char*message=NULL;
+            (yyval.slist)=NULL;
+            K0 KS("macro ") KS(pproot()->cr_scanner->cur_message) KS(" is undefined\n")
+            adms_preprocessor_add_message(message);
+            pproot()->error += 1;
+            free(pproot()->cr_scanner->cur_message);
+            pproot()->cr_scanner->cur_message=NULL;
+          ;}
+    break;
+
+  case 36:
+
+/* Line 1455 of yacc.c  */
+#line 396 "./preprocessorYacc.y"
+    {
+            p_preprocessor_pragma_define Define=adms_preprocessor_pragma_define_exists((yyvsp[(1) - (1)].mystr));
+            (yyval.slist)=adms_preprocessor_new_text_as_substitutor(Define,NULL);
+          ;}
+    break;
+
+  case 37:
+
+/* Line 1455 of yacc.c  */
+#line 401 "./preprocessorYacc.y"
+    {
+            p_preprocessor_pragma_define Define=adms_preprocessor_pragma_define_exists((yyvsp[(1) - (1)].mystr));
+            (yyval.slist)=adms_preprocessor_new_text_as_substitutor(Define,NULL);
+          ;}
+    break;
+
+  case 38:
+
+/* Line 1455 of yacc.c  */
+#line 406 "./preprocessorYacc.y"
+    {
+            p_preprocessor_pragma_define Define=adms_preprocessor_pragma_define_exists((yyvsp[(1) - (2)].mystr));
+            (yyval.slist)=adms_preprocessor_new_text_as_substitutor(Define,NULL);
+          ;}
+    break;
+
+  case 39:
+
+/* Line 1455 of yacc.c  */
+#line 411 "./preprocessorYacc.y"
+    {
+            char*message=NULL;
+            p_preprocessor_pragma_define Define=adms_preprocessor_pragma_define_exists((yyvsp[(1) - (4)].mystr));
+            (yyval.slist)=adms_preprocessor_new_text_as_substitutor(Define, (yyvsp[(3) - (4)].slist));
+            K0 KS("arguments given to macro `") KS( Define->name) KS("\n")
+            adms_preprocessor_add_message(message);
+            pproot()->error += 1;
+          ;}
+    break;
+
+  case 40:
+
+/* Line 1455 of yacc.c  */
+#line 420 "./preprocessorYacc.y"
+    {
+            char*message=NULL;
+            p_preprocessor_pragma_define Define=adms_preprocessor_pragma_define_exists((yyvsp[(1) - (1)].mystr));
+            (yyval.slist)=adms_preprocessor_new_text_as_substitutor(Define,NULL);
+            K0 KS("macro `") KS(Define->name) KS(" has no argument [") KI(adms_slist_length(Define->arg)) KS(" expected]\n")
+            adms_preprocessor_add_message(message);
+            pproot()->error += 1;
+            adms_slist_push(&((yyval.slist)),(p_adms)(yyvsp[(1) - (1)].mystr));
+          ;}
+    break;
+
+  case 41:
+
+/* Line 1455 of yacc.c  */
+#line 430 "./preprocessorYacc.y"
+    {
+            char*message=NULL;
+            p_preprocessor_pragma_define Define=adms_preprocessor_pragma_define_exists((yyvsp[(1) - (2)].mystr));
+            (yyval.slist)=adms_preprocessor_new_text_as_substitutor(Define,NULL);
+            K0 KS("macro `") KS(Define->name) KS(" has no argument [") KI(adms_slist_length(Define->arg)) KS(" expected]\n")
+            adms_preprocessor_add_message(message);
+            pproot()->error += 1;
+          ;}
+    break;
+
+  case 42:
+
+/* Line 1455 of yacc.c  */
+#line 439 "./preprocessorYacc.y"
+    {
+            p_preprocessor_pragma_define Define=adms_preprocessor_pragma_define_exists((yyvsp[(1) - (4)].mystr));
+            {
+              if(adms_slist_length((yyvsp[(3) - (4)].slist)) == adms_slist_length(Define->arg))
+              {
+              }
+              else if(adms_slist_length((yyvsp[(3) - (4)].slist)) > adms_slist_length(Define->arg))
+              {
+                if(adms_slist_length((yyvsp[(3) - (4)].slist)) == 1)
+                {
+                  char*message=NULL;
+                  K0 KS("macro `") KS(Define->name) KS(" has one argument [") KI(adms_slist_length(Define->arg)) KS(" expected]\n")
+                  adms_preprocessor_add_message(message);
+                }
+                else
+                {
+                  char*message=NULL;
+                  K0 KS("macro `") KS(Define->name) KS(" has too many (") KI(adms_slist_length((yyvsp[(3) - (4)].slist))) KS(") arguments\n") 
+                  adms_preprocessor_add_message(message);
+                }
+                pproot()->error += 1;
+              }
+              else
+              {
+                if(adms_slist_length((yyvsp[(3) - (4)].slist)) == 1)
+                {
+                  char*message=NULL;
+                  K0 KS("macro `") KS(Define->name) KS(" has one argument [") KI(adms_slist_length(Define->arg)) KS(" expected]\n")
+                  adms_preprocessor_add_message(message);
+                }
+                else
+                {
+                  char*message=NULL;
+                  K0 KS("macro `") KS(Define->name) KS(" has too few (") KI(adms_slist_length((yyvsp[(3) - (4)].slist))) KS(") arguments\n")
+                  adms_preprocessor_add_message(message);
+                }
+                pproot()->error += 1;
+              }
+            }
+            (yyval.slist)=adms_preprocessor_new_text_as_substitutor(Define, (yyvsp[(3) - (4)].slist));
+          ;}
+    break;
+
+  case 43:
+
+/* Line 1455 of yacc.c  */
+#line 484 "./preprocessorYacc.y"
+    {
+            (yyval.mystr)=(yyvsp[(1) - (2)].mystr);
+          ;}
+    break;
+
+  case 44:
+
+/* Line 1455 of yacc.c  */
+#line 488 "./preprocessorYacc.y"
+    {
+            (yyval.mystr)=(yyvsp[(1) - (1)].mystr);
+          ;}
+    break;
+
+  case 45:
+
+/* Line 1455 of yacc.c  */
+#line 495 "./preprocessorYacc.y"
+    {
+            (yyval.mystr)=(yyvsp[(1) - (2)].mystr);
+          ;}
+    break;
+
+  case 46:
+
+/* Line 1455 of yacc.c  */
+#line 499 "./preprocessorYacc.y"
+    {
+            (yyval.mystr)=(yyvsp[(1) - (1)].mystr);
+          ;}
+    break;
+
+  case 47:
+
+/* Line 1455 of yacc.c  */
+#line 506 "./preprocessorYacc.y"
+    {
+          ;}
+    break;
+
+  case 48:
+
+/* Line 1455 of yacc.c  */
+#line 512 "./preprocessorYacc.y"
+    {
+            (yyval.slist)=adms_slist_new((p_adms)(yyvsp[(1) - (1)].slist));
+          ;}
+    break;
+
+  case 49:
+
+/* Line 1455 of yacc.c  */
+#line 516 "./preprocessorYacc.y"
+    {
+            adms_slist_push(&((yyvsp[(1) - (3)].slist)),(p_adms)(yyvsp[(3) - (3)].slist));
+            (yyval.slist)=(yyvsp[(1) - (3)].slist);
+          ;}
+    break;
+
+  case 50:
+
+/* Line 1455 of yacc.c  */
+#line 524 "./preprocessorYacc.y"
+    {
+            (yyval.slist)=(yyvsp[(1) - (1)].slist);
+          ;}
+    break;
+
+  case 51:
+
+/* Line 1455 of yacc.c  */
+#line 528 "./preprocessorYacc.y"
+    {
+            (yyval.slist)=(yyvsp[(2) - (2)].slist);
+            adms_slist_concat(&((yyval.slist)),(yyvsp[(1) - (2)].slist));
+          ;}
+    break;
+
+  case 52:
+
+/* Line 1455 of yacc.c  */
+#line 536 "./preprocessorYacc.y"
+    {
+            (yyval.slist)=(yyvsp[(1) - (1)].slist);
+          ;}
+    break;
+
+  case 53:
+
+/* Line 1455 of yacc.c  */
+#line 540 "./preprocessorYacc.y"
+    {
+            p_preprocessor_text comma=adms_preprocessor_new_text_as_string(",");
+            adms_slist_push(&((yyvsp[(1) - (3)].slist)),(p_adms)comma);
+            (yyval.slist)=(yyvsp[(3) - (3)].slist);
+            adms_slist_concat(&((yyval.slist)),(yyvsp[(1) - (3)].slist));
+          ;}
+    break;
+
+  case 54:
+
+/* Line 1455 of yacc.c  */
+#line 549 "./preprocessorYacc.y"
+    {
+            p_preprocessor_text newtext=adms_preprocessor_new_text_as_string((yyvsp[(1) - (1)].mystr));
+            (yyval.slist)=adms_slist_new((p_adms)newtext);
+          ;}
+    break;
+
+  case 55:
+
+/* Line 1455 of yacc.c  */
+#line 554 "./preprocessorYacc.y"
+    {
+            p_preprocessor_text newtext=adms_preprocessor_new_text_as_string((yyvsp[(1) - (1)].mystr));
+            (yyval.slist)=adms_slist_new((p_adms)newtext);
+          ;}
+    break;
+
+  case 56:
+
+/* Line 1455 of yacc.c  */
+#line 559 "./preprocessorYacc.y"
+    {
+            p_preprocessor_text newtext=adms_preprocessor_new_text_as_string("\n");
+            ++pproot()->cr_scanner->cur_line_position;
+            pproot()->cr_scanner->cur_char_position=1;
+            pproot()->cr_scanner->cur_continuator_position=NULL;
+            (yyval.slist)=adms_slist_new((p_adms)newtext);
+          ;}
+    break;
+
+  case 57:
+
+/* Line 1455 of yacc.c  */
+#line 567 "./preprocessorYacc.y"
+    {
+            p_preprocessor_text lparen=adms_preprocessor_new_text_as_string("(");
+            p_preprocessor_text rparen=adms_preprocessor_new_text_as_string(")");
+            (yyval.slist)=(yyvsp[(2) - (3)].slist);
+            adms_slist_concat(&((yyval.slist)),adms_slist_new((p_adms)lparen));
+            adms_slist_push(&((yyval.slist)),(p_adms)rparen);
+          ;}
+    break;
+
+  case 58:
+
+/* Line 1455 of yacc.c  */
+#line 575 "./preprocessorYacc.y"
+    {
+            p_preprocessor_text lparen=adms_preprocessor_new_text_as_string("(");
+            p_preprocessor_text rparen=adms_preprocessor_new_text_as_string(")");
+            (yyval.slist)=adms_slist_new((p_adms)lparen);
+            adms_slist_push(&((yyval.slist)),(p_adms)rparen);
+          ;}
+    break;
+
+  case 59:
+
+/* Line 1455 of yacc.c  */
+#line 582 "./preprocessorYacc.y"
+    {
+            p_preprocessor_text newtext=adms_preprocessor_new_text_as_string((yyvsp[(1) - (1)].mystr));
+            (yyval.slist)=adms_slist_new((p_adms)newtext);
+          ;}
+    break;
+
+  case 60:
+
+/* Line 1455 of yacc.c  */
+#line 587 "./preprocessorYacc.y"
+    {
+            p_preprocessor_text newtext=adms_preprocessor_new_text_as_string((yyvsp[(1) - (1)].mystr));
+            (yyval.slist)=adms_slist_new((p_adms)newtext);
+          ;}
+    break;
+
+  case 61:
+
+/* Line 1455 of yacc.c  */
+#line 592 "./preprocessorYacc.y"
+    {
+            p_preprocessor_text newtext=adms_preprocessor_new_text_as_string((yyvsp[(1) - (1)].mystr));
+            (yyval.slist)=adms_slist_new((p_adms)newtext);
+          ;}
+    break;
+
+  case 62:
+
+/* Line 1455 of yacc.c  */
+#line 597 "./preprocessorYacc.y"
+    {
+            (yyval.slist)=(yyvsp[(1) - (1)].slist);
+          ;}
+    break;
+
+  case 63:
+
+/* Line 1455 of yacc.c  */
+#line 604 "./preprocessorYacc.y"
+    {
+            p_preprocessor_text newtext=adms_preprocessor_new_text_as_string("(");
+            (yyval.slist)=adms_slist_new((p_adms)newtext);
+          ;}
+    break;
+
+  case 64:
+
+/* Line 1455 of yacc.c  */
+#line 609 "./preprocessorYacc.y"
+    {
+            p_preprocessor_text newtext=adms_preprocessor_new_text_as_string(")");
+            (yyval.slist)=adms_slist_new((p_adms)newtext);
+          ;}
+    break;
+
+  case 65:
+
+/* Line 1455 of yacc.c  */
+#line 614 "./preprocessorYacc.y"
+    {
+            p_preprocessor_text newtext=adms_preprocessor_new_text_as_string(",");
+            (yyval.slist)=adms_slist_new((p_adms)newtext);
+          ;}
+    break;
+
+  case 66:
+
+/* Line 1455 of yacc.c  */
+#line 619 "./preprocessorYacc.y"
+    {
+            p_preprocessor_text newtext=adms_preprocessor_new_text_as_string((yyvsp[(1) - (1)].mystr));
+            (yyval.slist)=adms_slist_new((p_adms)newtext);
+          ;}
+    break;
+
+  case 67:
+
+/* Line 1455 of yacc.c  */
+#line 624 "./preprocessorYacc.y"
+    {
+            p_preprocessor_text newtext=adms_preprocessor_new_text_as_string((yyvsp[(1) - (1)].mystr));
+            (yyval.slist)=adms_slist_new((p_adms)newtext);
+          ;}
+    break;
+
+  case 68:
+
+/* Line 1455 of yacc.c  */
+#line 629 "./preprocessorYacc.y"
+    {
+            p_preprocessor_text newtext=adms_preprocessor_new_text_as_string((yyvsp[(1) - (1)].mystr));
+            (yyval.slist)=adms_slist_new((p_adms)newtext);
+          ;}
+    break;
+
+  case 69:
+
+/* Line 1455 of yacc.c  */
+#line 634 "./preprocessorYacc.y"
+    {
+            p_preprocessor_text newtext=adms_preprocessor_new_text_as_string((yyvsp[(1) - (1)].mystr));
+            (yyval.slist)=adms_slist_new((p_adms)newtext);
+          ;}
+    break;
+
+  case 70:
+
+/* Line 1455 of yacc.c  */
+#line 639 "./preprocessorYacc.y"
+    {
+            p_preprocessor_text newtext=adms_preprocessor_new_text_as_string((yyvsp[(1) - (1)].mystr));
+            (yyval.slist)=adms_slist_new((p_adms)newtext);
+          ;}
+    break;
+
+  case 71:
+
+/* Line 1455 of yacc.c  */
+#line 644 "./preprocessorYacc.y"
+    {
+            p_preprocessor_text newtext=adms_preprocessor_new_text_as_string((yyvsp[(1) - (1)].mystr));
+            (yyval.slist)=adms_slist_new((p_adms)newtext);
+          ;}
+    break;
+
+  case 72:
+
+/* Line 1455 of yacc.c  */
+#line 652 "./preprocessorYacc.y"
+    {
+            p_preprocessor_pragma_define Define;
+            if(DONT_SKIPP) Define=adms_preprocessor_define_add((yyvsp[(1) - (2)].mystr));
+            (yyval.slist)=NULL;
+          ;}
+    break;
+
+  case 73:
+
+/* Line 1455 of yacc.c  */
+#line 658 "./preprocessorYacc.y"
+    {
+            p_preprocessor_pragma_define Define;
+            if(DONT_SKIPP) Define=adms_preprocessor_define_add_with_text((yyvsp[(1) - (3)].mystr), (yyvsp[(2) - (3)].slist));
+            (yyval.slist)=NULL;
+          ;}
+    break;
+
+  case 74:
+
+/* Line 1455 of yacc.c  */
+#line 664 "./preprocessorYacc.y"
+    {
+            p_preprocessor_pragma_define Define;
+            if(DONT_SKIPP) Define=adms_preprocessor_define_add_with_arg((yyvsp[(1) - (3)].mystr), NULL);
+            (yyval.slist)=NULL;
+          ;}
+    break;
+
+  case 75:
+
+/* Line 1455 of yacc.c  */
+#line 670 "./preprocessorYacc.y"
+    {
+            p_preprocessor_pragma_define Define;
+            if(DONT_SKIPP) Define=adms_preprocessor_define_add_with_arg_and_text((yyvsp[(1) - (4)].mystr), NULL, (yyvsp[(3) - (4)].slist));
+            (yyval.slist)=NULL;
+          ;}
+    break;
+
+  case 76:
+
+/* Line 1455 of yacc.c  */
+#line 676 "./preprocessorYacc.y"
+    {
+            p_preprocessor_pragma_define Define;
+            if(DONT_SKIPP) Define=adms_preprocessor_define_add_with_arg((yyvsp[(1) - (3)].mystr), (yyvsp[(2) - (3)].slist));
+            (yyval.slist)=NULL;
+          ;}
+    break;
+
+  case 77:
+
+/* Line 1455 of yacc.c  */
+#line 682 "./preprocessorYacc.y"
+    {
+            p_preprocessor_pragma_define Define;
+            if(DONT_SKIPP) Define=adms_preprocessor_define_add_with_arg_and_text((yyvsp[(1) - (4)].mystr), (yyvsp[(2) - (4)].slist), (yyvsp[(3) - (4)].slist));
+            (yyval.slist)=NULL;
+          ;}
+    break;
+
+  case 78:
+
+/* Line 1455 of yacc.c  */
+#line 691 "./preprocessorYacc.y"
+    {
+            (yyval.mystr)=(yyvsp[(2) - (2)].mystr);
+          ;}
+    break;
+
+  case 79:
+
+/* Line 1455 of yacc.c  */
+#line 698 "./preprocessorYacc.y"
+    {
+            (yyval.slist)=adms_slist_new((p_adms)(yyvsp[(1) - (1)].mystr));
+          ;}
+    break;
+
+  case 80:
+
+/* Line 1455 of yacc.c  */
+#line 702 "./preprocessorYacc.y"
+    {
+            adms_slist_push(&((yyvsp[(1) - (2)].slist)),(p_adms)(yyvsp[(2) - (2)].mystr));
+            (yyval.slist)=(yyvsp[(1) - (2)].slist);
+          ;}
+    break;
+
+  case 81:
+
+/* Line 1455 of yacc.c  */
+#line 710 "./preprocessorYacc.y"
+    {
+            (yyval.slist)=(yyvsp[(1) - (1)].slist);
+          ;}
+    break;
+
+  case 82:
+
+/* Line 1455 of yacc.c  */
+#line 714 "./preprocessorYacc.y"
+    {
+            (yyval.slist)=(yyvsp[(2) - (2)].slist);
+            adms_slist_concat(&((yyval.slist)),(yyvsp[(1) - (2)].slist));
+          ;}
+    break;
+
+
+
+/* Line 1455 of yacc.c  */
+#line 2506 "y.tab.c"
+      default: break;
+    }
+  YY_SYMBOL_PRINT ("-> $$ =", yyr1[yyn], &yyval, &yyloc);
+
+  YYPOPSTACK (yylen);
+  yylen = 0;
+  YY_STACK_PRINT (yyss, yyssp);
+
+  *++yyvsp = yyval;
+
+  /* Now `shift' the result of the reduction.  Determine what state
+     that goes to, based on the state we popped back to and the rule
+     number reduced by.  */
+
+  yyn = yyr1[yyn];
+
+  yystate = yypgoto[yyn - YYNTOKENS] + *yyssp;
+  if (0 <= yystate && yystate <= YYLAST && yycheck[yystate] == *yyssp)
+    yystate = yytable[yystate];
+  else
+    yystate = yydefgoto[yyn - YYNTOKENS];
+
+  goto yynewstate;
+
+
+/*------------------------------------.
+| yyerrlab -- here on detecting error |
+`------------------------------------*/
+yyerrlab:
+  /* If not already recovering from an error, report this error.  */
+  if (!yyerrstatus)
+    {
+      ++yynerrs;
+#if ! YYERROR_VERBOSE
+      yyerror (YY_("syntax error"));
+#else
+      {
+	YYSIZE_T yysize = yysyntax_error (0, yystate, yychar);
+	if (yymsg_alloc < yysize && yymsg_alloc < YYSTACK_ALLOC_MAXIMUM)
+	  {
+	    YYSIZE_T yyalloc = 2 * yysize;
+	    if (! (yysize <= yyalloc && yyalloc <= YYSTACK_ALLOC_MAXIMUM))
+	      yyalloc = YYSTACK_ALLOC_MAXIMUM;
+	    if (yymsg != yymsgbuf)
+	      YYSTACK_FREE (yymsg);
+	    yymsg = (char *) YYSTACK_ALLOC (yyalloc);
+	    if (yymsg)
+	      yymsg_alloc = yyalloc;
+	    else
+	      {
+		yymsg = yymsgbuf;
+		yymsg_alloc = sizeof yymsgbuf;
+	      }
+	  }
+
+	if (0 < yysize && yysize <= yymsg_alloc)
+	  {
+	    (void) yysyntax_error (yymsg, yystate, yychar);
+	    yyerror (yymsg);
+	  }
+	else
+	  {
+	    yyerror (YY_("syntax error"));
+	    if (yysize != 0)
+	      goto yyexhaustedlab;
+	  }
+      }
+#endif
+    }
+
+
+
+  if (yyerrstatus == 3)
+    {
+      /* If just tried and failed to reuse lookahead token after an
+	 error, discard it.  */
+
+      if (yychar <= YYEOF)
+	{
+	  /* Return failure if at end of input.  */
+	  if (yychar == YYEOF)
+	    YYABORT;
+	}
+      else
+	{
+	  yydestruct ("Error: discarding",
+		      yytoken, &yylval);
+	  yychar = YYEMPTY;
+	}
+    }
+
+  /* Else will try to reuse lookahead token after shifting the error
+     token.  */
+  goto yyerrlab1;
+
+
+/*---------------------------------------------------.
+| yyerrorlab -- error raised explicitly by YYERROR.  |
+`---------------------------------------------------*/
+yyerrorlab:
+
+  /* Pacify compilers like GCC when the user code never invokes
+     YYERROR and the label yyerrorlab therefore never appears in user
+     code.  */
+  if (/*CONSTCOND*/ 0)
+     goto yyerrorlab;
+
+  /* Do not reclaim the symbols of the rule which action triggered
+     this YYERROR.  */
+  YYPOPSTACK (yylen);
+  yylen = 0;
+  YY_STACK_PRINT (yyss, yyssp);
+  yystate = *yyssp;
+  goto yyerrlab1;
+
+
+/*-------------------------------------------------------------.
+| yyerrlab1 -- common code for both syntax error and YYERROR.  |
+`-------------------------------------------------------------*/
+yyerrlab1:
+  yyerrstatus = 3;	/* Each real token shifted decrements this.  */
+
+  for (;;)
+    {
+      yyn = yypact[yystate];
+      if (yyn != YYPACT_NINF)
+	{
+	  yyn += YYTERROR;
+	  if (0 <= yyn && yyn <= YYLAST && yycheck[yyn] == YYTERROR)
+	    {
+	      yyn = yytable[yyn];
+	      if (0 < yyn)
+		break;
+	    }
+	}
+
+      /* Pop the current state because it cannot handle the error token.  */
+      if (yyssp == yyss)
+	YYABORT;
+
+
+      yydestruct ("Error: popping",
+		  yystos[yystate], yyvsp);
+      YYPOPSTACK (1);
+      yystate = *yyssp;
+      YY_STACK_PRINT (yyss, yyssp);
+    }
+
+  *++yyvsp = yylval;
+
+
+  /* Shift the error token.  */
+  YY_SYMBOL_PRINT ("Shifting", yystos[yyn], yyvsp, yylsp);
+
+  yystate = yyn;
+  goto yynewstate;
+
+
+/*-------------------------------------.
+| yyacceptlab -- YYACCEPT comes here.  |
+`-------------------------------------*/
+yyacceptlab:
+  yyresult = 0;
+  goto yyreturn;
+
+/*-----------------------------------.
+| yyabortlab -- YYABORT comes here.  |
+`-----------------------------------*/
+yyabortlab:
+  yyresult = 1;
+  goto yyreturn;
+
+#if !defined(yyoverflow) || YYERROR_VERBOSE
+/*-------------------------------------------------.
+| yyexhaustedlab -- memory exhaustion comes here.  |
+`-------------------------------------------------*/
+yyexhaustedlab:
+  yyerror (YY_("memory exhausted"));
+  yyresult = 2;
+  /* Fall through.  */
+#endif
+
+yyreturn:
+  if (yychar != YYEMPTY)
+     yydestruct ("Cleanup: discarding lookahead",
+		 yytoken, &yylval);
+  /* Do not reclaim the symbols of the rule which action triggered
+     this YYABORT or YYACCEPT.  */
+  YYPOPSTACK (yylen);
+  YY_STACK_PRINT (yyss, yyssp);
+  while (yyssp != yyss)
+    {
+      yydestruct ("Cleanup: popping",
+		  yystos[*yyssp], yyvsp);
+      YYPOPSTACK (1);
+    }
+#ifndef yyoverflow
+  if (yyss != yyssa)
+    YYSTACK_FREE (yyss);
+#endif
+#if YYERROR_VERBOSE
+  if (yymsg != yymsgbuf)
+    YYSTACK_FREE (yymsg);
+#endif
+  /* Make sure YYID is used.  */
+  return YYID (yyresult);
+}
+
+
+
+/* Line 1675 of yacc.c  */
+#line 720 "./preprocessorYacc.y"
+
+
+int adms_preprocessor_getint_yydebug(void)
+  {
+    return yydebug;
+  }
+void adms_preprocessor_setint_yydebug(const int val)
+  {
+    yydebug=val;
+  }
+
diff --git a/admsXml/preprocessorYacc.h b/admsXml/preprocessorYacc.h
new file mode 100644
index 0000000..4c51a4a
--- /dev/null
+++ b/admsXml/preprocessorYacc.h
@@ -0,0 +1,98 @@
+
+/* A Bison parser, made by GNU Bison 2.4.1.  */
+
+/* Skeleton interface for Bison's Yacc-like parsers in C
+   
+      Copyright (C) 1984, 1989, 1990, 2000, 2001, 2002, 2003, 2004, 2005, 2006
+   Free Software Foundation, Inc.
+   
+   This program is free software: you can redistribute it and/or modify
+   it under the terms of the GNU General Public License as published by
+   the Free Software Foundation, either version 3 of the License, or
+   (at your option) any later version.
+   
+   This program is distributed in the hope that it will be useful,
+   but WITHOUT ANY WARRANTY; without even the implied warranty of
+   MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+   GNU General Public License for more details.
+   
+   You should have received a copy of the GNU General Public License
+   along with this program.  If not, see <http://www.gnu.org/licenses/>.  */
+
+/* As a special exception, you may create a larger work that contains
+   part or all of the Bison parser skeleton and distribute that work
+   under terms of your choice, so long as that work isn't itself a
+   parser generator using the skeleton or a modified version thereof
+   as a parser skeleton.  Alternatively, if you modify or redistribute
+   the parser skeleton itself, you may (at your option) remove this
+   special exception, which will cause the skeleton and the resulting
+   Bison output files to be licensed under the GNU General Public
+   License without this special exception.
+   
+   This special exception was added by the Free Software Foundation in
+   version 2.2 of Bison.  */
+
+
+/* Tokens.  */
+#ifndef YYTOKENTYPE
+# define YYTOKENTYPE
+   /* Put the tokens into the symbol table, so that GDB and other debuggers
+      know about them.  */
+   enum yytokentype {
+     TK_PRAGMA_NAME = 258,
+     TK_IDENT = 259,
+     TK_STRING = 260,
+     TK_NOT_IDENT = 261,
+     TK_ARG = 262,
+     TK_ARG_NULL = 263,
+     TK_SUBSTITUTOR_NOARG = 264,
+     TK_SUBSTITUTOR_NULLARG = 265,
+     TK_SUBSTITUTOR_NULLARG_ALONE = 266,
+     TK_SUBSTITUTOR_WITHARG = 267,
+     TK_SUBSTITUTOR_WITHARG_ALONE = 268,
+     TK_CONTINUATOR = 269,
+     TK_NOPRAGMA_CONTINUATOR = 270,
+     TK_EOL = 271,
+     TK_EOF = 272,
+     TK_COMMENT = 273,
+     TK_INCLUDE = 274,
+     TK_SPACE = 275,
+     TK_ERROR_PRAGMA_DEFINITION = 276,
+     TK_ERROR_PRAGMA_NOT_FOUND = 277,
+     TK_ERROR_UNEXPECTED_CHAR = 278,
+     TK_ERROR_FILE_OPEN = 279,
+     TK_DEFINE = 280,
+     TK_DEFINE_END = 281,
+     TK_UNDEF = 282,
+     TK_IFDEF = 283,
+     TK_IFNDEF = 284,
+     TK_ELSE = 285,
+     TK_ENDIF = 286
+   };
+#endif
+
+
+
+#if ! defined YYSTYPE && ! defined YYSTYPE_IS_DECLARED
+typedef union YYSTYPE
+{
+
+/* Line 1676 of yacc.c  */
+#line 17 "./preprocessorYacc.y"
+
+  p_slist slist;
+  char* mystr;
+
+
+
+/* Line 1676 of yacc.c  */
+#line 90 "y.tab.h"
+} YYSTYPE;
+# define YYSTYPE_IS_TRIVIAL 1
+# define yystype YYSTYPE /* obsolescent; will be withdrawn */
+# define YYSTYPE_IS_DECLARED 1
+#endif
+
+extern YYSTYPE preprocessorlval;
+
+
diff --git a/admsXml/verilogaLex.c b/admsXml/verilogaLex.c
new file mode 100644
index 0000000..dc3e934
--- /dev/null
+++ b/admsXml/verilogaLex.c
@@ -0,0 +1,2513 @@
+#line 2 "verilogaLex.c"
+
+#line 4 "verilogaLex.c"
+
+#define  YY_INT_ALIGNED short int
+
+/* A lexical scanner generated by flex */
+
+#define yy_create_buffer veriloga_create_buffer
+#define yy_delete_buffer veriloga_delete_buffer
+#define yy_flex_debug veriloga_flex_debug
+#define yy_init_buffer veriloga_init_buffer
+#define yy_flush_buffer veriloga_flush_buffer
+#define yy_load_buffer_state veriloga_load_buffer_state
+#define yy_switch_to_buffer veriloga_switch_to_buffer
+#define yyin verilogain
+#define yyleng verilogaleng
+#define yylex verilogalex
+#define yylineno verilogalineno
+#define yyout verilogaout
+#define yyrestart verilogarestart
+#define yytext verilogatext
+#define yywrap verilogawrap
+#define yyalloc verilogaalloc
+#define yyrealloc verilogarealloc
+#define yyfree verilogafree
+
+#define FLEX_SCANNER
+#define YY_FLEX_MAJOR_VERSION 2
+#define YY_FLEX_MINOR_VERSION 5
+#define YY_FLEX_SUBMINOR_VERSION 35
+#if YY_FLEX_SUBMINOR_VERSION > 0
+#define FLEX_BETA
+#endif
+
+/* First, we deal with  platform-specific or compiler-specific issues. */
+
+/* begin standard C headers. */
+#include <stdio.h>
+#include <string.h>
+#include <errno.h>
+#include <stdlib.h>
+
+/* end standard C headers. */
+
+/* flex integer type definitions */
+
+#ifndef FLEXINT_H
+#define FLEXINT_H
+
+/* C99 systems have <inttypes.h>. Non-C99 systems may or may not. */
+
+#if defined (__STDC_VERSION__) && __STDC_VERSION__ >= 199901L
+
+/* C99 says to define __STDC_LIMIT_MACROS before including stdint.h,
+ * if you want the limit (max/min) macros for int types. 
+ */
+#ifndef __STDC_LIMIT_MACROS
+#define __STDC_LIMIT_MACROS 1
+#endif
+
+#include <inttypes.h>
+typedef int8_t flex_int8_t;
+typedef uint8_t flex_uint8_t;
+typedef int16_t flex_int16_t;
+typedef uint16_t flex_uint16_t;
+typedef int32_t flex_int32_t;
+typedef uint32_t flex_uint32_t;
+#else
+typedef signed char flex_int8_t;
+typedef short int flex_int16_t;
+typedef int flex_int32_t;
+typedef unsigned char flex_uint8_t; 
+typedef unsigned short int flex_uint16_t;
+typedef unsigned int flex_uint32_t;
+#endif /* ! C99 */
+
+/* Limits of integral types. */
+#ifndef INT8_MIN
+#define INT8_MIN               (-128)
+#endif
+#ifndef INT16_MIN
+#define INT16_MIN              (-32767-1)
+#endif
+#ifndef INT32_MIN
+#define INT32_MIN              (-2147483647-1)
+#endif
+#ifndef INT8_MAX
+#define INT8_MAX               (127)
+#endif
+#ifndef INT16_MAX
+#define INT16_MAX              (32767)
+#endif
+#ifndef INT32_MAX
+#define INT32_MAX              (2147483647)
+#endif
+#ifndef UINT8_MAX
+#define UINT8_MAX              (255U)
+#endif
+#ifndef UINT16_MAX
+#define UINT16_MAX             (65535U)
+#endif
+#ifndef UINT32_MAX
+#define UINT32_MAX             (4294967295U)
+#endif
+
+#endif /* ! FLEXINT_H */
+
+#ifdef __cplusplus
+
+/* The "const" storage-class-modifier is valid. */
+#define YY_USE_CONST
+
+#else	/* ! __cplusplus */
+
+/* C99 requires __STDC__ to be defined as 1. */
+#if defined (__STDC__)
+
+#define YY_USE_CONST
+
+#endif	/* defined (__STDC__) */
+#endif	/* ! __cplusplus */
+
+#ifdef YY_USE_CONST
+#define yyconst const
+#else
+#define yyconst
+#endif
+
+/* Returned upon end-of-file. */
+#define YY_NULL 0
+
+/* Promotes a possibly negative, possibly signed char to an unsigned
+ * integer for use as an array index.  If the signed char is negative,
+ * we want to instead treat it as an 8-bit unsigned char, hence the
+ * double cast.
+ */
+#define YY_SC_TO_UI(c) ((unsigned int) (unsigned char) c)
+
+/* Enter a start condition.  This macro really ought to take a parameter,
+ * but we do it the disgusting crufty way forced on us by the ()-less
+ * definition of BEGIN.
+ */
+#define BEGIN (yy_start) = 1 + 2 *
+
+/* Translate the current start state into a value that can be later handed
+ * to BEGIN to return to the state.  The YYSTATE alias is for lex
+ * compatibility.
+ */
+#define YY_START (((yy_start) - 1) / 2)
+#define YYSTATE YY_START
+
+/* Action number for EOF rule of a given start state. */
+#define YY_STATE_EOF(state) (YY_END_OF_BUFFER + state + 1)
+
+/* Special action meaning "start processing a new file". */
+#define YY_NEW_FILE verilogarestart(verilogain  )
+
+#define YY_END_OF_BUFFER_CHAR 0
+
+/* Size of default input buffer. */
+#ifndef YY_BUF_SIZE
+#define YY_BUF_SIZE 16384
+#endif
+
+/* The state buf must be large enough to hold one state per character in the main buffer.
+ */
+#define YY_STATE_BUF_SIZE   ((YY_BUF_SIZE + 2) * sizeof(yy_state_type))
+
+#ifndef YY_TYPEDEF_YY_BUFFER_STATE
+#define YY_TYPEDEF_YY_BUFFER_STATE
+typedef struct yy_buffer_state *YY_BUFFER_STATE;
+#endif
+
+extern int verilogaleng;
+
+extern FILE *verilogain, *verilogaout;
+
+#define EOB_ACT_CONTINUE_SCAN 0
+#define EOB_ACT_END_OF_FILE 1
+#define EOB_ACT_LAST_MATCH 2
+
+    #define YY_LESS_LINENO(n)
+    
+/* Return all but the first "n" matched characters back to the input stream. */
+#define yyless(n) \
+	do \
+		{ \
+		/* Undo effects of setting up verilogatext. */ \
+        int yyless_macro_arg = (n); \
+        YY_LESS_LINENO(yyless_macro_arg);\
+		*yy_cp = (yy_hold_char); \
+		YY_RESTORE_YY_MORE_OFFSET \
+		(yy_c_buf_p) = yy_cp = yy_bp + yyless_macro_arg - YY_MORE_ADJ; \
+		YY_DO_BEFORE_ACTION; /* set up verilogatext again */ \
+		} \
+	while ( 0 )
+
+#define unput(c) yyunput( c, (yytext_ptr)  )
+
+#ifndef YY_TYPEDEF_YY_SIZE_T
+#define YY_TYPEDEF_YY_SIZE_T
+typedef size_t yy_size_t;
+#endif
+
+#ifndef YY_STRUCT_YY_BUFFER_STATE
+#define YY_STRUCT_YY_BUFFER_STATE
+struct yy_buffer_state
+	{
+	FILE *yy_input_file;
+
+	char *yy_ch_buf;		/* input buffer */
+	char *yy_buf_pos;		/* current position in input buffer */
+
+	/* Size of input buffer in bytes, not including room for EOB
+	 * characters.
+	 */
+	yy_size_t yy_buf_size;
+
+	/* Number of characters read into yy_ch_buf, not including EOB
+	 * characters.
+	 */
+	int yy_n_chars;
+
+	/* Whether we "own" the buffer - i.e., we know we created it,
+	 * and can realloc() it to grow it, and should free() it to
+	 * delete it.
+	 */
+	int yy_is_our_buffer;
+
+	/* Whether this is an "interactive" input source; if so, and
+	 * if we're using stdio for input, then we want to use getc()
+	 * instead of fread(), to make sure we stop fetching input after
+	 * each newline.
+	 */
+	int yy_is_interactive;
+
+	/* Whether we're considered to be at the beginning of a line.
+	 * If so, '^' rules will be active on the next match, otherwise
+	 * not.
+	 */
+	int yy_at_bol;
+
+    int yy_bs_lineno; /**< The line count. */
+    int yy_bs_column; /**< The column count. */
+    
+	/* Whether to try to fill the input buffer when we reach the
+	 * end of it.
+	 */
+	int yy_fill_buffer;
+
+	int yy_buffer_status;
+
+#define YY_BUFFER_NEW 0
+#define YY_BUFFER_NORMAL 1
+	/* When an EOF's been seen but there's still some text to process
+	 * then we mark the buffer as YY_EOF_PENDING, to indicate that we
+	 * shouldn't try reading from the input source any more.  We might
+	 * still have a bunch of tokens to match, though, because of
+	 * possible backing-up.
+	 *
+	 * When we actually see the EOF, we change the status to "new"
+	 * (via verilogarestart()), so that the user can continue scanning by
+	 * just pointing verilogain at a new input file.
+	 */
+#define YY_BUFFER_EOF_PENDING 2
+
+	};
+#endif /* !YY_STRUCT_YY_BUFFER_STATE */
+
+/* Stack of input buffers. */
+static size_t yy_buffer_stack_top = 0; /**< index of top of stack. */
+static size_t yy_buffer_stack_max = 0; /**< capacity of stack. */
+static YY_BUFFER_STATE * yy_buffer_stack = 0; /**< Stack as an array. */
+
+/* We provide macros for accessing buffer states in case in the
+ * future we want to put the buffer states in a more general
+ * "scanner state".
+ *
+ * Returns the top of the stack, or NULL.
+ */
+#define YY_CURRENT_BUFFER ( (yy_buffer_stack) \
+                          ? (yy_buffer_stack)[(yy_buffer_stack_top)] \
+                          : NULL)
+
+/* Same as previous macro, but useful when we know that the buffer stack is not
+ * NULL or when we need an lvalue. For internal use only.
+ */
+#define YY_CURRENT_BUFFER_LVALUE (yy_buffer_stack)[(yy_buffer_stack_top)]
+
+/* yy_hold_char holds the character lost when verilogatext is formed. */
+static char yy_hold_char;
+static int yy_n_chars;		/* number of characters read into yy_ch_buf */
+int verilogaleng;
+
+/* Points to current character in buffer. */
+static char *yy_c_buf_p = (char *) 0;
+static int yy_init = 0;		/* whether we need to initialize */
+static int yy_start = 0;	/* start state number */
+
+/* Flag which is used to allow verilogawrap()'s to do buffer switches
+ * instead of setting up a fresh verilogain.  A bit of a hack ...
+ */
+static int yy_did_buffer_switch_on_eof;
+
+void verilogarestart (FILE *input_file  );
+void veriloga_switch_to_buffer (YY_BUFFER_STATE new_buffer  );
+YY_BUFFER_STATE veriloga_create_buffer (FILE *file,int size  );
+void veriloga_delete_buffer (YY_BUFFER_STATE b  );
+void veriloga_flush_buffer (YY_BUFFER_STATE b  );
+void verilogapush_buffer_state (YY_BUFFER_STATE new_buffer  );
+void verilogapop_buffer_state (void );
+
+static void verilogaensure_buffer_stack (void );
+static void veriloga_load_buffer_state (void );
+static void veriloga_init_buffer (YY_BUFFER_STATE b,FILE *file  );
+
+#define YY_FLUSH_BUFFER veriloga_flush_buffer(YY_CURRENT_BUFFER )
+
+YY_BUFFER_STATE veriloga_scan_buffer (char *base,yy_size_t size  );
+YY_BUFFER_STATE veriloga_scan_string (yyconst char *yy_str  );
+YY_BUFFER_STATE veriloga_scan_bytes (yyconst char *bytes,int len  );
+
+void *verilogaalloc (yy_size_t  );
+void *verilogarealloc (void *,yy_size_t  );
+void verilogafree (void *  );
+
+#define yy_new_buffer veriloga_create_buffer
+
+#define yy_set_interactive(is_interactive) \
+	{ \
+	if ( ! YY_CURRENT_BUFFER ){ \
+        verilogaensure_buffer_stack (); \
+		YY_CURRENT_BUFFER_LVALUE =    \
+            veriloga_create_buffer(verilogain,YY_BUF_SIZE ); \
+	} \
+	YY_CURRENT_BUFFER_LVALUE->yy_is_interactive = is_interactive; \
+	}
+
+#define yy_set_bol(at_bol) \
+	{ \
+	if ( ! YY_CURRENT_BUFFER ){\
+        verilogaensure_buffer_stack (); \
+		YY_CURRENT_BUFFER_LVALUE =    \
+            veriloga_create_buffer(verilogain,YY_BUF_SIZE ); \
+	} \
+	YY_CURRENT_BUFFER_LVALUE->yy_at_bol = at_bol; \
+	}
+
+#define YY_AT_BOL() (YY_CURRENT_BUFFER_LVALUE->yy_at_bol)
+
+/* Begin user sect3 */
+
+typedef unsigned char YY_CHAR;
+
+FILE *verilogain = (FILE *) 0, *verilogaout = (FILE *) 0;
+
+typedef int yy_state_type;
+
+extern int verilogalineno;
+
+int verilogalineno = 1;
+
+extern char *verilogatext;
+#define yytext_ptr verilogatext
+
+static yy_state_type yy_get_previous_state (void );
+static yy_state_type yy_try_NUL_trans (yy_state_type current_state  );
+static int yy_get_next_buffer (void );
+static void yy_fatal_error (yyconst char msg[]  );
+
+/* Done after the current pattern has been matched and before the
+ * corresponding action - sets up verilogatext.
+ */
+#define YY_DO_BEFORE_ACTION \
+	(yytext_ptr) = yy_bp; \
+	verilogaleng = (size_t) (yy_cp - yy_bp); \
+	(yy_hold_char) = *yy_cp; \
+	*yy_cp = '\0'; \
+	(yy_c_buf_p) = yy_cp;
+
+#define YY_NUM_RULES 74
+#define YY_END_OF_BUFFER 75
+/* This struct is not used in this scanner,
+   but its presence is necessary. */
+struct yy_trans_info
+	{
+	flex_int32_t yy_verify;
+	flex_int32_t yy_nxt;
+	};
+static yyconst flex_int16_t yy_accept[310] =
+    {   0,
+        0,    0,    0,    0,    0,    0,    0,    0,    0,    0,
+        0,    0,    0,    0,   75,   73,    3,    1,   73,   73,
+       73,   73,   73,   73,   73,   69,   70,   73,   73,   61,
+       61,   73,   61,   61,   61,   61,   61,   61,   61,   61,
+       61,   61,   61,   61,   61,   61,   61,   73,   73,   13,
+       74,   13,   13,   15,   14,   17,   17,   74,   16,   19,
+       19,   24,   21,   21,   24,   22,   24,    8,    7,    6,
+        8,    8,    5,    3,    1,    0,    9,   67,   64,    0,
+       68,   68,   10,   72,   72,   69,    0,    0,   70,   63,
+       62,   61,   61,   66,   61,   61,   61,   61,   61,   61,
+
+       61,   61,   61,   61,   61,   61,   61,   61,   61,   61,
+       47,   61,   61,   61,   61,   61,   61,   61,   61,   61,
+       65,    2,   11,    0,    0,    0,   14,    0,   16,   16,
+        0,   18,   21,    0,   22,   20,   22,    0,    0,    0,
+        7,    6,    0,    4,    5,   67,   10,    0,    0,   72,
+       71,   60,   61,   61,   61,   61,   61,   61,   61,   61,
+       61,   46,   61,   61,   52,   61,   61,   61,   59,   61,
+       61,   61,   61,   61,   61,   61,   61,   61,   61,   61,
+        0,    0,    0,    0,    0,   72,   61,   61,   61,   61,
+       49,   61,   61,   61,   53,   61,   61,   61,   61,   61,
+
+       61,   34,   57,   61,   61,   61,   61,   61,   61,   61,
+       61,   61,   61,   55,   61,   61,    0,   12,    0,   23,
+       61,   61,   45,   61,   61,   61,   61,   61,   61,   61,
+       61,   61,   61,   61,   61,   42,   40,   61,   61,   61,
+       61,   61,   61,   61,   48,   61,   44,   43,   61,   61,
+       35,   61,   61,   61,   61,   61,   61,   61,   36,   61,
+       28,   38,   41,   61,   61,   56,   61,   51,   61,   50,
+       61,   61,   61,   61,   58,   61,   54,   61,   61,   61,
+       61,   61,   61,   61,   61,   30,   61,   61,   61,   61,
+       61,   61,   29,   39,   25,   33,   27,   32,   61,   61,
+
+       61,   61,   31,   61,   61,   61,   37,   26,    0
+    } ;
+
+static yyconst flex_int32_t yy_ec[256] =
+    {   0,
+        1,    1,    1,    1,    1,    1,    1,    1,    2,    3,
+        2,    2,    4,    1,    1,    1,    1,    1,    1,    1,
+        1,    1,    1,    1,    1,    1,    1,    1,    1,    1,
+        1,    2,    1,    5,    6,    7,    1,    8,    9,   10,
+       11,   12,   13,   14,   13,   15,    1,   16,   17,   17,
+       17,   17,   17,   17,   17,   17,   17,   18,    1,   19,
+       20,   21,   22,    1,   23,   23,   23,   23,   24,   25,
+       26,   26,   27,   26,   26,   26,   26,   28,   26,   26,
+       26,   26,   26,   26,   26,   26,   26,   29,   26,   26,
+        1,    1,    1,   30,   26,    1,   31,   32,   33,   34,
+
+       35,   36,   37,   38,   39,   26,   26,   40,   41,   42,
+       43,   44,   26,   45,   46,   47,   48,   26,   49,   50,
+       26,   26,    1,   51,    1,   52,    1,    1,    1,    1,
+        1,    1,    1,    1,    1,    1,    1,    1,    1,    1,
+        1,    1,    1,    1,    1,    1,    1,    1,    1,    1,
+        1,    1,    1,    1,    1,    1,    1,    1,    1,    1,
+        1,    1,    1,    1,    1,    1,    1,    1,    1,    1,
+        1,    1,    1,    1,    1,    1,    1,    1,    1,    1,
+        1,    1,    1,    1,    1,    1,    1,    1,    1,    1,
+        1,    1,    1,    1,    1,    1,    1,    1,    1,    1,
+
+        1,    1,    1,    1,    1,    1,    1,    1,    1,    1,
+        1,    1,    1,    1,    1,    1,    1,    1,    1,    1,
+        1,    1,    1,    1,    1,    1,    1,    1,    1,    1,
+        1,    1,    1,    1,    1,    1,    1,    1,    1,    1,
+        1,    1,    1,    1,    1,    1,    1,    1,    1,    1,
+        1,    1,    1,    1,    1
+    } ;
+
+static yyconst flex_int32_t yy_meta[53] =
+    {   0,
+        1,    2,    2,    2,    1,    1,    1,    1,    1,    1,
+        1,    1,    1,    1,    3,    4,    4,    3,    1,    2,
+        1,    2,    5,    5,    5,    6,    6,    6,    6,    1,
+        5,    5,    5,    5,    5,    5,    6,    6,    6,    6,
+        6,    6,    6,    6,    6,    6,    6,    6,    6,    6,
+        1,    1
+    } ;
+
+static yyconst flex_int16_t yy_base[326] =
+    {   0,
+        0,  678,   52,    0,  104,    0,  155,  158,  160,  161,
+      180,    0,  231,  235,  683,  685,  680,  685,  678,  675,
+        0,  671,  164,  666,  152,  226,  229,  658,  655,    0,
+      647,  622,  130,  212,  642,  219,  209,  131,  627,  224,
+      628,  639,  621,  225,  633,  620,  628,  614,  662,  685,
+      685,  652,  267,  685,    0,  685,  270,  275,  278,  685,
+      657,  685,  282,  299,  650,  303,  306,  685,  658,  685,
+      656,  653,  282,  655,  685,  651,  685,    0,  685,  309,
+      311,  685,  313,  306,  315,  321,  327,    0,  331,  685,
+      685,    0,  630,  685,  615,  622,  615,  620,  604,  613,
+
+      602,  606,  600,  611,  611,  600,  597,  598,  598,  596,
+        0,  315,  604,  590,  589,  590,  587,  602,  587,  592,
+      685,  628,  685,  350,  361,  371,    0,  365,  375,  386,
+      624,  685,  392,  617,  396,  685,  399,  407,  413,  420,
+      625,  685,  621,  685,  317,    0,  428,  344,  375,  396,
+        0,    0,  594,  584,  584,  580,  586,  589,  586,  587,
+      582,  403,  576,  566,    0,  573,  580,  564,    0,  563,
+      562,  574,  560,  559,  562,  574,  569,  563,  563,  561,
+      444,  595,  449,  594,  403,  424,  552,  554,  554,  562,
+        0,  546,  554,  553,    0,  560,  551,  541,  545,  556,
+
+      538,    0,    0,  538,  542,  536,  535,  544,  540,  534,
+      530,  536,  534,    0,  533,  539,  568,  685,  567,  685,
+      527,  533,    0,  531,  528,  523,  524,  519,  518,  521,
+      528,  514,  526,  520,  524,    0,    0,  522,  521,  520,
+      507,  518,  505,  514,    0,  519,    0,    0,  423,  429,
+        0,  433,  434,  432,  416,  415,  427,  418,    0,  415,
+        0,    0,    0,  412,  419,    0,  412,    0,  417,    0,
+      416,  396,  398,  389,    0,  386,    0,  391,  376,  374,
+      344,  340,  343,  345,  336,    0,  304,  302,  288,  292,
+      284,  276,    0,    0,    0,    0,  258,    0,  252,  246,
+
+      236,  233,    0,  232,  230,  218,    0,    0,  685,  471,
+      477,  483,  489,  491,  497,  500,  505,  509,  515,  520,
+      526,  529,  532,  537,  543
+    } ;
+
+static yyconst flex_int16_t yy_def[326] =
+    {   0,
+      309,    1,  309,    3,  309,    5,  310,  310,  311,  311,
+      309,   11,  312,  312,  309,  309,  309,  309,  309,  313,
+      314,  309,  315,  309,  309,  309,  309,  309,  309,  316,
+      316,  309,  316,  316,  316,  316,  316,  316,  316,  316,
+      316,  316,  316,  316,  316,  316,  316,  309,  309,  309,
+      309,  309,  317,  309,  318,  309,  309,  309,  309,  309,
+      319,  309,  309,  309,  309,  309,  320,  309,  309,  309,
+      309,  321,  309,  309,  309,  313,  309,  322,  309,  315,
+      315,  309,  309,  309,  309,  309,  309,  323,  309,  309,
+      309,  316,  316,  309,  316,  316,  316,  316,  316,  316,
+
+      316,  316,  316,  316,  316,  316,  316,  316,  316,  316,
+      316,  316,  316,  316,  316,  316,  316,  316,  316,  316,
+      309,  309,  309,  309,  317,  309,  318,  309,  309,  309,
+      319,  309,  309,  309,  309,  309,  309,  309,  320,  309,
+      309,  309,  321,  309,  309,  322,  309,  309,  309,  309,
+      323,  316,  316,  316,  316,  316,  316,  316,  316,  316,
+      316,  316,  316,  316,  316,  316,  316,  316,  316,  316,
+      316,  316,  316,  316,  316,  316,  316,  316,  316,  316,
+      309,  324,  309,  325,  309,  309,  316,  316,  316,  316,
+      316,  316,  316,  316,  316,  316,  316,  316,  316,  316,
+
+      316,  316,  316,  316,  316,  316,  316,  316,  316,  316,
+      316,  316,  316,  316,  316,  316,  324,  309,  325,  309,
+      316,  316,  316,  316,  316,  316,  316,  316,  316,  316,
+      316,  316,  316,  316,  316,  316,  316,  316,  316,  316,
+      316,  316,  316,  316,  316,  316,  316,  316,  316,  316,
+      316,  316,  316,  316,  316,  316,  316,  316,  316,  316,
+      316,  316,  316,  316,  316,  316,  316,  316,  316,  316,
+      316,  316,  316,  316,  316,  316,  316,  316,  316,  316,
+      316,  316,  316,  316,  316,  316,  316,  316,  316,  316,
+      316,  316,  316,  316,  316,  316,  316,  316,  316,  316,
+
+      316,  316,  316,  316,  316,  316,  316,  316,    0,  309,
+      309,  309,  309,  309,  309,  309,  309,  309,  309,  309,
+      309,  309,  309,  309,  309
+    } ;
+
+static yyconst flex_int16_t yy_nxt[738] =
+    {   0,
+       16,   17,   18,   19,   20,   16,   21,   22,   23,   24,
+       16,   16,   16,   16,   25,   26,   27,   16,   28,   16,
+       29,   16,   30,   30,   30,   30,   31,   30,   30,   32,
+       33,   34,   35,   36,   37,   38,   39,   30,   40,   30,
+       41,   42,   43,   44,   45,   46,   30,   30,   47,   30,
+       48,   16,   50,   50,   51,   50,   50,   50,   50,   50,
+       50,   50,   50,   52,   50,   50,   50,   50,   50,   50,
+       50,   50,   50,   50,   53,   53,   53,   53,   53,   53,
+       53,   50,   53,   53,   53,   53,   53,   53,   53,   53,
+       53,   53,   53,   53,   53,   53,   53,   53,   53,   53,
+
+       53,   53,   50,   50,   54,   54,   51,   54,   54,   54,
+       54,   54,   54,   54,   54,   54,   54,   54,   54,   54,
+       54,   54,   54,   54,   54,   54,   55,   55,   55,   55,
+       55,   55,   55,   54,   55,   55,   55,   55,   55,   55,
+       55,   55,   55,   55,   55,   55,   55,   55,   55,   55,
+       55,   55,   55,   55,   54,   54,   57,   58,   57,   57,
+       58,   57,   51,   51,   61,   61,   81,   84,   84,   95,
+      106,   96,   82,  107,   59,  108,   57,   59,  109,   57,
+       62,   63,   64,   63,   62,   62,   62,   62,   62,   62,
+       62,   65,   62,   66,   62,   62,   62,   62,   62,   62,
+
+       62,   63,   67,   67,   67,   67,   67,   67,   67,   62,
+       67,   67,   67,   67,   67,   67,   67,   67,   67,   67,
+       67,   67,   67,   67,   67,   67,   67,   67,   67,   67,
+       62,   62,   69,   70,   71,   72,   69,   70,   71,   72,
+       85,   86,   86,   85,   89,   89,   97,   73,  103,   87,
+      104,   73,   87,  100,   88,  116,   98,  101,  105,  111,
+       87,  102,  308,   87,  307,  112,  306,  117,  124,  124,
+      124,  128,  128,  128,  305,   88,  128,  128,  128,  130,
+      130,  130,  304,  133,  133,  133,  126,  303,  124,  129,
+      302,  128,  301,  134,  129,  135,  128,  145,  145,  130,
+
+      133,  133,  133,  133,  137,  137,  137,  138,  138,  138,
+      134,   81,  135,   81,  147,  147,  147,   82,  300,   82,
+      133,   84,   84,  299,  137,  140,  298,  138,  297,  148,
+       84,   84,  145,  145,  147,   85,   86,   86,   87,  149,
+      148,  296,  150,  150,   87,   85,   89,   89,  295,   87,
+      169,  124,  124,  124,   87,   87,  185,  170,  171,  186,
+      186,  172,  124,  124,  124,   87,  128,  128,  128,  126,
+      294,  124,  181,  181,  181,  182,  130,  130,  130,  293,
+      126,  292,  124,  291,  129,  290,  128,  130,  130,  130,
+      150,  150,  181,  133,  133,  133,  130,  137,  137,  137,
+
+      137,  137,  137,  134,  289,  135,  288,  130,  138,  138,
+      138,  150,  150,  133,  138,  138,  138,  137,  186,  186,
+      137,  183,  183,  183,  184,  287,  140,  286,  138,  147,
+      147,  147,  140,  285,  138,  196,  197,  284,  198,  186,
+      186,  183,  283,  199,  200,  181,  181,  181,  182,  147,
+      183,  183,  183,  184,  282,  281,  280,  279,  278,  277,
+      276,  275,  274,  273,  272,  181,  271,  270,  269,  268,
+      183,   56,   56,   56,   56,   56,   56,   60,   60,   60,
+       60,   60,   60,   68,   68,   68,   68,   68,   68,   76,
+       76,   76,   76,   76,   76,   78,   78,   80,   80,   80,
+
+       80,   80,   80,   92,   92,   92,  125,  125,  125,  125,
+      125,  127,  127,  127,  127,  131,  131,  131,  131,  131,
+      131,  139,  139,  139,  139,  139,  143,  143,  143,  143,
+      143,  143,  146,  146,  146,  151,  151,  217,  217,  217,
+      217,  217,  217,  219,  219,  219,  219,  219,  219,  267,
+      266,  265,  264,  263,  262,  261,  260,  259,  258,  257,
+      256,  255,  254,  253,  252,  251,  250,  249,  248,  247,
+      246,  220,  218,  245,  244,  243,  242,  241,  240,  239,
+      238,  237,  236,  235,  234,  233,  232,  231,  230,  229,
+      228,  227,  226,  225,  224,  223,  222,  221,  220,  218,
+
+      216,  215,  214,  213,  212,  211,  210,  209,  208,  207,
+      206,  205,  204,  203,  202,  201,  195,  194,  193,  192,
+      191,  190,  189,  188,  187,  144,  141,  136,  132,  122,
+      180,  179,  178,  177,  176,  175,  174,  173,  168,  167,
+      166,  165,  164,  163,  162,  161,  160,  159,  158,  157,
+      156,  155,  154,  153,  152,   77,   74,  144,  142,  141,
+      136,  132,  123,  122,  121,  120,  119,  118,  115,  114,
+      113,  110,   99,   94,   93,   91,   90,   83,   79,   77,
+       75,   74,  309,   49,   15,  309,  309,  309,  309,  309,
+      309,  309,  309,  309,  309,  309,  309,  309,  309,  309,
+
+      309,  309,  309,  309,  309,  309,  309,  309,  309,  309,
+      309,  309,  309,  309,  309,  309,  309,  309,  309,  309,
+      309,  309,  309,  309,  309,  309,  309,  309,  309,  309,
+      309,  309,  309,  309,  309,  309,  309
+    } ;
+
+static yyconst flex_int16_t yy_chk[738] =
+    {   0,
+        1,    1,    1,    1,    1,    1,    1,    1,    1,    1,
+        1,    1,    1,    1,    1,    1,    1,    1,    1,    1,
+        1,    1,    1,    1,    1,    1,    1,    1,    1,    1,
+        1,    1,    1,    1,    1,    1,    1,    1,    1,    1,
+        1,    1,    1,    1,    1,    1,    1,    1,    1,    1,
+        1,    1,    3,    3,    3,    3,    3,    3,    3,    3,
+        3,    3,    3,    3,    3,    3,    3,    3,    3,    3,
+        3,    3,    3,    3,    3,    3,    3,    3,    3,    3,
+        3,    3,    3,    3,    3,    3,    3,    3,    3,    3,
+        3,    3,    3,    3,    3,    3,    3,    3,    3,    3,
+
+        3,    3,    3,    3,    5,    5,    5,    5,    5,    5,
+        5,    5,    5,    5,    5,    5,    5,    5,    5,    5,
+        5,    5,    5,    5,    5,    5,    5,    5,    5,    5,
+        5,    5,    5,    5,    5,    5,    5,    5,    5,    5,
+        5,    5,    5,    5,    5,    5,    5,    5,    5,    5,
+        5,    5,    5,    5,    5,    5,    7,    7,    7,    8,
+        8,    8,    9,   10,    9,   10,   23,   25,   25,   33,
+       38,   33,   23,   38,    7,   38,    7,    8,   38,    8,
+       11,   11,   11,   11,   11,   11,   11,   11,   11,   11,
+       11,   11,   11,   11,   11,   11,   11,   11,   11,   11,
+
+       11,   11,   11,   11,   11,   11,   11,   11,   11,   11,
+       11,   11,   11,   11,   11,   11,   11,   11,   11,   11,
+       11,   11,   11,   11,   11,   11,   11,   11,   11,   11,
+       11,   11,   13,   13,   13,   13,   14,   14,   14,   14,
+       26,   26,   26,   27,   27,   27,   34,   13,   37,   26,
+       37,   14,   27,   36,   26,   44,   34,   36,   37,   40,
+       26,   36,  306,   27,  305,   40,  304,   44,   53,   53,
+       53,   57,   57,   57,  302,   26,   58,   58,   58,   59,
+       59,   59,  301,   63,   63,   63,   53,  300,   53,   57,
+      299,   57,  297,   63,   58,   63,   58,   73,   73,   59,
+
+       64,   64,   64,   63,   66,   66,   66,   67,   67,   67,
+       64,   80,   64,   81,   83,   83,   83,   80,  292,   81,
+       64,   84,   84,  291,   66,   67,  290,   67,  289,   84,
+       85,   85,  145,  145,   83,   86,   86,   86,   85,   87,
+       84,  288,   87,   87,   86,   89,   89,   89,  287,   85,
+      112,  124,  124,  124,   89,   86,  148,  112,  112,  148,
+      148,  112,  125,  125,  125,   89,  128,  128,  128,  124,
+      285,  124,  126,  126,  126,  126,  129,  129,  129,  284,
+      125,  283,  125,  282,  128,  281,  128,  130,  130,  130,
+      149,  149,  126,  133,  133,  133,  129,  135,  135,  135,
+
+      137,  137,  137,  133,  280,  133,  279,  130,  138,  138,
+      138,  150,  150,  133,  139,  139,  139,  135,  185,  185,
+      137,  140,  140,  140,  140,  278,  138,  276,  138,  147,
+      147,  147,  139,  274,  139,  162,  162,  273,  162,  186,
+      186,  140,  272,  162,  162,  181,  181,  181,  181,  147,
+      183,  183,  183,  183,  271,  269,  267,  265,  264,  260,
+      258,  257,  256,  255,  254,  181,  253,  252,  250,  249,
+      183,  310,  310,  310,  310,  310,  310,  311,  311,  311,
+      311,  311,  311,  312,  312,  312,  312,  312,  312,  313,
+      313,  313,  313,  313,  313,  314,  314,  315,  315,  315,
+
+      315,  315,  315,  316,  316,  316,  317,  317,  317,  317,
+      317,  318,  318,  318,  318,  319,  319,  319,  319,  319,
+      319,  320,  320,  320,  320,  320,  321,  321,  321,  321,
+      321,  321,  322,  322,  322,  323,  323,  324,  324,  324,
+      324,  324,  324,  325,  325,  325,  325,  325,  325,  246,
+      244,  243,  242,  241,  240,  239,  238,  235,  234,  233,
+      232,  231,  230,  229,  228,  227,  226,  225,  224,  222,
+      221,  219,  217,  216,  215,  213,  212,  211,  210,  209,
+      208,  207,  206,  205,  204,  201,  200,  199,  198,  197,
+      196,  194,  193,  192,  190,  189,  188,  187,  184,  182,
+
+      180,  179,  178,  177,  176,  175,  174,  173,  172,  171,
+      170,  168,  167,  166,  164,  163,  161,  160,  159,  158,
+      157,  156,  155,  154,  153,  143,  141,  134,  131,  122,
+      120,  119,  118,  117,  116,  115,  114,  113,  110,  109,
+      108,  107,  106,  105,  104,  103,  102,  101,  100,   99,
+       98,   97,   96,   95,   93,   76,   74,   72,   71,   69,
+       65,   61,   52,   49,   48,   47,   46,   45,   43,   42,
+       41,   39,   35,   32,   31,   29,   28,   24,   22,   20,
+       19,   17,   15,    2,  309,  309,  309,  309,  309,  309,
+      309,  309,  309,  309,  309,  309,  309,  309,  309,  309,
+
+      309,  309,  309,  309,  309,  309,  309,  309,  309,  309,
+      309,  309,  309,  309,  309,  309,  309,  309,  309,  309,
+      309,  309,  309,  309,  309,  309,  309,  309,  309,  309,
+      309,  309,  309,  309,  309,  309,  309
+    } ;
+
+static yy_state_type yy_last_accepting_state;
+static char *yy_last_accepting_cpos;
+
+extern int veriloga_flex_debug;
+int veriloga_flex_debug = 0;
+
+/* The intent behind this definition is that it'll catch
+ * any uses of REJECT which flex missed.
+ */
+#define REJECT reject_used_but_not_detected
+#define yymore() yymore_used_but_not_detected
+#define YY_MORE_ADJ 0
+#define YY_RESTORE_YY_MORE_OFFSET
+char *verilogatext;
+#line 1 "./verilogaLex.l"
+#line 2 "./verilogaLex.l"
+
+#include "admsVeriloga.h"
+#include "verilogaYacc.h"
+
+static int adms_strtointeger (const char *mystr)
+{
+  int val;
+  errno=0;
+  val=(int)strtol(mystr,NULL,10);
+  if(errno)
+    adms_message_fatal(("%s: strtoint conversion failed\n",mystr))
+  return val;
+}
+void adms_veriloga_setfile_input (FILE *ifile)
+{
+  verilogain=ifile;
+}
+void verilogaerror (const char *s)
+{
+  adms_message_fatal(("%s: during lexical analysis %s at line %i -- see '%s'\n",root()->_curfilename,s,root()->_curline,verilogatext))
+}
+void POS_UPDATE(const int myyyleng,const char*myyytext)
+{
+  int c=root()->_fpos;
+  adms_message_dbg_vla(("%s:%i:%i-%i read token '%s'\n",root()->_curfilename,
+    root()->_curline,c+1,c+myyyleng,myyytext))
+  adms_admsmain_valueto_fpos(root(),c+myyyleng);
+}
+void TKRETURN(const char*myyytext, const int myyyleng)
+{
+  verilogalval._lexval=adms_lexval_new(myyytext,root()->_curfilename,root()->_curline,root()->_fpos+1);
+  POS_UPDATE(myyyleng,myyytext);
+}
+void TKSTRIPPEDRETURN(const char*myyytext, const int myyyleng)
+{
+  char*mystrippedstring;
+  int mynewlen=strlen(myyytext)-2;
+  assert(mynewlen>=0);
+  mystrippedstring=(char*)malloc(mynewlen+1);
+  if(mystrippedstring)
+  {
+    strncpy(mystrippedstring,myyytext+1,mynewlen);
+    mystrippedstring[mynewlen]='\0';
+  }
+  POS_UPDATE(myyyleng,myyytext);
+  verilogalval._lexval=adms_lexval_new(
+    mystrippedstring,
+    root()->_curfilename,
+    root()->_curline,
+    root()->_fpos
+  );
+  free(mystrippedstring);
+}
+#ifdef YYDEBUG
+extern int yydebug;
+#endif
+
+ 
+ 
+ 
+ 
+ 
+ 
+#line 801 "verilogaLex.c"
+
+#define INITIAL 0
+#define insideAttribute 1
+#define insideAttributeBegin 2
+#define insideAttributeEqual 3
+#define insideAttributeValue 4
+#define insideAttributeEnd 5
+#define insidePragma 6
+
+#ifndef YY_NO_UNISTD_H
+/* Special case for "unistd.h", since it is non-ANSI. We include it way
+ * down here because we want the user's section 1 to have been scanned first.
+ * The user has a chance to override it with an option.
+ */
+#include <unistd.h>
+#endif
+
+#ifndef YY_EXTRA_TYPE
+#define YY_EXTRA_TYPE void *
+#endif
+
+static int yy_init_globals (void );
+
+/* Accessor methods to globals.
+   These are made visible to non-reentrant scanners for convenience. */
+
+int verilogalex_destroy (void );
+
+int verilogaget_debug (void );
+
+void verilogaset_debug (int debug_flag  );
+
+YY_EXTRA_TYPE verilogaget_extra (void );
+
+void verilogaset_extra (YY_EXTRA_TYPE user_defined  );
+
+FILE *verilogaget_in (void );
+
+void verilogaset_in  (FILE * in_str  );
+
+FILE *verilogaget_out (void );
+
+void verilogaset_out  (FILE * out_str  );
+
+int verilogaget_leng (void );
+
+char *verilogaget_text (void );
+
+int verilogaget_lineno (void );
+
+void verilogaset_lineno (int line_number  );
+
+/* Macros after this point can all be overridden by user definitions in
+ * section 1.
+ */
+
+#ifndef YY_SKIP_YYWRAP
+#ifdef __cplusplus
+extern "C" int verilogawrap (void );
+#else
+extern int verilogawrap (void );
+#endif
+#endif
+
+#ifndef yytext_ptr
+static void yy_flex_strncpy (char *,yyconst char *,int );
+#endif
+
+#ifdef YY_NEED_STRLEN
+static int yy_flex_strlen (yyconst char * );
+#endif
+
+#ifndef YY_NO_INPUT
+
+#ifdef __cplusplus
+static int yyinput (void );
+#else
+static int input (void );
+#endif
+
+#endif
+
+/* Amount of stuff to slurp up with each read. */
+#ifndef YY_READ_BUF_SIZE
+#define YY_READ_BUF_SIZE 8192
+#endif
+
+/* Copy whatever the last rule matched to the standard output. */
+#ifndef ECHO
+/* This used to be an fputs(), but since the string might contain NUL's,
+ * we now use fwrite().
+ */
+#define ECHO do { if (fwrite( verilogatext, verilogaleng, 1, verilogaout )) {} } while (0)
+#endif
+
+/* Gets input and stuffs it into "buf".  number of characters read, or YY_NULL,
+ * is returned in "result".
+ */
+#ifndef YY_INPUT
+#define YY_INPUT(buf,result,max_size) \
+	if ( YY_CURRENT_BUFFER_LVALUE->yy_is_interactive ) \
+		{ \
+		int c = '*'; \
+		unsigned n; \
+		for ( n = 0; n < max_size && \
+			     (c = getc( verilogain )) != EOF && c != '\n'; ++n ) \
+			buf[n] = (char) c; \
+		if ( c == '\n' ) \
+			buf[n++] = (char) c; \
+		if ( c == EOF && ferror( verilogain ) ) \
+			YY_FATAL_ERROR( "input in flex scanner failed" ); \
+		result = n; \
+		} \
+	else \
+		{ \
+		errno=0; \
+		while ( (result = fread(buf, 1, max_size, verilogain))==0 && ferror(verilogain)) \
+			{ \
+			if( errno != EINTR) \
+				{ \
+				YY_FATAL_ERROR( "input in flex scanner failed" ); \
+				break; \
+				} \
+			errno=0; \
+			clearerr(verilogain); \
+			} \
+		}\
+\
+
+#endif
+
+/* No semi-colon after return; correct usage is to write "yyterminate();" -
+ * we don't want an extra ';' after the "return" because that will cause
+ * some compilers to complain about unreachable statements.
+ */
+#ifndef yyterminate
+#define yyterminate() return YY_NULL
+#endif
+
+/* Number of entries by which start-condition stack grows. */
+#ifndef YY_START_STACK_INCR
+#define YY_START_STACK_INCR 25
+#endif
+
+/* Report a fatal error. */
+#ifndef YY_FATAL_ERROR
+#define YY_FATAL_ERROR(msg) yy_fatal_error( msg )
+#endif
+
+/* end tables serialization structures and prototypes */
+
+/* Default declaration of generated scanner - a define so the user can
+ * easily add parameters.
+ */
+#ifndef YY_DECL
+#define YY_DECL_IS_OURS 1
+
+extern int verilogalex (void);
+
+#define YY_DECL int verilogalex (void)
+#endif /* !YY_DECL */
+
+/* Code executed at the beginning of each rule, after verilogatext and verilogaleng
+ * have been set up.
+ */
+#ifndef YY_USER_ACTION
+#define YY_USER_ACTION
+#endif
+
+/* Code executed at the end of each rule. */
+#ifndef YY_BREAK
+#define YY_BREAK break;
+#endif
+
+#define YY_RULE_SETUP \
+	if ( verilogaleng > 0 ) \
+		YY_CURRENT_BUFFER_LVALUE->yy_at_bol = \
+				(verilogatext[verilogaleng - 1] == '\n'); \
+	YY_USER_ACTION
+
+/** The main scanner function which does all the work.
+ */
+YY_DECL
+{
+	register yy_state_type yy_current_state;
+	register char *yy_cp, *yy_bp;
+	register int yy_act;
+    
+#line 85 "./verilogaLex.l"
+
+
+#line 993 "verilogaLex.c"
+
+	if ( !(yy_init) )
+		{
+		(yy_init) = 1;
+
+#ifdef YY_USER_INIT
+		YY_USER_INIT;
+#endif
+
+		if ( ! (yy_start) )
+			(yy_start) = 1;	/* first start state */
+
+		if ( ! verilogain )
+			verilogain = stdin;
+
+		if ( ! verilogaout )
+			verilogaout = stdout;
+
+		if ( ! YY_CURRENT_BUFFER ) {
+			verilogaensure_buffer_stack ();
+			YY_CURRENT_BUFFER_LVALUE =
+				veriloga_create_buffer(verilogain,YY_BUF_SIZE );
+		}
+
+		veriloga_load_buffer_state( );
+		}
+
+	while ( 1 )		/* loops until end-of-file is reached */
+		{
+		yy_cp = (yy_c_buf_p);
+
+		/* Support of verilogatext. */
+		*yy_cp = (yy_hold_char);
+
+		/* yy_bp points to the position in yy_ch_buf of the start of
+		 * the current run.
+		 */
+		yy_bp = yy_cp;
+
+		yy_current_state = (yy_start);
+		yy_current_state += YY_AT_BOL();
+yy_match:
+		do
+			{
+			register YY_CHAR yy_c = yy_ec[YY_SC_TO_UI(*yy_cp)];
+			if ( yy_accept[yy_current_state] )
+				{
+				(yy_last_accepting_state) = yy_current_state;
+				(yy_last_accepting_cpos) = yy_cp;
+				}
+			while ( yy_chk[yy_base[yy_current_state] + yy_c] != yy_current_state )
+				{
+				yy_current_state = (int) yy_def[yy_current_state];
+				if ( yy_current_state >= 310 )
+					yy_c = yy_meta[(unsigned int) yy_c];
+				}
+			yy_current_state = yy_nxt[yy_base[yy_current_state] + (unsigned int) yy_c];
+			++yy_cp;
+			}
+		while ( yy_base[yy_current_state] != 685 );
+
+yy_find_action:
+		yy_act = yy_accept[yy_current_state];
+		if ( yy_act == 0 )
+			{ /* have to back up */
+			yy_cp = (yy_last_accepting_cpos);
+			yy_current_state = (yy_last_accepting_state);
+			yy_act = yy_accept[yy_current_state];
+			}
+
+		YY_DO_BEFORE_ACTION;
+
+do_action:	/* This label is used only to access EOF actions. */
+
+		switch ( yy_act )
+	{ /* beginning of action switch */
+			case 0: /* must back up */
+			/* undo the effects of YY_DO_BEFORE_ACTION */
+			*yy_cp = (yy_hold_char);
+			yy_cp = (yy_last_accepting_cpos);
+			yy_current_state = (yy_last_accepting_state);
+			goto yy_find_action;
+
+case 1:
+/* rule 1 can match eol */
+YY_RULE_SETUP
+#line 87 "./verilogaLex.l"
+{
+  adms_admsmain_valueto_curline(root(),root()->_curline+1);
+  adms_admsmain_valueto_fpos(root(),0);
+}
+	YY_BREAK
+case 2:
+YY_RULE_SETUP
+#line 92 "./verilogaLex.l"
+{BEGIN(insidePragma);}
+	YY_BREAK
+case 3:
+YY_RULE_SETUP
+#line 93 "./verilogaLex.l"
+{POS_UPDATE(verilogaleng,verilogatext);}
+	YY_BREAK
+
+case 4:
+/* rule 4 can match eol */
+YY_RULE_SETUP
+#line 96 "./verilogaLex.l"
+{
+  char*mystrippedstring;
+  int mynewlen=strlen(verilogatext)-2;
+  assert(mynewlen>=0);
+  mystrippedstring=(char*)malloc(mynewlen+1);
+  if(mystrippedstring)
+  {
+    strncpy(mystrippedstring,verilogatext+1,mynewlen);
+    mystrippedstring[mynewlen]='\0';
+  }
+  adms_admsmain_valueto_curfilename(root(),mystrippedstring);
+  free(mystrippedstring);
+}
+	YY_BREAK
+case 5:
+YY_RULE_SETUP
+#line 109 "./verilogaLex.l"
+{
+    adms_admsmain_valueto_curline(root(),adms_strtointeger(verilogatext));
+    adms_admsmain_valueto_fpos(root(),0);
+}
+	YY_BREAK
+case 6:
+/* rule 6 can match eol */
+YY_RULE_SETUP
+#line 113 "./verilogaLex.l"
+{
+  BEGIN(INITIAL);
+  adms_admsmain_valueto_fpos(root(),0);
+}
+	YY_BREAK
+case 7:
+YY_RULE_SETUP
+#line 117 "./verilogaLex.l"
+{POS_UPDATE(verilogaleng,verilogatext);}
+	YY_BREAK
+case 8:
+YY_RULE_SETUP
+#line 118 "./verilogaLex.l"
+{
+  adms_message_fatal(("[%s:%i]: inside pragma declaration unexpected character at [%s]\n",root()->_curfilename,root()->_curline,verilogatext))
+}
+	YY_BREAK
+
+case 9:
+/* rule 9 can match eol */
+YY_RULE_SETUP
+#line 123 "./verilogaLex.l"
+{TKSTRIPPEDRETURN(verilogatext,verilogaleng); return tk_anystring;}
+	YY_BREAK
+case 10:
+/* rule 10 can match eol */
+YY_RULE_SETUP
+#line 125 "./verilogaLex.l"
+{BEGIN(insideAttribute); {TKRETURN(verilogatext,verilogaleng); return tk_beginattribute;}}
+	YY_BREAK
+
+case 11:
+YY_RULE_SETUP
+#line 128 "./verilogaLex.l"
+{BEGIN(INITIAL);TKRETURN(verilogatext,verilogaleng);return tk_endattribute;}
+	YY_BREAK
+case 12:
+/* rule 12 can match eol */
+YY_RULE_SETUP
+#line 129 "./verilogaLex.l"
+{BEGIN(insideAttributeBegin);yyless(0);}
+	YY_BREAK
+case 13:
+YY_RULE_SETUP
+#line 130 "./verilogaLex.l"
+{
+    char myyytext[1000];
+    register int i=0;
+    myyytext[i]=verilogatext[0];
+    for(;;)
+    {
+      while((myyytext[i]!='*')&&(myyytext[i]!=EOF))
+      {
+        myyytext[++i]=input();
+      }
+      if(myyytext[i]=='*')
+      {
+        while((myyytext[++i]=input())=='*')
+        ;
+        if(myyytext[i]==')')
+        {
+          BEGIN(INITIAL);
+          i-=2;
+          while(myyytext[i]==' ')
+            i--;
+          myyytext[i+1]='\0';
+          POS_UPDATE(verilogaleng,verilogatext);
+          verilogalval._lexval=adms_lexval_new(
+            myyytext,
+            root()->_curfilename,
+            root()->_curline,
+            root()->_fpos
+          );
+          return tk_anytext;
+        }
+      }
+      if(myyytext[i]==EOF)
+      {
+        adms_message_fatal(("[%s:%i]: inside Verilog-ams EOF found in attribute declaration [%s]\n",root()->_curfilename,root()->_curline,verilogatext))
+      }
+    }
+}
+	YY_BREAK
+
+
+case 14:
+YY_RULE_SETUP
+#line 169 "./verilogaLex.l"
+{BEGIN(insideAttributeEqual);TKRETURN(verilogatext,verilogaleng);return tk_ident;}
+	YY_BREAK
+case 15:
+YY_RULE_SETUP
+#line 170 "./verilogaLex.l"
+{
+  adms_message_fatal(("[%s:%i]: inside Verilog-ams attribute declaration unexpected character at [%s]\n",root()->_curfilename,root()->_curline,verilogatext))
+}
+	YY_BREAK
+
+
+case 16:
+/* rule 16 can match eol */
+YY_RULE_SETUP
+#line 175 "./verilogaLex.l"
+{BEGIN(insideAttributeValue);return '=';}
+	YY_BREAK
+case 17:
+YY_RULE_SETUP
+#line 176 "./verilogaLex.l"
+{
+  adms_message_fatal(("[%s:%i]: inside Verilog-ams attribute declaration unexpected character at [%s]\n",root()->_curfilename,root()->_curline,verilogatext))
+}
+	YY_BREAK
+
+
+case 18:
+/* rule 18 can match eol */
+YY_RULE_SETUP
+#line 181 "./verilogaLex.l"
+{TKSTRIPPEDRETURN(verilogatext,verilogaleng);BEGIN(insideAttributeEnd);return tk_anystring;}
+	YY_BREAK
+case 19:
+YY_RULE_SETUP
+#line 182 "./verilogaLex.l"
+{
+  adms_message_fatal(("[%s:%i]: inside Verilog-ams attribute declaration unexpected character at [%s]\n",root()->_curfilename,root()->_curline,verilogatext))
+}
+	YY_BREAK
+
+
+case 20:
+/* rule 20 can match eol */
+YY_RULE_SETUP
+#line 187 "./verilogaLex.l"
+{BEGIN(INITIAL);TKRETURN(verilogatext,verilogaleng);return tk_endattribute;}
+	YY_BREAK
+case 21:
+/* rule 21 can match eol */
+YY_RULE_SETUP
+#line 188 "./verilogaLex.l"
+{BEGIN(insideAttributeBegin);POS_UPDATE(verilogaleng,verilogatext);}
+	YY_BREAK
+case 22:
+/* rule 22 can match eol */
+YY_RULE_SETUP
+#line 189 "./verilogaLex.l"
+{BEGIN(insideAttributeBegin);POS_UPDATE(verilogaleng,verilogatext);}
+	YY_BREAK
+case 23:
+/* rule 23 can match eol */
+YY_RULE_SETUP
+#line 190 "./verilogaLex.l"
+{BEGIN(insideAttributeBegin);yyless(0);}
+	YY_BREAK
+case 24:
+YY_RULE_SETUP
+#line 191 "./verilogaLex.l"
+{
+  adms_message_fatal(("[%s:%i]: inside Verilog-ams attribute declaration unexpected character at [%s]\n",root()->_curfilename,root()->_curline,verilogatext))
+}
+	YY_BREAK
+
+case 25:
+YY_RULE_SETUP
+#line 196 "./verilogaLex.l"
+{TKRETURN(verilogatext,verilogaleng); return tk_parameter;}
+	YY_BREAK
+case 26:
+YY_RULE_SETUP
+#line 197 "./verilogaLex.l"
+{TKRETURN(verilogatext,verilogaleng); return tk_aliasparameter;}
+	YY_BREAK
+case 27:
+YY_RULE_SETUP
+#line 198 "./verilogaLex.l"
+{TKRETURN(verilogatext,verilogaleng); return tk_aliasparam;}
+	YY_BREAK
+case 28:
+YY_RULE_SETUP
+#line 199 "./verilogaLex.l"
+{TKRETURN(verilogatext,verilogaleng); return tk_module;}
+	YY_BREAK
+case 29:
+YY_RULE_SETUP
+#line 200 "./verilogaLex.l"
+{TKRETURN(verilogatext,verilogaleng); return tk_endmodule;}
+	YY_BREAK
+case 30:
+YY_RULE_SETUP
+#line 201 "./verilogaLex.l"
+{TKRETURN(verilogatext,verilogaleng); return tk_function;}
+	YY_BREAK
+case 31:
+YY_RULE_SETUP
+#line 202 "./verilogaLex.l"
+{TKRETURN(verilogatext,verilogaleng); return tk_endfunction;}
+	YY_BREAK
+case 32:
+YY_RULE_SETUP
+#line 203 "./verilogaLex.l"
+{TKRETURN(verilogatext,verilogaleng); return tk_discipline;}
+	YY_BREAK
+case 33:
+YY_RULE_SETUP
+#line 204 "./verilogaLex.l"
+{TKRETURN(verilogatext,verilogaleng); return tk_potential;}
+	YY_BREAK
+case 34:
+YY_RULE_SETUP
+#line 205 "./verilogaLex.l"
+{TKRETURN(verilogatext,verilogaleng); return tk_flow;}
+	YY_BREAK
+case 35:
+YY_RULE_SETUP
+#line 206 "./verilogaLex.l"
+{TKRETURN(verilogatext,verilogaleng); return tk_domain;}
+	YY_BREAK
+case 36:
+YY_RULE_SETUP
+#line 207 "./verilogaLex.l"
+{TKRETURN(verilogatext,verilogaleng); return tk_ground;}
+	YY_BREAK
+case 37:
+YY_RULE_SETUP
+#line 208 "./verilogaLex.l"
+{TKRETURN(verilogatext,verilogaleng); return tk_enddiscipline;}
+	YY_BREAK
+case 38:
+YY_RULE_SETUP
+#line 209 "./verilogaLex.l"
+{TKRETURN(verilogatext,verilogaleng); return tk_nature;}
+	YY_BREAK
+case 39:
+YY_RULE_SETUP
+#line 210 "./verilogaLex.l"
+{TKRETURN(verilogatext,verilogaleng); return tk_endnature;}
+	YY_BREAK
+case 40:
+YY_RULE_SETUP
+#line 211 "./verilogaLex.l"
+{TKRETURN(verilogatext,verilogaleng); return tk_input;}
+	YY_BREAK
+case 41:
+YY_RULE_SETUP
+#line 212 "./verilogaLex.l"
+{TKRETURN(verilogatext,verilogaleng); return tk_output;}
+	YY_BREAK
+case 42:
+YY_RULE_SETUP
+#line 213 "./verilogaLex.l"
+{TKRETURN(verilogatext,verilogaleng); return tk_inout;}
+	YY_BREAK
+case 43:
+YY_RULE_SETUP
+#line 214 "./verilogaLex.l"
+{TKRETURN(verilogatext,verilogaleng); return tk_branch;}
+	YY_BREAK
+case 44:
+YY_RULE_SETUP
+#line 215 "./verilogaLex.l"
+{TKRETURN(verilogatext,verilogaleng); return tk_analog;}
+	YY_BREAK
+case 45:
+YY_RULE_SETUP
+#line 216 "./verilogaLex.l"
+{TKRETURN(verilogatext,verilogaleng); return tk_begin;}
+	YY_BREAK
+case 46:
+YY_RULE_SETUP
+#line 217 "./verilogaLex.l"
+{TKRETURN(verilogatext,verilogaleng); return tk_end;}
+	YY_BREAK
+case 47:
+YY_RULE_SETUP
+#line 218 "./verilogaLex.l"
+{TKRETURN(verilogatext,verilogaleng); return tk_if;}
+	YY_BREAK
+case 48:
+YY_RULE_SETUP
+#line 219 "./verilogaLex.l"
+{TKRETURN(verilogatext,verilogaleng); return tk_while;}
+	YY_BREAK
+case 49:
+YY_RULE_SETUP
+#line 220 "./verilogaLex.l"
+{TKRETURN(verilogatext,verilogaleng); return tk_case;}
+	YY_BREAK
+case 50:
+YY_RULE_SETUP
+#line 221 "./verilogaLex.l"
+{TKRETURN(verilogatext,verilogaleng); return tk_endcase;}
+	YY_BREAK
+case 51:
+YY_RULE_SETUP
+#line 222 "./verilogaLex.l"
+{TKRETURN(verilogatext,verilogaleng); return tk_default;}
+	YY_BREAK
+case 52:
+YY_RULE_SETUP
+#line 223 "./verilogaLex.l"
+{TKRETURN(verilogatext,verilogaleng); return tk_for;}
+	YY_BREAK
+case 53:
+YY_RULE_SETUP
+#line 224 "./verilogaLex.l"
+{TKRETURN(verilogatext,verilogaleng); return tk_else;}
+	YY_BREAK
+case 54:
+YY_RULE_SETUP
+#line 225 "./verilogaLex.l"
+{TKRETURN(verilogatext,verilogaleng); return tk_integer;}
+	YY_BREAK
+case 55:
+YY_RULE_SETUP
+#line 226 "./verilogaLex.l"
+{TKRETURN(verilogatext,verilogaleng); return tk_real;}
+	YY_BREAK
+case 56:
+YY_RULE_SETUP
+#line 227 "./verilogaLex.l"
+{TKRETURN(verilogatext,verilogaleng); return tk_string;}
+	YY_BREAK
+case 57:
+YY_RULE_SETUP
+#line 228 "./verilogaLex.l"
+{TKRETURN(verilogatext,verilogaleng); return tk_from;}
+	YY_BREAK
+case 58:
+YY_RULE_SETUP
+#line 229 "./verilogaLex.l"
+{TKRETURN(verilogatext,verilogaleng); return tk_exclude;}
+	YY_BREAK
+case 59:
+YY_RULE_SETUP
+#line 230 "./verilogaLex.l"
+{TKRETURN(verilogatext,verilogaleng); return tk_inf;}
+	YY_BREAK
+case 60:
+YY_RULE_SETUP
+#line 231 "./verilogaLex.l"
+{TKRETURN(verilogatext,verilogaleng); return tk_inf;}
+	YY_BREAK
+case 61:
+YY_RULE_SETUP
+#line 233 "./verilogaLex.l"
+{TKRETURN(verilogatext,verilogaleng); return tk_ident;}
+	YY_BREAK
+case 62:
+YY_RULE_SETUP
+#line 235 "./verilogaLex.l"
+{TKRETURN(verilogatext,verilogaleng); return tk_op_shr;}
+	YY_BREAK
+case 63:
+YY_RULE_SETUP
+#line 236 "./verilogaLex.l"
+{TKRETURN(verilogatext,verilogaleng); return tk_op_shl;}
+	YY_BREAK
+case 64:
+YY_RULE_SETUP
+#line 237 "./verilogaLex.l"
+{TKRETURN(verilogatext,verilogaleng); return tk_and;}
+	YY_BREAK
+case 65:
+YY_RULE_SETUP
+#line 238 "./verilogaLex.l"
+{TKRETURN(verilogatext,verilogaleng); return tk_or;}
+	YY_BREAK
+case 66:
+YY_RULE_SETUP
+#line 239 "./verilogaLex.l"
+{TKRETURN(verilogatext,verilogaleng); return tk_bitwise_equr;}
+	YY_BREAK
+case 67:
+YY_RULE_SETUP
+#line 241 "./verilogaLex.l"
+{TKRETURN(verilogatext,verilogaleng); return tk_dollar_ident;}
+	YY_BREAK
+case 68:
+/* rule 68 can match eol */
+YY_RULE_SETUP
+#line 242 "./verilogaLex.l"
+{TKSTRIPPEDRETURN(verilogatext,verilogaleng); return tk_char;}
+	YY_BREAK
+case 69:
+YY_RULE_SETUP
+#line 243 "./verilogaLex.l"
+{TKRETURN(verilogatext,verilogaleng); return tk_number;}
+	YY_BREAK
+case 70:
+YY_RULE_SETUP
+#line 244 "./verilogaLex.l"
+{TKRETURN(verilogatext,verilogaleng); return tk_number;}
+	YY_BREAK
+case 71:
+YY_RULE_SETUP
+#line 245 "./verilogaLex.l"
+{TKRETURN(verilogatext,verilogaleng); return tk_number;}
+	YY_BREAK
+case 72:
+YY_RULE_SETUP
+#line 246 "./verilogaLex.l"
+{TKRETURN(verilogatext,verilogaleng); return tk_number;}
+	YY_BREAK
+case 73:
+YY_RULE_SETUP
+#line 248 "./verilogaLex.l"
+{POS_UPDATE(verilogaleng,verilogatext);return verilogatext[0];}
+	YY_BREAK
+case 74:
+YY_RULE_SETUP
+#line 250 "./verilogaLex.l"
+ECHO;
+	YY_BREAK
+#line 1539 "verilogaLex.c"
+case YY_STATE_EOF(INITIAL):
+case YY_STATE_EOF(insideAttribute):
+case YY_STATE_EOF(insideAttributeBegin):
+case YY_STATE_EOF(insideAttributeEqual):
+case YY_STATE_EOF(insideAttributeValue):
+case YY_STATE_EOF(insideAttributeEnd):
+case YY_STATE_EOF(insidePragma):
+	yyterminate();
+
+	case YY_END_OF_BUFFER:
+		{
+		/* Amount of text matched not including the EOB char. */
+		int yy_amount_of_matched_text = (int) (yy_cp - (yytext_ptr)) - 1;
+
+		/* Undo the effects of YY_DO_BEFORE_ACTION. */
+		*yy_cp = (yy_hold_char);
+		YY_RESTORE_YY_MORE_OFFSET
+
+		if ( YY_CURRENT_BUFFER_LVALUE->yy_buffer_status == YY_BUFFER_NEW )
+			{
+			/* We're scanning a new file or input source.  It's
+			 * possible that this happened because the user
+			 * just pointed verilogain at a new source and called
+			 * verilogalex().  If so, then we have to assure
+			 * consistency between YY_CURRENT_BUFFER and our
+			 * globals.  Here is the right place to do so, because
+			 * this is the first action (other than possibly a
+			 * back-up) that will match for the new input source.
+			 */
+			(yy_n_chars) = YY_CURRENT_BUFFER_LVALUE->yy_n_chars;
+			YY_CURRENT_BUFFER_LVALUE->yy_input_file = verilogain;
+			YY_CURRENT_BUFFER_LVALUE->yy_buffer_status = YY_BUFFER_NORMAL;
+			}
+
+		/* Note that here we test for yy_c_buf_p "<=" to the position
+		 * of the first EOB in the buffer, since yy_c_buf_p will
+		 * already have been incremented past the NUL character
+		 * (since all states make transitions on EOB to the
+		 * end-of-buffer state).  Contrast this with the test
+		 * in input().
+		 */
+		if ( (yy_c_buf_p) <= &YY_CURRENT_BUFFER_LVALUE->yy_ch_buf[(yy_n_chars)] )
+			{ /* This was really a NUL. */
+			yy_state_type yy_next_state;
+
+			(yy_c_buf_p) = (yytext_ptr) + yy_amount_of_matched_text;
+
+			yy_current_state = yy_get_previous_state(  );
+
+			/* Okay, we're now positioned to make the NUL
+			 * transition.  We couldn't have
+			 * yy_get_previous_state() go ahead and do it
+			 * for us because it doesn't know how to deal
+			 * with the possibility of jamming (and we don't
+			 * want to build jamming into it because then it
+			 * will run more slowly).
+			 */
+
+			yy_next_state = yy_try_NUL_trans( yy_current_state );
+
+			yy_bp = (yytext_ptr) + YY_MORE_ADJ;
+
+			if ( yy_next_state )
+				{
+				/* Consume the NUL. */
+				yy_cp = ++(yy_c_buf_p);
+				yy_current_state = yy_next_state;
+				goto yy_match;
+				}
+
+			else
+				{
+				yy_cp = (yy_c_buf_p);
+				goto yy_find_action;
+				}
+			}
+
+		else switch ( yy_get_next_buffer(  ) )
+			{
+			case EOB_ACT_END_OF_FILE:
+				{
+				(yy_did_buffer_switch_on_eof) = 0;
+
+				if ( verilogawrap( ) )
+					{
+					/* Note: because we've taken care in
+					 * yy_get_next_buffer() to have set up
+					 * verilogatext, we can now set up
+					 * yy_c_buf_p so that if some total
+					 * hoser (like flex itself) wants to
+					 * call the scanner after we return the
+					 * YY_NULL, it'll still work - another
+					 * YY_NULL will get returned.
+					 */
+					(yy_c_buf_p) = (yytext_ptr) + YY_MORE_ADJ;
+
+					yy_act = YY_STATE_EOF(YY_START);
+					goto do_action;
+					}
+
+				else
+					{
+					if ( ! (yy_did_buffer_switch_on_eof) )
+						YY_NEW_FILE;
+					}
+				break;
+				}
+
+			case EOB_ACT_CONTINUE_SCAN:
+				(yy_c_buf_p) =
+					(yytext_ptr) + yy_amount_of_matched_text;
+
+				yy_current_state = yy_get_previous_state(  );
+
+				yy_cp = (yy_c_buf_p);
+				yy_bp = (yytext_ptr) + YY_MORE_ADJ;
+				goto yy_match;
+
+			case EOB_ACT_LAST_MATCH:
+				(yy_c_buf_p) =
+				&YY_CURRENT_BUFFER_LVALUE->yy_ch_buf[(yy_n_chars)];
+
+				yy_current_state = yy_get_previous_state(  );
+
+				yy_cp = (yy_c_buf_p);
+				yy_bp = (yytext_ptr) + YY_MORE_ADJ;
+				goto yy_find_action;
+			}
+		break;
+		}
+
+	default:
+		YY_FATAL_ERROR(
+			"fatal flex scanner internal error--no action found" );
+	} /* end of action switch */
+		} /* end of scanning one token */
+} /* end of verilogalex */
+
+/* yy_get_next_buffer - try to read in a new buffer
+ *
+ * Returns a code representing an action:
+ *	EOB_ACT_LAST_MATCH -
+ *	EOB_ACT_CONTINUE_SCAN - continue scanning from current position
+ *	EOB_ACT_END_OF_FILE - end of file
+ */
+static int yy_get_next_buffer (void)
+{
+    	register char *dest = YY_CURRENT_BUFFER_LVALUE->yy_ch_buf;
+	register char *source = (yytext_ptr);
+	register int number_to_move, i;
+	int ret_val;
+
+	if ( (yy_c_buf_p) > &YY_CURRENT_BUFFER_LVALUE->yy_ch_buf[(yy_n_chars) + 1] )
+		YY_FATAL_ERROR(
+		"fatal flex scanner internal error--end of buffer missed" );
+
+	if ( YY_CURRENT_BUFFER_LVALUE->yy_fill_buffer == 0 )
+		{ /* Don't try to fill the buffer, so this is an EOF. */
+		if ( (yy_c_buf_p) - (yytext_ptr) - YY_MORE_ADJ == 1 )
+			{
+			/* We matched a single character, the EOB, so
+			 * treat this as a final EOF.
+			 */
+			return EOB_ACT_END_OF_FILE;
+			}
+
+		else
+			{
+			/* We matched some text prior to the EOB, first
+			 * process it.
+			 */
+			return EOB_ACT_LAST_MATCH;
+			}
+		}
+
+	/* Try to read more data. */
+
+	/* First move last chars to start of buffer. */
+	number_to_move = (int) ((yy_c_buf_p) - (yytext_ptr)) - 1;
+
+	for ( i = 0; i < number_to_move; ++i )
+		*(dest++) = *(source++);
+
+	if ( YY_CURRENT_BUFFER_LVALUE->yy_buffer_status == YY_BUFFER_EOF_PENDING )
+		/* don't do the read, it's not guaranteed to return an EOF,
+		 * just force an EOF
+		 */
+		YY_CURRENT_BUFFER_LVALUE->yy_n_chars = (yy_n_chars) = 0;
+
+	else
+		{
+			int num_to_read =
+			YY_CURRENT_BUFFER_LVALUE->yy_buf_size - number_to_move - 1;
+
+		while ( num_to_read <= 0 )
+			{ /* Not enough room in the buffer - grow it. */
+
+			/* just a shorter name for the current buffer */
+			YY_BUFFER_STATE b = YY_CURRENT_BUFFER;
+
+			int yy_c_buf_p_offset =
+				(int) ((yy_c_buf_p) - b->yy_ch_buf);
+
+			if ( b->yy_is_our_buffer )
+				{
+				int new_size = b->yy_buf_size * 2;
+
+				if ( new_size <= 0 )
+					b->yy_buf_size += b->yy_buf_size / 8;
+				else
+					b->yy_buf_size *= 2;
+
+				b->yy_ch_buf = (char *)
+					/* Include room in for 2 EOB chars. */
+					verilogarealloc((void *) b->yy_ch_buf,b->yy_buf_size + 2  );
+				}
+			else
+				/* Can't grow it, we don't own it. */
+				b->yy_ch_buf = 0;
+
+			if ( ! b->yy_ch_buf )
+				YY_FATAL_ERROR(
+				"fatal error - scanner input buffer overflow" );
+
+			(yy_c_buf_p) = &b->yy_ch_buf[yy_c_buf_p_offset];
+
+			num_to_read = YY_CURRENT_BUFFER_LVALUE->yy_buf_size -
+						number_to_move - 1;
+
+			}
+
+		if ( num_to_read > YY_READ_BUF_SIZE )
+			num_to_read = YY_READ_BUF_SIZE;
+
+		/* Read in more data. */
+		YY_INPUT( (&YY_CURRENT_BUFFER_LVALUE->yy_ch_buf[number_to_move]),
+			(yy_n_chars), (size_t) num_to_read );
+
+		YY_CURRENT_BUFFER_LVALUE->yy_n_chars = (yy_n_chars);
+		}
+
+	if ( (yy_n_chars) == 0 )
+		{
+		if ( number_to_move == YY_MORE_ADJ )
+			{
+			ret_val = EOB_ACT_END_OF_FILE;
+			verilogarestart(verilogain  );
+			}
+
+		else
+			{
+			ret_val = EOB_ACT_LAST_MATCH;
+			YY_CURRENT_BUFFER_LVALUE->yy_buffer_status =
+				YY_BUFFER_EOF_PENDING;
+			}
+		}
+
+	else
+		ret_val = EOB_ACT_CONTINUE_SCAN;
+
+	if ((yy_size_t) ((yy_n_chars) + number_to_move) > YY_CURRENT_BUFFER_LVALUE->yy_buf_size) {
+		/* Extend the array by 50%, plus the number we really need. */
+		yy_size_t new_size = (yy_n_chars) + number_to_move + ((yy_n_chars) >> 1);
+		YY_CURRENT_BUFFER_LVALUE->yy_ch_buf = (char *) verilogarealloc((void *) YY_CURRENT_BUFFER_LVALUE->yy_ch_buf,new_size  );
+		if ( ! YY_CURRENT_BUFFER_LVALUE->yy_ch_buf )
+			YY_FATAL_ERROR( "out of dynamic memory in yy_get_next_buffer()" );
+	}
+
+	(yy_n_chars) += number_to_move;
+	YY_CURRENT_BUFFER_LVALUE->yy_ch_buf[(yy_n_chars)] = YY_END_OF_BUFFER_CHAR;
+	YY_CURRENT_BUFFER_LVALUE->yy_ch_buf[(yy_n_chars) + 1] = YY_END_OF_BUFFER_CHAR;
+
+	(yytext_ptr) = &YY_CURRENT_BUFFER_LVALUE->yy_ch_buf[0];
+
+	return ret_val;
+}
+
+/* yy_get_previous_state - get the state just before the EOB char was reached */
+
+    static yy_state_type yy_get_previous_state (void)
+{
+	register yy_state_type yy_current_state;
+	register char *yy_cp;
+    
+	yy_current_state = (yy_start);
+	yy_current_state += YY_AT_BOL();
+
+	for ( yy_cp = (yytext_ptr) + YY_MORE_ADJ; yy_cp < (yy_c_buf_p); ++yy_cp )
+		{
+		register YY_CHAR yy_c = (*yy_cp ? yy_ec[YY_SC_TO_UI(*yy_cp)] : 1);
+		if ( yy_accept[yy_current_state] )
+			{
+			(yy_last_accepting_state) = yy_current_state;
+			(yy_last_accepting_cpos) = yy_cp;
+			}
+		while ( yy_chk[yy_base[yy_current_state] + yy_c] != yy_current_state )
+			{
+			yy_current_state = (int) yy_def[yy_current_state];
+			if ( yy_current_state >= 310 )
+				yy_c = yy_meta[(unsigned int) yy_c];
+			}
+		yy_current_state = yy_nxt[yy_base[yy_current_state] + (unsigned int) yy_c];
+		}
+
+	return yy_current_state;
+}
+
+/* yy_try_NUL_trans - try to make a transition on the NUL character
+ *
+ * synopsis
+ *	next_state = yy_try_NUL_trans( current_state );
+ */
+    static yy_state_type yy_try_NUL_trans  (yy_state_type yy_current_state )
+{
+	register int yy_is_jam;
+    	register char *yy_cp = (yy_c_buf_p);
+
+	register YY_CHAR yy_c = 1;
+	if ( yy_accept[yy_current_state] )
+		{
+		(yy_last_accepting_state) = yy_current_state;
+		(yy_last_accepting_cpos) = yy_cp;
+		}
+	while ( yy_chk[yy_base[yy_current_state] + yy_c] != yy_current_state )
+		{
+		yy_current_state = (int) yy_def[yy_current_state];
+		if ( yy_current_state >= 310 )
+			yy_c = yy_meta[(unsigned int) yy_c];
+		}
+	yy_current_state = yy_nxt[yy_base[yy_current_state] + (unsigned int) yy_c];
+	yy_is_jam = (yy_current_state == 309);
+
+	return yy_is_jam ? 0 : yy_current_state;
+}
+
+#ifndef YY_NO_INPUT
+#ifdef __cplusplus
+    static int yyinput (void)
+#else
+    static int input  (void)
+#endif
+
+{
+	int c;
+    
+	*(yy_c_buf_p) = (yy_hold_char);
+
+	if ( *(yy_c_buf_p) == YY_END_OF_BUFFER_CHAR )
+		{
+		/* yy_c_buf_p now points to the character we want to return.
+		 * If this occurs *before* the EOB characters, then it's a
+		 * valid NUL; if not, then we've hit the end of the buffer.
+		 */
+		if ( (yy_c_buf_p) < &YY_CURRENT_BUFFER_LVALUE->yy_ch_buf[(yy_n_chars)] )
+			/* This was really a NUL. */
+			*(yy_c_buf_p) = '\0';
+
+		else
+			{ /* need more input */
+			int offset = (yy_c_buf_p) - (yytext_ptr);
+			++(yy_c_buf_p);
+
+			switch ( yy_get_next_buffer(  ) )
+				{
+				case EOB_ACT_LAST_MATCH:
+					/* This happens because yy_g_n_b()
+					 * sees that we've accumulated a
+					 * token and flags that we need to
+					 * try matching the token before
+					 * proceeding.  But for input(),
+					 * there's no matching to consider.
+					 * So convert the EOB_ACT_LAST_MATCH
+					 * to EOB_ACT_END_OF_FILE.
+					 */
+
+					/* Reset buffer status. */
+					verilogarestart(verilogain );
+
+					/*FALLTHROUGH*/
+
+				case EOB_ACT_END_OF_FILE:
+					{
+					if ( verilogawrap( ) )
+						return EOF;
+
+					if ( ! (yy_did_buffer_switch_on_eof) )
+						YY_NEW_FILE;
+#ifdef __cplusplus
+					return yyinput();
+#else
+					return input();
+#endif
+					}
+
+				case EOB_ACT_CONTINUE_SCAN:
+					(yy_c_buf_p) = (yytext_ptr) + offset;
+					break;
+				}
+			}
+		}
+
+	c = *(unsigned char *) (yy_c_buf_p);	/* cast for 8-bit char's */
+	*(yy_c_buf_p) = '\0';	/* preserve verilogatext */
+	(yy_hold_char) = *++(yy_c_buf_p);
+
+	YY_CURRENT_BUFFER_LVALUE->yy_at_bol = (c == '\n');
+
+	return c;
+}
+#endif	/* ifndef YY_NO_INPUT */
+
+/** Immediately switch to a different input stream.
+ * @param input_file A readable stream.
+ * 
+ * @note This function does not reset the start condition to @c INITIAL .
+ */
+    void verilogarestart  (FILE * input_file )
+{
+    
+	if ( ! YY_CURRENT_BUFFER ){
+        verilogaensure_buffer_stack ();
+		YY_CURRENT_BUFFER_LVALUE =
+            veriloga_create_buffer(verilogain,YY_BUF_SIZE );
+	}
+
+	veriloga_init_buffer(YY_CURRENT_BUFFER,input_file );
+	veriloga_load_buffer_state( );
+}
+
+/** Switch to a different input buffer.
+ * @param new_buffer The new input buffer.
+ * 
+ */
+    void veriloga_switch_to_buffer  (YY_BUFFER_STATE  new_buffer )
+{
+    
+	/* TODO. We should be able to replace this entire function body
+	 * with
+	 *		verilogapop_buffer_state();
+	 *		verilogapush_buffer_state(new_buffer);
+     */
+	verilogaensure_buffer_stack ();
+	if ( YY_CURRENT_BUFFER == new_buffer )
+		return;
+
+	if ( YY_CURRENT_BUFFER )
+		{
+		/* Flush out information for old buffer. */
+		*(yy_c_buf_p) = (yy_hold_char);
+		YY_CURRENT_BUFFER_LVALUE->yy_buf_pos = (yy_c_buf_p);
+		YY_CURRENT_BUFFER_LVALUE->yy_n_chars = (yy_n_chars);
+		}
+
+	YY_CURRENT_BUFFER_LVALUE = new_buffer;
+	veriloga_load_buffer_state( );
+
+	/* We don't actually know whether we did this switch during
+	 * EOF (verilogawrap()) processing, but the only time this flag
+	 * is looked at is after verilogawrap() is called, so it's safe
+	 * to go ahead and always set it.
+	 */
+	(yy_did_buffer_switch_on_eof) = 1;
+}
+
+static void veriloga_load_buffer_state  (void)
+{
+    	(yy_n_chars) = YY_CURRENT_BUFFER_LVALUE->yy_n_chars;
+	(yytext_ptr) = (yy_c_buf_p) = YY_CURRENT_BUFFER_LVALUE->yy_buf_pos;
+	verilogain = YY_CURRENT_BUFFER_LVALUE->yy_input_file;
+	(yy_hold_char) = *(yy_c_buf_p);
+}
+
+/** Allocate and initialize an input buffer state.
+ * @param file A readable stream.
+ * @param size The character buffer size in bytes. When in doubt, use @c YY_BUF_SIZE.
+ * 
+ * @return the allocated buffer state.
+ */
+    YY_BUFFER_STATE veriloga_create_buffer  (FILE * file, int  size )
+{
+	YY_BUFFER_STATE b;
+    
+	b = (YY_BUFFER_STATE) verilogaalloc(sizeof( struct yy_buffer_state )  );
+	if ( ! b )
+		YY_FATAL_ERROR( "out of dynamic memory in veriloga_create_buffer()" );
+
+	b->yy_buf_size = size;
+
+	/* yy_ch_buf has to be 2 characters longer than the size given because
+	 * we need to put in 2 end-of-buffer characters.
+	 */
+	b->yy_ch_buf = (char *) verilogaalloc(b->yy_buf_size + 2  );
+	if ( ! b->yy_ch_buf )
+		YY_FATAL_ERROR( "out of dynamic memory in veriloga_create_buffer()" );
+
+	b->yy_is_our_buffer = 1;
+
+	veriloga_init_buffer(b,file );
+
+	return b;
+}
+
+/** Destroy the buffer.
+ * @param b a buffer created with veriloga_create_buffer()
+ * 
+ */
+    void veriloga_delete_buffer (YY_BUFFER_STATE  b )
+{
+    
+	if ( ! b )
+		return;
+
+	if ( b == YY_CURRENT_BUFFER ) /* Not sure if we should pop here. */
+		YY_CURRENT_BUFFER_LVALUE = (YY_BUFFER_STATE) 0;
+
+	if ( b->yy_is_our_buffer )
+		verilogafree((void *) b->yy_ch_buf  );
+
+	verilogafree((void *) b  );
+}
+
+#ifndef __cplusplus
+extern int isatty (int );
+#endif /* __cplusplus */
+    
+/* Initializes or reinitializes a buffer.
+ * This function is sometimes called more than once on the same buffer,
+ * such as during a verilogarestart() or at EOF.
+ */
+    static void veriloga_init_buffer  (YY_BUFFER_STATE  b, FILE * file )
+
+{
+	int oerrno = errno;
+    
+	veriloga_flush_buffer(b );
+
+	b->yy_input_file = file;
+	b->yy_fill_buffer = 1;
+
+    /* If b is the current buffer, then veriloga_init_buffer was _probably_
+     * called from verilogarestart() or through yy_get_next_buffer.
+     * In that case, we don't want to reset the lineno or column.
+     */
+    if (b != YY_CURRENT_BUFFER){
+        b->yy_bs_lineno = 1;
+        b->yy_bs_column = 0;
+    }
+
+        b->yy_is_interactive = file ? (isatty( fileno(file) ) > 0) : 0;
+    
+	errno = oerrno;
+}
+
+/** Discard all buffered characters. On the next scan, YY_INPUT will be called.
+ * @param b the buffer state to be flushed, usually @c YY_CURRENT_BUFFER.
+ * 
+ */
+    void veriloga_flush_buffer (YY_BUFFER_STATE  b )
+{
+    	if ( ! b )
+		return;
+
+	b->yy_n_chars = 0;
+
+	/* We always need two end-of-buffer characters.  The first causes
+	 * a transition to the end-of-buffer state.  The second causes
+	 * a jam in that state.
+	 */
+	b->yy_ch_buf[0] = YY_END_OF_BUFFER_CHAR;
+	b->yy_ch_buf[1] = YY_END_OF_BUFFER_CHAR;
+
+	b->yy_buf_pos = &b->yy_ch_buf[0];
+
+	b->yy_at_bol = 1;
+	b->yy_buffer_status = YY_BUFFER_NEW;
+
+	if ( b == YY_CURRENT_BUFFER )
+		veriloga_load_buffer_state( );
+}
+
+/** Pushes the new state onto the stack. The new state becomes
+ *  the current state. This function will allocate the stack
+ *  if necessary.
+ *  @param new_buffer The new state.
+ *  
+ */
+void verilogapush_buffer_state (YY_BUFFER_STATE new_buffer )
+{
+    	if (new_buffer == NULL)
+		return;
+
+	verilogaensure_buffer_stack();
+
+	/* This block is copied from veriloga_switch_to_buffer. */
+	if ( YY_CURRENT_BUFFER )
+		{
+		/* Flush out information for old buffer. */
+		*(yy_c_buf_p) = (yy_hold_char);
+		YY_CURRENT_BUFFER_LVALUE->yy_buf_pos = (yy_c_buf_p);
+		YY_CURRENT_BUFFER_LVALUE->yy_n_chars = (yy_n_chars);
+		}
+
+	/* Only push if top exists. Otherwise, replace top. */
+	if (YY_CURRENT_BUFFER)
+		(yy_buffer_stack_top)++;
+	YY_CURRENT_BUFFER_LVALUE = new_buffer;
+
+	/* copied from veriloga_switch_to_buffer. */
+	veriloga_load_buffer_state( );
+	(yy_did_buffer_switch_on_eof) = 1;
+}
+
+/** Removes and deletes the top of the stack, if present.
+ *  The next element becomes the new top.
+ *  
+ */
+void verilogapop_buffer_state (void)
+{
+    	if (!YY_CURRENT_BUFFER)
+		return;
+
+	veriloga_delete_buffer(YY_CURRENT_BUFFER );
+	YY_CURRENT_BUFFER_LVALUE = NULL;
+	if ((yy_buffer_stack_top) > 0)
+		--(yy_buffer_stack_top);
+
+	if (YY_CURRENT_BUFFER) {
+		veriloga_load_buffer_state( );
+		(yy_did_buffer_switch_on_eof) = 1;
+	}
+}
+
+/* Allocates the stack if it does not exist.
+ *  Guarantees space for at least one push.
+ */
+static void verilogaensure_buffer_stack (void)
+{
+	int num_to_alloc;
+    
+	if (!(yy_buffer_stack)) {
+
+		/* First allocation is just for 2 elements, since we don't know if this
+		 * scanner will even need a stack. We use 2 instead of 1 to avoid an
+		 * immediate realloc on the next call.
+         */
+		num_to_alloc = 1;
+		(yy_buffer_stack) = (struct yy_buffer_state**)verilogaalloc
+								(num_to_alloc * sizeof(struct yy_buffer_state*)
+								);
+		if ( ! (yy_buffer_stack) )
+			YY_FATAL_ERROR( "out of dynamic memory in verilogaensure_buffer_stack()" );
+								  
+		memset((yy_buffer_stack), 0, num_to_alloc * sizeof(struct yy_buffer_state*));
+				
+		(yy_buffer_stack_max) = num_to_alloc;
+		(yy_buffer_stack_top) = 0;
+		return;
+	}
+
+	if ((yy_buffer_stack_top) >= ((yy_buffer_stack_max)) - 1){
+
+		/* Increase the buffer to prepare for a possible push. */
+		int grow_size = 8 /* arbitrary grow size */;
+
+		num_to_alloc = (yy_buffer_stack_max) + grow_size;
+		(yy_buffer_stack) = (struct yy_buffer_state**)verilogarealloc
+								((yy_buffer_stack),
+								num_to_alloc * sizeof(struct yy_buffer_state*)
+								);
+		if ( ! (yy_buffer_stack) )
+			YY_FATAL_ERROR( "out of dynamic memory in verilogaensure_buffer_stack()" );
+
+		/* zero only the new slots.*/
+		memset((yy_buffer_stack) + (yy_buffer_stack_max), 0, grow_size * sizeof(struct yy_buffer_state*));
+		(yy_buffer_stack_max) = num_to_alloc;
+	}
+}
+
+/** Setup the input buffer state to scan directly from a user-specified character buffer.
+ * @param base the character buffer
+ * @param size the size in bytes of the character buffer
+ * 
+ * @return the newly allocated buffer state object. 
+ */
+YY_BUFFER_STATE veriloga_scan_buffer  (char * base, yy_size_t  size )
+{
+	YY_BUFFER_STATE b;
+    
+	if ( size < 2 ||
+	     base[size-2] != YY_END_OF_BUFFER_CHAR ||
+	     base[size-1] != YY_END_OF_BUFFER_CHAR )
+		/* They forgot to leave room for the EOB's. */
+		return 0;
+
+	b = (YY_BUFFER_STATE) verilogaalloc(sizeof( struct yy_buffer_state )  );
+	if ( ! b )
+		YY_FATAL_ERROR( "out of dynamic memory in veriloga_scan_buffer()" );
+
+	b->yy_buf_size = size - 2;	/* "- 2" to take care of EOB's */
+	b->yy_buf_pos = b->yy_ch_buf = base;
+	b->yy_is_our_buffer = 0;
+	b->yy_input_file = 0;
+	b->yy_n_chars = b->yy_buf_size;
+	b->yy_is_interactive = 0;
+	b->yy_at_bol = 1;
+	b->yy_fill_buffer = 0;
+	b->yy_buffer_status = YY_BUFFER_NEW;
+
+	veriloga_switch_to_buffer(b  );
+
+	return b;
+}
+
+/** Setup the input buffer state to scan a string. The next call to verilogalex() will
+ * scan from a @e copy of @a str.
+ * @param yystr a NUL-terminated string to scan
+ * 
+ * @return the newly allocated buffer state object.
+ * @note If you want to scan bytes that may contain NUL values, then use
+ *       veriloga_scan_bytes() instead.
+ */
+YY_BUFFER_STATE veriloga_scan_string (yyconst char * yystr )
+{
+    
+	return veriloga_scan_bytes(yystr,strlen(yystr) );
+}
+
+/** Setup the input buffer state to scan the given bytes. The next call to verilogalex() will
+ * scan from a @e copy of @a bytes.
+ * @param bytes the byte buffer to scan
+ * @param len the number of bytes in the buffer pointed to by @a bytes.
+ * 
+ * @return the newly allocated buffer state object.
+ */
+YY_BUFFER_STATE veriloga_scan_bytes  (yyconst char * yybytes, int  _yybytes_len )
+{
+	YY_BUFFER_STATE b;
+	char *buf;
+	yy_size_t n;
+	int i;
+    
+	/* Get memory for full buffer, including space for trailing EOB's. */
+	n = _yybytes_len + 2;
+	buf = (char *) verilogaalloc(n  );
+	if ( ! buf )
+		YY_FATAL_ERROR( "out of dynamic memory in veriloga_scan_bytes()" );
+
+	for ( i = 0; i < _yybytes_len; ++i )
+		buf[i] = yybytes[i];
+
+	buf[_yybytes_len] = buf[_yybytes_len+1] = YY_END_OF_BUFFER_CHAR;
+
+	b = veriloga_scan_buffer(buf,n );
+	if ( ! b )
+		YY_FATAL_ERROR( "bad buffer in veriloga_scan_bytes()" );
+
+	/* It's okay to grow etc. this buffer, and we should throw it
+	 * away when we're done.
+	 */
+	b->yy_is_our_buffer = 1;
+
+	return b;
+}
+
+#ifndef YY_EXIT_FAILURE
+#define YY_EXIT_FAILURE 2
+#endif
+
+static void yy_fatal_error (yyconst char* msg )
+{
+    	(void) fprintf( stderr, "%s\n", msg );
+	exit( YY_EXIT_FAILURE );
+}
+
+/* Redefine yyless() so it works in section 3 code. */
+
+#undef yyless
+#define yyless(n) \
+	do \
+		{ \
+		/* Undo effects of setting up verilogatext. */ \
+        int yyless_macro_arg = (n); \
+        YY_LESS_LINENO(yyless_macro_arg);\
+		verilogatext[verilogaleng] = (yy_hold_char); \
+		(yy_c_buf_p) = verilogatext + yyless_macro_arg; \
+		(yy_hold_char) = *(yy_c_buf_p); \
+		*(yy_c_buf_p) = '\0'; \
+		verilogaleng = yyless_macro_arg; \
+		} \
+	while ( 0 )
+
+/* Accessor  methods (get/set functions) to struct members. */
+
+/** Get the current line number.
+ * 
+ */
+int verilogaget_lineno  (void)
+{
+        
+    return verilogalineno;
+}
+
+/** Get the input stream.
+ * 
+ */
+FILE *verilogaget_in  (void)
+{
+        return verilogain;
+}
+
+/** Get the output stream.
+ * 
+ */
+FILE *verilogaget_out  (void)
+{
+        return verilogaout;
+}
+
+/** Get the length of the current token.
+ * 
+ */
+int verilogaget_leng  (void)
+{
+        return verilogaleng;
+}
+
+/** Get the current token.
+ * 
+ */
+
+char *verilogaget_text  (void)
+{
+        return verilogatext;
+}
+
+/** Set the current line number.
+ * @param line_number
+ * 
+ */
+void verilogaset_lineno (int  line_number )
+{
+    
+    verilogalineno = line_number;
+}
+
+/** Set the input stream. This does not discard the current
+ * input buffer.
+ * @param in_str A readable stream.
+ * 
+ * @see veriloga_switch_to_buffer
+ */
+void verilogaset_in (FILE *  in_str )
+{
+        verilogain = in_str ;
+}
+
+void verilogaset_out (FILE *  out_str )
+{
+        verilogaout = out_str ;
+}
+
+int verilogaget_debug  (void)
+{
+        return veriloga_flex_debug;
+}
+
+void verilogaset_debug (int  bdebug )
+{
+        veriloga_flex_debug = bdebug ;
+}
+
+static int yy_init_globals (void)
+{
+        /* Initialization is the same as for the non-reentrant scanner.
+     * This function is called from verilogalex_destroy(), so don't allocate here.
+     */
+
+    (yy_buffer_stack) = 0;
+    (yy_buffer_stack_top) = 0;
+    (yy_buffer_stack_max) = 0;
+    (yy_c_buf_p) = (char *) 0;
+    (yy_init) = 0;
+    (yy_start) = 0;
+
+/* Defined in main.c */
+#ifdef YY_STDINIT
+    verilogain = stdin;
+    verilogaout = stdout;
+#else
+    verilogain = (FILE *) 0;
+    verilogaout = (FILE *) 0;
+#endif
+
+    /* For future reference: Set errno on error, since we are called by
+     * verilogalex_init()
+     */
+    return 0;
+}
+
+/* verilogalex_destroy is for both reentrant and non-reentrant scanners. */
+int verilogalex_destroy  (void)
+{
+    
+    /* Pop the buffer stack, destroying each element. */
+	while(YY_CURRENT_BUFFER){
+		veriloga_delete_buffer(YY_CURRENT_BUFFER  );
+		YY_CURRENT_BUFFER_LVALUE = NULL;
+		verilogapop_buffer_state();
+	}
+
+	/* Destroy the stack itself. */
+	verilogafree((yy_buffer_stack) );
+	(yy_buffer_stack) = NULL;
+
+    /* Reset the globals. This is important in a non-reentrant scanner so the next time
+     * verilogalex() is called, initialization will occur. */
+    yy_init_globals( );
+
+    return 0;
+}
+
+/*
+ * Internal utility routines.
+ */
+
+#ifndef yytext_ptr
+static void yy_flex_strncpy (char* s1, yyconst char * s2, int n )
+{
+	register int i;
+	for ( i = 0; i < n; ++i )
+		s1[i] = s2[i];
+}
+#endif
+
+#ifdef YY_NEED_STRLEN
+static int yy_flex_strlen (yyconst char * s )
+{
+	register int n;
+	for ( n = 0; s[n]; ++n )
+		;
+
+	return n;
+}
+#endif
+
+void *verilogaalloc (yy_size_t  size )
+{
+	return (void *) malloc( size );
+}
+
+void *verilogarealloc  (void * ptr, yy_size_t  size )
+{
+	/* The cast to (char *) in the following accommodates both
+	 * implementations that use char* generic pointers, and those
+	 * that use void* generic pointers.  It works with the latter
+	 * because both ANSI C and C++ allow castless assignment from
+	 * any pointer type to void*, and deal with argument conversions
+	 * as though doing an assignment.
+	 */
+	return (void *) realloc( (char *) ptr, size );
+}
+
+void verilogafree (void * ptr )
+{
+	free( (char *) ptr );	/* see verilogarealloc() for (char *) cast */
+}
+
+#define YYTABLES_NAME "yytables"
+
+#line 250 "./verilogaLex.l"
+
+
+
+int verilogawrap (void) {return 1;}
+
diff --git a/admsXml/verilogaLex.l b/admsXml/verilogaLex.l
new file mode 100644
index 0000000..48d2c00
--- /dev/null
+++ b/admsXml/verilogaLex.l
@@ -0,0 +1,252 @@
+%{
+
+#include "admsVeriloga.h"
+#include "verilogaYacc.h"
+
+static int adms_strtointeger (const char *mystr)
+{
+  int val;
+  errno=0;
+  val=(int)strtol(mystr,NULL,10);
+  if(errno)
+    adms_message_fatal(("%s: strtoint conversion failed\n",mystr))
+  return val;
+}
+void adms_veriloga_setfile_input (FILE *ifile)
+{
+  yyin=ifile;
+}
+void verilogaerror (const char *s)
+{
+  adms_message_fatal(("%s: during lexical analysis %s at line %i -- see '%s'\n",root()->_curfilename,s,root()->_curline,verilogatext))
+}
+void POS_UPDATE(const int myyyleng,const char*myyytext)
+{
+  int c=root()->_fpos;
+  adms_message_dbg_vla(("%s:%i:%i-%i read token '%s'\n",root()->_curfilename,
+    root()->_curline,c+1,c+myyyleng,myyytext))
+  adms_admsmain_valueto_fpos(root(),c+myyyleng);
+}
+void TKRETURN(const char*myyytext, const int myyyleng)
+{
+  verilogalval._lexval=adms_lexval_new(myyytext,root()->_curfilename,root()->_curline,root()->_fpos+1);
+  POS_UPDATE(myyyleng,myyytext);
+}
+void TKSTRIPPEDRETURN(const char*myyytext, const int myyyleng)
+{
+  char*mystrippedstring;
+  int mynewlen=strlen(myyytext)-2;
+  assert(mynewlen>=0);
+  mystrippedstring=(char*)malloc(mynewlen+1);
+  if(mystrippedstring)
+  {
+    strncpy(mystrippedstring,myyytext+1,mynewlen);
+    mystrippedstring[mynewlen]='\0';
+  }
+  POS_UPDATE(myyyleng,myyytext);
+  verilogalval._lexval=adms_lexval_new(
+    mystrippedstring,
+    root()->_curfilename,
+    root()->_curline,
+    root()->_fpos
+  );
+  free(mystrippedstring);
+}
+#ifdef YYDEBUG
+extern int yydebug;
+#endif
+
+%}
+
+whitespace0 [ \t\v\f]*
+whitespace [ \t\v\f]+
+newline    \r?\n
+wn [ \t\v\f\r?\n]
+wn0 [ \t\v\f\r?\n]*
+wn1 [ \t\v\f\r?\n]+
+ident      [A-Za-z_][A-Za-z0-9_]*
+attribute  [A-Za-z_][A-Za-z0-9_:.]*
+attributevalue {wn0}={wn0}{anystring} 
+anystring  \"[^\"]*\"
+b8_int     0[0-9]*
+b10_uint   [1-9][0-9]*
+b16_int    0[xX][0-9A-Fa-f]+
+float      [0-9]*\.[0-9]+([eE][+-]?[0-9]+)?|[0-9]+\.?([eE][+-]?[0-9]+)?
+char       \'[^\']*[\'\n]
+
+%x insideAttribute 
+%x insideAttributeBegin 
+%x insideAttributeEqual 
+%x insideAttributeValue 
+%x insideAttributeEnd 
+%x insidePragma 
+%option nounput
+
+%%
+
+{newline} {
+  adms_admsmain_valueto_curline(root(),root()->_curline+1);
+  adms_admsmain_valueto_fpos(root(),0);
+}
+
+^#{whitespace} {BEGIN(insidePragma);}
+{whitespace} {POS_UPDATE(yyleng,yytext);}
+
+<insidePragma>{
+{anystring} {
+  char*mystrippedstring;
+  int mynewlen=strlen(yytext)-2;
+  assert(mynewlen>=0);
+  mystrippedstring=(char*)malloc(mynewlen+1);
+  if(mystrippedstring)
+  {
+    strncpy(mystrippedstring,yytext+1,mynewlen);
+    mystrippedstring[mynewlen]='\0';
+  }
+  adms_admsmain_valueto_curfilename(root(),mystrippedstring);
+  free(mystrippedstring);
+}
+{b10_uint} {
+    adms_admsmain_valueto_curline(root(),adms_strtointeger(yytext));
+    adms_admsmain_valueto_fpos(root(),0);
+}
+{newline} {
+  BEGIN(INITIAL);
+  adms_admsmain_valueto_fpos(root(),0);
+}
+{whitespace} {POS_UPDATE(yyleng,yytext);}
+. {
+  adms_message_fatal(("[%s:%i]: inside pragma declaration unexpected character at [%s]\n",root()->_curfilename,root()->_curline,yytext))
+}
+}
+
+{anystring} {TKSTRIPPEDRETURN(yytext,yyleng); return tk_anystring;}
+
+"(*"{wn0} {BEGIN(insideAttribute); {TKRETURN(yytext,yyleng); return tk_beginattribute;}}
+
+<insideAttribute>{
+"*)" {BEGIN(INITIAL);TKRETURN(yytext,yyleng);return tk_endattribute;}
+{attribute}{attributevalue} {BEGIN(insideAttributeBegin);yyless(0);}
+. {
+    char myyytext[1000];
+    register int i=0;
+    myyytext[i]=yytext[0];
+    for(;;)
+    {
+      while((myyytext[i]!='*')&&(myyytext[i]!=EOF))
+      {
+        myyytext[++i]=input();
+      }
+      if(myyytext[i]=='*')
+      {
+        while((myyytext[++i]=input())=='*')
+        ;
+        if(myyytext[i]==')')
+        {
+          BEGIN(INITIAL);
+          i-=2;
+          while(myyytext[i]==' ')
+            i--;
+          myyytext[i+1]='\0';
+          POS_UPDATE(yyleng,yytext);
+          verilogalval._lexval=adms_lexval_new(
+            myyytext,
+            root()->_curfilename,
+            root()->_curline,
+            root()->_fpos
+          );
+          return tk_anytext;
+        }
+      }
+      if(myyytext[i]==EOF)
+      {
+        adms_message_fatal(("[%s:%i]: inside Verilog-ams EOF found in attribute declaration [%s]\n",root()->_curfilename,root()->_curline,yytext))
+      }
+    }
+}
+}
+<insideAttributeBegin>{
+{attribute} {BEGIN(insideAttributeEqual);TKRETURN(yytext,yyleng);return tk_ident;}
+. {
+  adms_message_fatal(("[%s:%i]: inside Verilog-ams attribute declaration unexpected character at [%s]\n",root()->_curfilename,root()->_curline,yytext))
+}
+}
+<insideAttributeEqual>{
+{wn0}={wn0} {BEGIN(insideAttributeValue);return '=';}
+. {
+  adms_message_fatal(("[%s:%i]: inside Verilog-ams attribute declaration unexpected character at [%s]\n",root()->_curfilename,root()->_curline,yytext))
+}
+}
+<insideAttributeValue>{
+{anystring} {TKSTRIPPEDRETURN(yytext,yyleng);BEGIN(insideAttributeEnd);return tk_anystring;}
+. {
+  adms_message_fatal(("[%s:%i]: inside Verilog-ams attribute declaration unexpected character at [%s]\n",root()->_curfilename,root()->_curline,yytext))
+}
+}
+<insideAttributeEnd>{
+{wn0}"*)" {BEGIN(INITIAL);TKRETURN(yytext,yyleng);return tk_endattribute;}
+{wn1} {BEGIN(insideAttributeBegin);POS_UPDATE(yyleng,yytext);}
+{wn0},{wn0} {BEGIN(insideAttributeBegin);POS_UPDATE(yyleng,yytext);}
+{attribute}{attributevalue} {BEGIN(insideAttributeBegin);yyless(0);}
+. {
+  adms_message_fatal(("[%s:%i]: inside Verilog-ams attribute declaration unexpected character at [%s]\n",root()->_curfilename,root()->_curline,yytext))
+}
+}
+
+parameter {TKRETURN(yytext,yyleng); return tk_parameter;}
+aliasparameter {TKRETURN(yytext,yyleng); return tk_aliasparameter;}
+aliasparam {TKRETURN(yytext,yyleng); return tk_aliasparam;}
+module {TKRETURN(yytext,yyleng); return tk_module;}
+endmodule {TKRETURN(yytext,yyleng); return tk_endmodule;}
+function {TKRETURN(yytext,yyleng); return tk_function;}
+endfunction {TKRETURN(yytext,yyleng); return tk_endfunction;}
+discipline {TKRETURN(yytext,yyleng); return tk_discipline;}
+potential {TKRETURN(yytext,yyleng); return tk_potential;}
+flow {TKRETURN(yytext,yyleng); return tk_flow;}
+domain {TKRETURN(yytext,yyleng); return tk_domain;}
+ground {TKRETURN(yytext,yyleng); return tk_ground;}
+enddiscipline {TKRETURN(yytext,yyleng); return tk_enddiscipline;}
+nature {TKRETURN(yytext,yyleng); return tk_nature;}
+endnature {TKRETURN(yytext,yyleng); return tk_endnature;}
+input {TKRETURN(yytext,yyleng); return tk_input;}
+output {TKRETURN(yytext,yyleng); return tk_output;}
+inout {TKRETURN(yytext,yyleng); return tk_inout;}
+branch {TKRETURN(yytext,yyleng); return tk_branch;}
+analog {TKRETURN(yytext,yyleng); return tk_analog;}
+begin {TKRETURN(yytext,yyleng); return tk_begin;}
+end {TKRETURN(yytext,yyleng); return tk_end;}
+if {TKRETURN(yytext,yyleng); return tk_if;}
+while {TKRETURN(yytext,yyleng); return tk_while;}
+case {TKRETURN(yytext,yyleng); return tk_case;}
+endcase {TKRETURN(yytext,yyleng); return tk_endcase;}
+default {TKRETURN(yytext,yyleng); return tk_default;}
+for {TKRETURN(yytext,yyleng); return tk_for;}
+else {TKRETURN(yytext,yyleng); return tk_else;}
+integer {TKRETURN(yytext,yyleng); return tk_integer;}
+real {TKRETURN(yytext,yyleng); return tk_real;}
+string {TKRETURN(yytext,yyleng); return tk_string;}
+from {TKRETURN(yytext,yyleng); return tk_from;}
+exclude {TKRETURN(yytext,yyleng); return tk_exclude;}
+inf {TKRETURN(yytext,yyleng); return tk_inf;}
+INF {TKRETURN(yytext,yyleng); return tk_inf;}
+
+{ident} {TKRETURN(yytext,yyleng); return tk_ident;}
+
+\>\> {TKRETURN(yytext,yyleng); return tk_op_shr;}
+\<\< {TKRETURN(yytext,yyleng); return tk_op_shl;}
+\&\& {TKRETURN(yytext,yyleng); return tk_and;}
+\|\| {TKRETURN(yytext,yyleng); return tk_or;}
+\^\~ {TKRETURN(yytext,yyleng); return tk_bitwise_equr;}
+
+\${ident} {TKRETURN(yytext,yyleng); return tk_dollar_ident;}
+{char} {TKSTRIPPEDRETURN(yytext,yyleng); return tk_char;}
+{b8_int} {TKRETURN(yytext,yyleng); return tk_number;}
+{b10_uint} {TKRETURN(yytext,yyleng); return tk_number;}
+{b16_int} {TKRETURN(yytext,yyleng); return tk_number;}
+{float} {TKRETURN(yytext,yyleng); return tk_number;}
+
+. {POS_UPDATE(yyleng,yytext);return yytext[0];}
+
+%%
+
+int yywrap (void) {return 1;}
diff --git a/admsXml/verilogaYacc.c b/admsXml/verilogaYacc.c
new file mode 100644
index 0000000..d5e91f7
--- /dev/null
+++ b/admsXml/verilogaYacc.c
@@ -0,0 +1,5242 @@
+
+/* A Bison parser, made by GNU Bison 2.4.1.  */
+
+/* Skeleton implementation for Bison's Yacc-like parsers in C
+   
+      Copyright (C) 1984, 1989, 1990, 2000, 2001, 2002, 2003, 2004, 2005, 2006
+   Free Software Foundation, Inc.
+   
+   This program is free software: you can redistribute it and/or modify
+   it under the terms of the GNU General Public License as published by
+   the Free Software Foundation, either version 3 of the License, or
+   (at your option) any later version.
+   
+   This program is distributed in the hope that it will be useful,
+   but WITHOUT ANY WARRANTY; without even the implied warranty of
+   MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+   GNU General Public License for more details.
+   
+   You should have received a copy of the GNU General Public License
+   along with this program.  If not, see <http://www.gnu.org/licenses/>.  */
+
+/* As a special exception, you may create a larger work that contains
+   part or all of the Bison parser skeleton and distribute that work
+   under terms of your choice, so long as that work isn't itself a
+   parser generator using the skeleton or a modified version thereof
+   as a parser skeleton.  Alternatively, if you modify or redistribute
+   the parser skeleton itself, you may (at your option) remove this
+   special exception, which will cause the skeleton and the resulting
+   Bison output files to be licensed under the GNU General Public
+   License without this special exception.
+   
+   This special exception was added by the Free Software Foundation in
+   version 2.2 of Bison.  */
+
+/* C LALR(1) parser skeleton written by Richard Stallman, by
+   simplifying the original so-called "semantic" parser.  */
+
+/* All symbols defined below should begin with yy or YY, to avoid
+   infringing on user name space.  This should be done even for local
+   variables, as they might otherwise be expanded by user macros.
+   There are some unavoidable exceptions within include files to
+   define necessary library symbols; they are noted "INFRINGES ON
+   USER NAME SPACE" below.  */
+
+/* Identify Bison output.  */
+#define YYBISON 1
+
+/* Bison version.  */
+#define YYBISON_VERSION "2.4.1"
+
+/* Skeleton name.  */
+#define YYSKELETON_NAME "yacc.c"
+
+/* Pure parsers.  */
+#define YYPURE 0
+
+/* Push parsers.  */
+#define YYPUSH 0
+
+/* Pull parsers.  */
+#define YYPULL 1
+
+/* Using locations.  */
+#define YYLSP_NEEDED 0
+
+/* Substitute the variable and function names.  */
+#define yyparse         verilogaparse
+#define yylex           verilogalex
+#define yyerror         verilogaerror
+#define yylval          verilogalval
+#define yychar          verilogachar
+#define yydebug         verilogadebug
+#define yynerrs         veriloganerrs
+
+
+/* Copy the first part of user declarations.  */
+
+/* Line 189 of yacc.c  */
+#line 3 "./verilogaYacc.y"
+
+#define YYDEBUG 1
+#define YYPARSE_PARAM myadmsmain
+#include "admsVeriloga.h"
+
+#define NEWVARIABLE(l) p_variableprototype myvariableprototype=adms_variableprototype_new(gModule,l,(p_adms)gBlockList->data);
+
+inline static void   Y (p_yaccval myyaccval,p_adms myusrdata) {myyaccval->_usrdata=myusrdata;}
+inline static p_adms YY(p_yaccval myyaccval)                  {return myyaccval->_usrdata;}
+static char* gNatureAccess=NULL;
+static p_number gNatureAbsTol=NULL;
+static char* gNatureUnits=NULL;
+static char* gNatureidt=NULL;
+static char* gNatureddt=NULL;
+static p_discipline gDiscipline=NULL;
+static p_module gModule=NULL;
+static p_analogfunction gAnalogfunction=NULL;
+static p_module gInstanceModule=NULL;
+static p_node gGND=NULL;
+static p_source gSource=NULL;
+static p_lexval gLexval=NULL;
+static p_contribution gContribution=NULL;
+static admse gVariableType=admse_real;
+static admse gNodeDirection;
+int uid=0;
+static p_slist gVariableDeclarationList=NULL;
+static p_slist gInstanceVariableList=NULL;
+static p_slist gTerminalList=NULL;
+static p_slist gBranchAliasList=NULL;
+static p_slist gRangeList=NULL;
+static p_slist gNodeList=NULL;
+static p_slist gAttributeList=NULL;
+static p_slist gGlobalAttributeList=NULL;
+static p_slist gBlockList=NULL;
+static p_slist gBlockVariableList=NULL;
+static p_branchalias gBranchAlias=NULL;
+
+static void adms_veriloga_message_fatal_continue(const p_lexval mytoken)
+{
+  adms_message_fatal_continue(("[%s:%i:%i]: at '%s':\n",mytoken->_f,mytoken->_l,mytoken->_c,mytoken->_string))
+}
+static void adms_veriloga_message_fatal (const char* message,const p_lexval mytoken)
+{
+  adms_veriloga_message_fatal_continue(mytoken);
+  adms_message_fatal((message))
+}
+/*
+inline static p_variableprototype variableprototype_recursive_lookup_by_id (p_adms myadms,p_lexval mylexval)
+{
+  if(myadms==(p_adms)gModule)
+    return adms_module_list_variable_lookup_by_id(gModule,gModule,mylexval,(p_adms)gModule);
+  else if(myadms==(p_adms)gAnalogfunction)
+    return adms_analogfunction_list_variable_lookup_by_id(gAnalogfunction,gModule,mylexval,(p_adms)gAnalogfunction);
+  else
+  {
+    p_slist l;
+    for(l=((p_block)myadms)->_variable;l;l=l->next)
+      if(!strcmp(((p_variableprototype)l->data)->_lexval->_string,mylexval->_string))
+        return (p_variableprototype)l->data;
+    return variableprototype_recursive_lookup_by_id((p_adms)((p_block)myadms)->_block,mylexval);
+  }
+}
+*/
+inline static p_variable variable_recursive_lookup_by_id (p_adms myadms,p_lexval mylexval)
+{
+  if(myadms==(p_adms)gModule)
+  {
+    p_variable myvariable=NULL;
+    p_variableprototype myvariableprototype;
+    if((myvariableprototype=adms_module_list_variable_lookup_by_id(gModule,gModule,mylexval,(p_adms)gModule)))
+    {
+      myvariable=adms_variable_new(myvariableprototype);
+      adms_slist_push(&myvariableprototype->_instance,(p_adms)myvariable);
+    }
+    return myvariable;
+  }
+  else if(myadms==(p_adms)gAnalogfunction)
+  {
+    p_variable myvariable=NULL;
+    p_variableprototype myvariableprototype;
+    if((myvariableprototype=adms_analogfunction_list_variable_lookup_by_id(gAnalogfunction,gModule,mylexval,(p_adms)gAnalogfunction)))
+    {
+      myvariable=adms_variable_new(myvariableprototype);
+      adms_slist_push(&myvariableprototype->_instance,(p_adms)myvariable);
+    }
+    return myvariable;
+  }
+  else
+  {
+    p_slist l;
+    for(l=((p_block)myadms)->_variable;l;l=l->next)
+      if(!strcmp(((p_variableprototype)l->data)->_lexval->_string,mylexval->_string))
+      {
+        p_variableprototype myvariableprototype=(p_variableprototype)l->data;
+        p_variable myvariable=adms_variable_new(myvariableprototype);
+        adms_slist_push(&myvariableprototype->_instance,(p_adms)myvariable);
+        return myvariable;
+      }
+    return variable_recursive_lookup_by_id((p_adms)((p_block)myadms)->_block,mylexval);
+  }
+}
+static p_nature lookup_nature(const char *myname)
+{
+  p_slist l;
+  for(l=root()->_nature;l;l=l->next)
+    if(!strcmp(((p_nature)l->data)->_name,myname))
+      return (p_nature)l->data;
+  return NULL;
+}
+
+
+
+/* Line 189 of yacc.c  */
+#line 194 "y.tab.c"
+
+/* Enabling traces.  */
+#ifndef YYDEBUG
+# define YYDEBUG 0
+#endif
+
+/* Enabling verbose error messages.  */
+#ifdef YYERROR_VERBOSE
+# undef YYERROR_VERBOSE
+# define YYERROR_VERBOSE 1
+#else
+# define YYERROR_VERBOSE 0
+#endif
+
+/* Enabling the token table.  */
+#ifndef YYTOKEN_TABLE
+# define YYTOKEN_TABLE 0
+#endif
+
+
+/* Tokens.  */
+#ifndef YYTOKENTYPE
+# define YYTOKENTYPE
+   /* Put the tokens into the symbol table, so that GDB and other debuggers
+      know about them.  */
+   enum yytokentype {
+     PREC_IF_THEN = 258,
+     tk_else = 259,
+     tk_from = 260,
+     tk_branch = 261,
+     tk_number = 262,
+     tk_nature = 263,
+     tk_aliasparameter = 264,
+     tk_output = 265,
+     tk_anystring = 266,
+     tk_dollar_ident = 267,
+     tk_or = 268,
+     tk_aliasparam = 269,
+     tk_if = 270,
+     tk_analog = 271,
+     tk_parameter = 272,
+     tk_discipline = 273,
+     tk_char = 274,
+     tk_anytext = 275,
+     tk_for = 276,
+     tk_while = 277,
+     tk_real = 278,
+     tk_op_shr = 279,
+     tk_case = 280,
+     tk_potential = 281,
+     tk_endcase = 282,
+     tk_inf = 283,
+     tk_exclude = 284,
+     tk_ground = 285,
+     tk_endmodule = 286,
+     tk_begin = 287,
+     tk_enddiscipline = 288,
+     tk_domain = 289,
+     tk_ident = 290,
+     tk_op_shl = 291,
+     tk_string = 292,
+     tk_integer = 293,
+     tk_module = 294,
+     tk_endattribute = 295,
+     tk_end = 296,
+     tk_inout = 297,
+     tk_and = 298,
+     tk_bitwise_equr = 299,
+     tk_default = 300,
+     tk_function = 301,
+     tk_input = 302,
+     tk_beginattribute = 303,
+     tk_endnature = 304,
+     tk_endfunction = 305,
+     tk_flow = 306
+   };
+#endif
+
+
+
+#if ! defined YYSTYPE && ! defined YYSTYPE_IS_DECLARED
+typedef union YYSTYPE
+{
+
+/* Line 214 of yacc.c  */
+#line 119 "./verilogaYacc.y"
+
+  p_lexval _lexval;
+  p_yaccval _yaccval;
+
+
+
+/* Line 214 of yacc.c  */
+#line 288 "y.tab.c"
+} YYSTYPE;
+# define YYSTYPE_IS_TRIVIAL 1
+# define yystype YYSTYPE /* obsolescent; will be withdrawn */
+# define YYSTYPE_IS_DECLARED 1
+#endif
+
+
+/* Copy the second part of user declarations.  */
+
+
+/* Line 264 of yacc.c  */
+#line 300 "y.tab.c"
+
+#ifdef short
+# undef short
+#endif
+
+#ifdef YYTYPE_UINT8
+typedef YYTYPE_UINT8 yytype_uint8;
+#else
+typedef unsigned char yytype_uint8;
+#endif
+
+#ifdef YYTYPE_INT8
+typedef YYTYPE_INT8 yytype_int8;
+#elif (defined __STDC__ || defined __C99__FUNC__ \
+     || defined __cplusplus || defined _MSC_VER)
+typedef signed char yytype_int8;
+#else
+typedef short int yytype_int8;
+#endif
+
+#ifdef YYTYPE_UINT16
+typedef YYTYPE_UINT16 yytype_uint16;
+#else
+typedef unsigned short int yytype_uint16;
+#endif
+
+#ifdef YYTYPE_INT16
+typedef YYTYPE_INT16 yytype_int16;
+#else
+typedef short int yytype_int16;
+#endif
+
+#ifndef YYSIZE_T
+# ifdef __SIZE_TYPE__
+#  define YYSIZE_T __SIZE_TYPE__
+# elif defined size_t
+#  define YYSIZE_T size_t
+# elif ! defined YYSIZE_T && (defined __STDC__ || defined __C99__FUNC__ \
+     || defined __cplusplus || defined _MSC_VER)
+#  include <stddef.h> /* INFRINGES ON USER NAME SPACE */
+#  define YYSIZE_T size_t
+# else
+#  define YYSIZE_T unsigned int
+# endif
+#endif
+
+#define YYSIZE_MAXIMUM ((YYSIZE_T) -1)
+
+#ifndef YY_
+# if YYENABLE_NLS
+#  if ENABLE_NLS
+#   include <libintl.h> /* INFRINGES ON USER NAME SPACE */
+#   define YY_(msgid) dgettext ("bison-runtime", msgid)
+#  endif
+# endif
+# ifndef YY_
+#  define YY_(msgid) msgid
+# endif
+#endif
+
+/* Suppress unused-variable warnings by "using" E.  */
+#if ! defined lint || defined __GNUC__
+# define YYUSE(e) ((void) (e))
+#else
+# define YYUSE(e) /* empty */
+#endif
+
+/* Identity function, used to suppress warnings about constant conditions.  */
+#ifndef lint
+# define YYID(n) (n)
+#else
+#if (defined __STDC__ || defined __C99__FUNC__ \
+     || defined __cplusplus || defined _MSC_VER)
+static int
+YYID (int yyi)
+#else
+static int
+YYID (yyi)
+    int yyi;
+#endif
+{
+  return yyi;
+}
+#endif
+
+#if ! defined yyoverflow || YYERROR_VERBOSE
+
+/* The parser invokes alloca or malloc; define the necessary symbols.  */
+
+# ifdef YYSTACK_USE_ALLOCA
+#  if YYSTACK_USE_ALLOCA
+#   ifdef __GNUC__
+#    define YYSTACK_ALLOC __builtin_alloca
+#   elif defined __BUILTIN_VA_ARG_INCR
+#    include <alloca.h> /* INFRINGES ON USER NAME SPACE */
+#   elif defined _AIX
+#    define YYSTACK_ALLOC __alloca
+#   elif defined _MSC_VER
+#    include <malloc.h> /* INFRINGES ON USER NAME SPACE */
+#    define alloca _alloca
+#   else
+#    define YYSTACK_ALLOC alloca
+#    if ! defined _ALLOCA_H && ! defined _STDLIB_H && (defined __STDC__ || defined __C99__FUNC__ \
+     || defined __cplusplus || defined _MSC_VER)
+#     include <stdlib.h> /* INFRINGES ON USER NAME SPACE */
+#     ifndef _STDLIB_H
+#      define _STDLIB_H 1
+#     endif
+#    endif
+#   endif
+#  endif
+# endif
+
+# ifdef YYSTACK_ALLOC
+   /* Pacify GCC's `empty if-body' warning.  */
+#  define YYSTACK_FREE(Ptr) do { /* empty */; } while (YYID (0))
+#  ifndef YYSTACK_ALLOC_MAXIMUM
+    /* The OS might guarantee only one guard page at the bottom of the stack,
+       and a page size can be as small as 4096 bytes.  So we cannot safely
+       invoke alloca (N) if N exceeds 4096.  Use a slightly smaller number
+       to allow for a few compiler-allocated temporary stack slots.  */
+#   define YYSTACK_ALLOC_MAXIMUM 4032 /* reasonable circa 2006 */
+#  endif
+# else
+#  define YYSTACK_ALLOC YYMALLOC
+#  define YYSTACK_FREE YYFREE
+#  ifndef YYSTACK_ALLOC_MAXIMUM
+#   define YYSTACK_ALLOC_MAXIMUM YYSIZE_MAXIMUM
+#  endif
+#  if (defined __cplusplus && ! defined _STDLIB_H \
+       && ! ((defined YYMALLOC || defined malloc) \
+	     && (defined YYFREE || defined free)))
+#   include <stdlib.h> /* INFRINGES ON USER NAME SPACE */
+#   ifndef _STDLIB_H
+#    define _STDLIB_H 1
+#   endif
+#  endif
+#  ifndef YYMALLOC
+#   define YYMALLOC malloc
+#   if ! defined malloc && ! defined _STDLIB_H && (defined __STDC__ || defined __C99__FUNC__ \
+     || defined __cplusplus || defined _MSC_VER)
+void *malloc (YYSIZE_T); /* INFRINGES ON USER NAME SPACE */
+#   endif
+#  endif
+#  ifndef YYFREE
+#   define YYFREE free
+#   if ! defined free && ! defined _STDLIB_H && (defined __STDC__ || defined __C99__FUNC__ \
+     || defined __cplusplus || defined _MSC_VER)
+void free (void *); /* INFRINGES ON USER NAME SPACE */
+#   endif
+#  endif
+# endif
+#endif /* ! defined yyoverflow || YYERROR_VERBOSE */
+
+
+#if (! defined yyoverflow \
+     && (! defined __cplusplus \
+	 || (defined YYSTYPE_IS_TRIVIAL && YYSTYPE_IS_TRIVIAL)))
+
+/* A type that is properly aligned for any stack member.  */
+union yyalloc
+{
+  yytype_int16 yyss_alloc;
+  YYSTYPE yyvs_alloc;
+};
+
+/* The size of the maximum gap between one aligned stack and the next.  */
+# define YYSTACK_GAP_MAXIMUM (sizeof (union yyalloc) - 1)
+
+/* The size of an array large to enough to hold all stacks, each with
+   N elements.  */
+# define YYSTACK_BYTES(N) \
+     ((N) * (sizeof (yytype_int16) + sizeof (YYSTYPE)) \
+      + YYSTACK_GAP_MAXIMUM)
+
+/* Copy COUNT objects from FROM to TO.  The source and destination do
+   not overlap.  */
+# ifndef YYCOPY
+#  if defined __GNUC__ && 1 < __GNUC__
+#   define YYCOPY(To, From, Count) \
+      __builtin_memcpy (To, From, (Count) * sizeof (*(From)))
+#  else
+#   define YYCOPY(To, From, Count)		\
+      do					\
+	{					\
+	  YYSIZE_T yyi;				\
+	  for (yyi = 0; yyi < (Count); yyi++)	\
+	    (To)[yyi] = (From)[yyi];		\
+	}					\
+      while (YYID (0))
+#  endif
+# endif
+
+/* Relocate STACK from its old location to the new one.  The
+   local variables YYSIZE and YYSTACKSIZE give the old and new number of
+   elements in the stack, and YYPTR gives the new location of the
+   stack.  Advance YYPTR to a properly aligned location for the next
+   stack.  */
+# define YYSTACK_RELOCATE(Stack_alloc, Stack)				\
+    do									\
+      {									\
+	YYSIZE_T yynewbytes;						\
+	YYCOPY (&yyptr->Stack_alloc, Stack, yysize);			\
+	Stack = &yyptr->Stack_alloc;					\
+	yynewbytes = yystacksize * sizeof (*Stack) + YYSTACK_GAP_MAXIMUM; \
+	yyptr += yynewbytes / sizeof (*yyptr);				\
+      }									\
+    while (YYID (0))
+
+#endif
+
+/* YYFINAL -- State number of the termination state.  */
+#define YYFINAL  20
+/* YYLAST -- Last index in YYTABLE.  */
+#define YYLAST   636
+
+/* YYNTOKENS -- Number of terminals.  */
+#define YYNTOKENS  78
+/* YYNNTS -- Number of nonterminals.  */
+#define YYNNTS  111
+/* YYNRULES -- Number of rules.  */
+#define YYNRULES  249
+/* YYNRULES -- Number of states.  */
+#define YYNSTATES  504
+
+/* YYTRANSLATE(YYLEX) -- Bison symbol number corresponding to YYLEX.  */
+#define YYUNDEFTOK  2
+#define YYMAXUTOK   306
+
+#define YYTRANSLATE(YYX)						\
+  ((unsigned int) (YYX) <= YYMAXUTOK ? yytranslate[YYX] : YYUNDEFTOK)
+
+/* YYTRANSLATE[YYLEX] -- Bison symbol number corresponding to YYLEX.  */
+static const yytype_uint8 yytranslate[] =
+{
+       0,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,    73,     2,    66,     2,    77,    72,     2,
+      54,    55,    75,    63,    56,    62,    67,    76,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,    60,    52,
+      65,    53,    74,    68,    64,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,    59,     2,    61,    70,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,    57,    71,    58,    69,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     2,     2,     2,     2,
+       2,     2,     2,     2,     2,     2,     1,     2,     3,     4,
+       5,     6,     7,     8,     9,    10,    11,    12,    13,    14,
+      15,    16,    17,    18,    19,    20,    21,    22,    23,    24,
+      25,    26,    27,    28,    29,    30,    31,    32,    33,    34,
+      35,    36,    37,    38,    39,    40,    41,    42,    43,    44,
+      45,    46,    47,    48,    49,    50,    51
+};
+
+#if YYDEBUG
+/* YYPRHS[YYN] -- Index of the first RHS symbol of rule number YYN in
+   YYRHS.  */
+static const yytype_uint16 yyprhs[] =
+{
+       0,     0,     3,     5,     7,    10,    12,    14,    16,    21,
+      23,    25,    28,    32,    36,    40,    42,    47,    49,    52,
+      57,    63,    68,    73,    74,    76,    80,    83,    86,    88,
+      91,    95,    96,   104,   105,   107,   109,   112,   114,   116,
+     119,   122,   126,   128,   131,   137,   138,   140,   142,   146,
+     148,   150,   153,   155,   158,   160,   162,   164,   169,   173,
+     177,   179,   181,   183,   187,   191,   195,   197,   199,   201,
+     203,   207,   209,   213,   216,   219,   223,   225,   229,   231,
+     238,   243,   248,   253,   259,   265,   267,   269,   272,   276,
+     280,   284,   288,   292,   294,   298,   300,   304,   306,   310,
+     312,   316,   318,   322,   325,   328,   331,   333,   335,   339,
+     341,   345,   352,   354,   356,   359,   362,   367,   374,   376,
+     383,   384,   386,   388,   391,   394,   397,   403,   409,   415,
+     421,   423,   425,   428,   430,   432,   435,   438,   440,   442,
+     444,   448,   451,   453,   456,   458,   460,   462,   464,   470,
+     475,   478,   480,   482,   485,   493,   496,   501,   503,   507,
+     509,   512,   517,   521,   527,   530,   532,   535,   539,   543,
+     547,   549,   553,   555,   562,   566,   571,   578,   583,   589,
+     599,   606,   608,   611,   615,   619,   622,   633,   635,   637,
+     641,   647,   651,   656,   663,   671,   677,   685,   687,   689,
+     693,   695,   697,   699,   705,   707,   711,   716,   718,   722,
+     724,   728,   730,   734,   736,   740,   742,   746,   748,   753,
+     758,   760,   764,   769,   773,   778,   780,   784,   788,   790,
+     794,   798,   800,   804,   808,   812,   814,   817,   820,   823,
+     826,   828,   831,   833,   835,   837,   839,   844,   849,   854
+};
+
+/* YYRHS -- A `-1'-separated list of the rules' RHS.  */
+static const yytype_int16 yyrhs[] =
+{
+      79,     0,    -1,    80,    -1,    81,    -1,    80,    81,    -1,
+      94,    -1,    82,    -1,    87,    -1,    18,    83,    84,    33,
+      -1,    35,    -1,    85,    -1,    84,    85,    -1,    26,    86,
+      52,    -1,    51,    86,    52,    -1,    34,    35,    52,    -1,
+      35,    -1,     8,    35,    88,    49,    -1,    89,    -1,    88,
+      89,    -1,    35,    53,     7,    52,    -1,    35,    53,     7,
+      35,    52,    -1,    35,    53,    11,    52,    -1,    35,    53,
+      35,    52,    -1,    -1,    91,    -1,    48,    92,    40,    -1,
+      48,    20,    -1,    48,    40,    -1,    93,    -1,    92,    93,
+      -1,    35,    53,    11,    -1,    -1,    90,    39,    35,    95,
+      99,    96,    31,    -1,    -1,   103,    -1,    97,    -1,   103,
+      97,    -1,   143,    -1,    98,    -1,    98,   143,    -1,   143,
+      98,    -1,    98,   143,    98,    -1,   165,    -1,    98,   165,
+      -1,    54,   100,    55,    90,    52,    -1,    -1,   101,    -1,
+     102,    -1,   101,    56,   102,    -1,    35,    -1,   104,    -1,
+     103,   104,    -1,   106,    -1,   105,   106,    -1,    91,    -1,
+     107,    -1,   113,    -1,    17,   127,   129,   128,    -1,    17,
+     129,   128,    -1,   127,   130,   128,    -1,   131,    -1,   117,
+      -1,    52,    -1,   108,   109,    52,    -1,    30,   110,    52,
+      -1,    35,   110,    52,    -1,    47,    -1,    10,    -1,    42,
+      -1,   111,    -1,   109,    56,   111,    -1,   112,    -1,   110,
+      56,   112,    -1,    35,    90,    -1,    35,    90,    -1,     6,
+     116,    52,    -1,   115,    -1,   114,    56,   115,    -1,    35,
+      -1,    54,    35,    56,    35,    55,   114,    -1,    54,    35,
+      55,   114,    -1,   118,   120,   147,    50,    -1,    16,    46,
+     119,    52,    -1,    16,    46,    38,   119,    52,    -1,    16,
+      46,    23,   119,    52,    -1,    35,    -1,   121,    -1,   120,
+     121,    -1,    47,   122,    52,    -1,    10,   123,    52,    -1,
+      42,   124,    52,    -1,    38,   125,    52,    -1,    23,   126,
+      52,    -1,    35,    -1,   122,    56,    35,    -1,    35,    -1,
+     123,    56,    35,    -1,    35,    -1,   124,    56,    35,    -1,
+      35,    -1,   125,    56,    35,    -1,    35,    -1,   126,    56,
+      35,    -1,    38,    90,    -1,    23,    90,    -1,    37,    90,
+      -1,    52,    -1,   133,    -1,   129,    56,   133,    -1,   134,
+      -1,   130,    56,   134,    -1,   132,    35,    53,    35,    90,
+      52,    -1,     9,    -1,    14,    -1,   135,    90,    -1,   136,
+      90,    -1,   136,    53,   171,   137,    -1,   136,    53,    57,
+     145,    58,   137,    -1,    35,    -1,    35,    59,     7,    60,
+       7,    61,    -1,    -1,   138,    -1,   139,    -1,   138,   139,
+      -1,     5,   140,    -1,    29,   140,    -1,    54,   141,    60,
+     142,    55,    -1,    54,   141,    60,   142,    61,    -1,    59,
+     141,    60,   142,    55,    -1,    59,   141,    60,   142,    61,
+      -1,   171,    -1,   171,    -1,    62,    28,    -1,   171,    -1,
+      28,    -1,    63,    28,    -1,    16,   144,    -1,   146,    -1,
+     147,    -1,   171,    -1,   145,    56,   171,    -1,    90,   154,
+      -1,   157,    -1,   169,    52,    -1,   170,    -1,   160,    -1,
+     162,    -1,   161,    -1,    12,    54,   145,    55,    52,    -1,
+      12,    54,    55,    52,    -1,    12,    52,    -1,    52,    -1,
+     151,    -1,   148,   151,    -1,    64,    54,    35,    54,   149,
+      55,    55,    -1,    64,    35,    -1,    64,    54,    35,    55,
+      -1,   150,    -1,   149,    56,   150,    -1,    11,    -1,   152,
+      41,    -1,   152,    60,    35,    41,    -1,   152,   153,    41,
+      -1,   152,    60,    35,   153,    41,    -1,    90,    32,    -1,
+     144,    -1,   153,   144,    -1,    38,   155,    52,    -1,    23,
+     155,    52,    -1,    37,   155,    52,    -1,   156,    -1,   155,
+      56,   156,    -1,    35,    -1,    35,    59,     7,    60,     7,
+      61,    -1,   158,    90,    52,    -1,   159,    65,    63,   171,
+      -1,    35,    54,    35,    56,    35,    55,    -1,    35,    54,
+      35,    55,    -1,    22,    54,   171,    55,   144,    -1,    21,
+      54,   169,    52,   171,    52,   169,    55,   144,    -1,    25,
+      54,   171,    55,   163,    27,    -1,   164,    -1,   163,   164,
+      -1,   172,    60,   144,    -1,    45,    60,   144,    -1,    45,
+     144,    -1,   166,    66,    54,   167,    55,    35,    54,   110,
+      55,    52,    -1,    35,    -1,   168,    -1,   167,    56,   168,
+      -1,    67,    35,    54,   171,    55,    -1,    35,    53,   171,
+      -1,    91,    35,    53,   171,    -1,    35,    59,   174,    61,
+      53,   171,    -1,    91,    35,    59,   174,    61,    53,   171,
+      -1,    15,    54,   171,    55,   144,    -1,    15,    54,   171,
+      55,   144,     4,   144,    -1,   174,    -1,   173,    -1,   172,
+      56,   173,    -1,   174,    -1,   175,    -1,   176,    -1,   176,
+      68,   176,    60,   176,    -1,   177,    -1,   176,    44,   177,
+      -1,   176,    69,    70,   177,    -1,   178,    -1,   177,    70,
+     178,    -1,   179,    -1,   178,    71,   179,    -1,   180,    -1,
+     179,    72,   180,    -1,   181,    -1,   180,    13,   181,    -1,
+     182,    -1,   181,    43,   182,    -1,   183,    -1,   182,    53,
+      53,   183,    -1,   182,    73,    53,   183,    -1,   184,    -1,
+     183,    65,   184,    -1,   183,    65,    53,   184,    -1,   183,
+      74,   184,    -1,   183,    74,    53,   184,    -1,   185,    -1,
+     184,    24,   185,    -1,   184,    36,   185,    -1,   186,    -1,
+     185,    63,   186,    -1,   185,    62,   186,    -1,   187,    -1,
+     186,    75,   187,    -1,   186,    76,   187,    -1,   186,    77,
+     187,    -1,   188,    -1,    63,   188,    -1,    62,   188,    -1,
+      73,   188,    -1,    69,   188,    -1,     7,    -1,     7,    35,
+      -1,    19,    -1,    11,    -1,    35,    -1,    12,    -1,    35,
+      59,   174,    61,    -1,    12,    54,   172,    55,    -1,    35,
+      54,   172,    55,    -1,    54,   174,    55,    -1
+};
+
+/* YYRLINE[YYN] -- source line where rule number YYN was defined.  */
+static const yytype_uint16 yyrline[] =
+{
+       0,   285,   285,   290,   293,   298,   301,   304,   309,   316,
+     323,   326,   331,   335,   339,   351,   362,   387,   390,   395,
+     406,   440,   452,   479,   481,   486,   489,   497,   502,   505,
+     510,   522,   521,   544,   546,   549,   552,   557,   560,   563,
+     566,   569,   574,   577,   582,   592,   594,   599,   602,   607,
+     617,   620,   625,   628,   634,   641,   644,   647,   650,   653,
+     656,   659,   662,   667,   674,   681,   693,   697,   701,   707,
+     710,   715,   718,   723,   741,   755,   760,   763,   768,   775,
+     800,   823,   831,   837,   845,   853,   864,   867,   872,   875,
+     878,   881,   884,   889,   896,   905,   912,   921,   929,   939,
+     951,   965,   977,   991,   997,  1003,  1011,  1020,  1023,  1028,
+    1031,  1036,  1052,  1055,  1060,  1071,  1082,  1089,  1101,  1110,
+    1125,  1127,  1132,  1135,  1140,  1148,  1158,  1166,  1174,  1182,
+    1190,  1200,  1204,  1217,  1221,  1232,  1245,  1251,  1255,  1261,
+    1268,  1277,  1287,  1291,  1295,  1299,  1303,  1307,  1311,  1322,
+    1330,  1338,  1345,  1349,  1357,  1361,  1374,  1389,  1392,  1397,
+    1402,  1408,  1415,  1421,  1430,  1444,  1448,  1454,  1467,  1480,
+    1495,  1498,  1503,  1509,  1520,  1530,  1542,  1559,  1584,  1593,
+    1602,  1612,  1619,  1628,  1636,  1643,  1652,  1675,  1684,  1687,
+    1692,  1712,  1727,  1748,  1765,  1790,  1798,  1809,  1818,  1825,
+    1834,  1840,  1846,  1850,  1861,  1865,  1873,  1883,  1887,  1897,
+    1901,  1911,  1915,  1925,  1929,  1939,  1943,  1953,  1957,  1965,
+    1975,  1979,  1987,  1995,  2003,  2013,  2017,  2025,  2035,  2039,
+    2047,  2057,  2061,  2069,  2077,  2087,  2091,  2098,  2105,  2112,
+    2121,  2126,  2155,  2159,  2165,  2192,  2198,  2206,  2215,  2267
+};
+#endif
+
+#if YYDEBUG || YYERROR_VERBOSE || YYTOKEN_TABLE
+/* YYTNAME[SYMBOL-NUM] -- String name of the symbol SYMBOL-NUM.
+   First, the terminals, then, starting at YYNTOKENS, nonterminals.  */
+static const char *const yytname[] =
+{
+  "$end", "error", "$undefined", "PREC_IF_THEN", "tk_else", "tk_from",
+  "tk_branch", "tk_number", "tk_nature", "tk_aliasparameter", "tk_output",
+  "tk_anystring", "tk_dollar_ident", "tk_or", "tk_aliasparam", "tk_if",
+  "tk_analog", "tk_parameter", "tk_discipline", "tk_char", "tk_anytext",
+  "tk_for", "tk_while", "tk_real", "tk_op_shr", "tk_case", "tk_potential",
+  "tk_endcase", "tk_inf", "tk_exclude", "tk_ground", "tk_endmodule",
+  "tk_begin", "tk_enddiscipline", "tk_domain", "tk_ident", "tk_op_shl",
+  "tk_string", "tk_integer", "tk_module", "tk_endattribute", "tk_end",
+  "tk_inout", "tk_and", "tk_bitwise_equr", "tk_default", "tk_function",
+  "tk_input", "tk_beginattribute", "tk_endnature", "tk_endfunction",
+  "tk_flow", "';'", "'='", "'('", "')'", "','", "'{'", "'}'", "'['", "':'",
+  "']'", "'-'", "'+'", "'@'", "'<'", "'#'", "'.'", "'?'", "'~'", "'^'",
+  "'|'", "'&'", "'!'", "'>'", "'*'", "'/'", "'%'", "$accept",
+  "R_admsParse", "R_l.admsParse", "R_s.admsParse", "R_discipline_member",
+  "R_discipline_name", "R_l.discipline_assignment",
+  "R_s.discipline_assignment", "R_discipline.naturename",
+  "R_nature_member", "R_l.nature_assignment", "R_s.nature_assignment",
+  "R_d.attribute.0", "R_d.attribute", "R_l.attribute", "R_s.attribute",
+  "R_d.module", "$@1", "R_modulebody", "R_netlist", "R_l.instance",
+  "R_d.terminal", "R_l.terminal.0", "R_l.terminal", "R_s.terminal",
+  "R_l.declaration", "R_s.declaration.withattribute",
+  "R_d.attribute.global", "R_s.declaration", "R_d.node", "R_node.type",
+  "R_l.terminalnode", "R_l.node", "R_s.terminalnode", "R_s.node",
+  "R_d.branch", "R_l.branchalias", "R_s.branchalias", "R_s.branch",
+  "R_d.analogfunction", "R_d.analogfunction.proto",
+  "R_d.analogfunction.name", "R_l.analogfunction.declaration",
+  "R_s.analogfunction.declaration", "R_l.analogfunction.input.variable",
+  "R_l.analogfunction.output.variable",
+  "R_l.analogfunction.inout.variable",
+  "R_l.analogfunction.integer.variable",
+  "R_l.analogfunction.real.variable", "R_variable.type",
+  "R_d.variable.end", "R_l.parameter", "R_l.variable",
+  "R_d.aliasparameter", "R_d.aliasparameter.token", "R_s.parameter",
+  "R_s.variable", "R_s.parameter.name", "R_s.variable.name",
+  "R_s.parameter.range", "R_l.interval", "R_s.interval", "R_d.interval",
+  "R_interval.inf", "R_interval.sup", "R_analog", "R_analogcode",
+  "R_l.expression", "R_analogcode.atomic", "R_analogcode.block",
+  "R_analogcode.block.atevent", "R_l.analysis", "R_s.analysis",
+  "R_d.block", "R_d.block.begin", "R_l.blockitem", "R_d.blockvariable",
+  "R_l.blockvariable", "R_s.blockvariable", "R_d.contribution",
+  "R_contribution", "R_source", "R_d.while", "R_d.for", "R_d.case",
+  "R_l.case.item", "R_s.case.item", "R_s.instance",
+  "R_instance.module.name", "R_l.instance.parameter",
+  "R_s.instance.parameter", "R_s.assignment", "R_d.conditional",
+  "R_s.expression", "R_l.enode", "R_s.function_expression", "R_expression",
+  "R_e.conditional", "R_e.bitwise_equ", "R_e.bitwise_xor",
+  "R_e.bitwise_or", "R_e.bitwise_and", "R_e.logical_or", "R_e.logical_and",
+  "R_e.comp_equ", "R_e.comp", "R_e.bitwise_shift", "R_e.arithm_add",
+  "R_e.arithm_mult", "R_e.unary", "R_e.atomic", 0
+};
+#endif
+
+# ifdef YYPRINT
+/* YYTOKNUM[YYLEX-NUM] -- Internal token number corresponding to
+   token YYLEX-NUM.  */
+static const yytype_uint16 yytoknum[] =
+{
+       0,   256,   257,   258,   259,   260,   261,   262,   263,   264,
+     265,   266,   267,   268,   269,   270,   271,   272,   273,   274,
+     275,   276,   277,   278,   279,   280,   281,   282,   283,   284,
+     285,   286,   287,   288,   289,   290,   291,   292,   293,   294,
+     295,   296,   297,   298,   299,   300,   301,   302,   303,   304,
+     305,   306,    59,    61,    40,    41,    44,   123,   125,    91,
+      58,    93,    45,    43,    64,    60,    35,    46,    63,   126,
+      94,   124,    38,    33,    62,    42,    47,    37
+};
+# endif
+
+/* YYR1[YYN] -- Symbol number of symbol that rule YYN derives.  */
+static const yytype_uint8 yyr1[] =
+{
+       0,    78,    79,    80,    80,    81,    81,    81,    82,    83,
+      84,    84,    85,    85,    85,    86,    87,    88,    88,    89,
+      89,    89,    89,    90,    90,    91,    91,    91,    92,    92,
+      93,    95,    94,    96,    96,    96,    96,    97,    97,    97,
+      97,    97,    98,    98,    99,   100,   100,   101,   101,   102,
+     103,   103,   104,   104,   105,   106,   106,   106,   106,   106,
+     106,   106,   106,   107,   107,   107,   108,   108,   108,   109,
+     109,   110,   110,   111,   112,   113,   114,   114,   115,   116,
+     116,   117,   118,   118,   118,   119,   120,   120,   121,   121,
+     121,   121,   121,   122,   122,   123,   123,   124,   124,   125,
+     125,   126,   126,   127,   127,   127,   128,   129,   129,   130,
+     130,   131,   132,   132,   133,   134,   135,   135,   136,   136,
+     137,   137,   138,   138,   139,   139,   140,   140,   140,   140,
+     140,   141,   141,   142,   142,   142,   143,   144,   144,   145,
+     145,   146,   146,   146,   146,   146,   146,   146,   146,   146,
+     146,   146,   147,   147,   148,   148,   148,   149,   149,   150,
+     151,   151,   151,   151,   152,   153,   153,   154,   154,   154,
+     155,   155,   156,   156,   157,   158,   159,   159,   160,   161,
+     162,   163,   163,   164,   164,   164,   165,   166,   167,   167,
+     168,   169,   169,   169,   169,   170,   170,   171,   172,   172,
+     173,   174,   175,   175,   176,   176,   176,   177,   177,   178,
+     178,   179,   179,   180,   180,   181,   181,   182,   182,   182,
+     183,   183,   183,   183,   183,   184,   184,   184,   185,   185,
+     185,   186,   186,   186,   186,   187,   187,   187,   187,   187,
+     188,   188,   188,   188,   188,   188,   188,   188,   188,   188
+};
+
+/* YYR2[YYN] -- Number of symbols composing right hand side of rule YYN.  */
+static const yytype_uint8 yyr2[] =
+{
+       0,     2,     1,     1,     2,     1,     1,     1,     4,     1,
+       1,     2,     3,     3,     3,     1,     4,     1,     2,     4,
+       5,     4,     4,     0,     1,     3,     2,     2,     1,     2,
+       3,     0,     7,     0,     1,     1,     2,     1,     1,     2,
+       2,     3,     1,     2,     5,     0,     1,     1,     3,     1,
+       1,     2,     1,     2,     1,     1,     1,     4,     3,     3,
+       1,     1,     1,     3,     3,     3,     1,     1,     1,     1,
+       3,     1,     3,     2,     2,     3,     1,     3,     1,     6,
+       4,     4,     4,     5,     5,     1,     1,     2,     3,     3,
+       3,     3,     3,     1,     3,     1,     3,     1,     3,     1,
+       3,     1,     3,     2,     2,     2,     1,     1,     3,     1,
+       3,     6,     1,     1,     2,     2,     4,     6,     1,     6,
+       0,     1,     1,     2,     2,     2,     5,     5,     5,     5,
+       1,     1,     2,     1,     1,     2,     2,     1,     1,     1,
+       3,     2,     1,     2,     1,     1,     1,     1,     5,     4,
+       2,     1,     1,     2,     7,     2,     4,     1,     3,     1,
+       2,     4,     3,     5,     2,     1,     2,     3,     3,     3,
+       1,     3,     1,     6,     3,     4,     6,     4,     5,     9,
+       6,     1,     2,     3,     3,     2,    10,     1,     1,     3,
+       5,     3,     4,     6,     7,     5,     7,     1,     1,     3,
+       1,     1,     1,     5,     1,     3,     4,     1,     3,     1,
+       3,     1,     3,     1,     3,     1,     3,     1,     4,     4,
+       1,     3,     4,     3,     4,     1,     3,     3,     1,     3,
+       3,     1,     3,     3,     3,     1,     2,     2,     2,     2,
+       1,     2,     1,     1,     1,     1,     4,     4,     4,     3
+};
+
+/* YYDEFACT[STATE-NAME] -- Default rule to reduce with in state
+   STATE-NUM when YYTABLE doesn't specify something else to do.  Zero
+   means the default is an error.  */
+static const yytype_uint8 yydefact[] =
+{
+      23,     0,     0,     0,     0,     2,     3,     6,     7,     0,
+      24,     5,     0,     9,     0,    26,     0,    27,     0,    28,
+       1,     4,     0,     0,     0,    17,     0,     0,     0,     0,
+      10,     0,    25,    29,    31,     0,    16,    18,    15,     0,
+       0,     0,     8,    11,    30,     0,     0,     0,     0,    12,
+      14,    13,    45,    33,     0,    19,    21,    22,    49,     0,
+      46,    47,     0,   112,    67,   113,    23,     0,    23,     0,
+     187,    23,    23,    68,    66,    62,    54,     0,    35,    38,
+      34,    50,     0,    52,    55,     0,    56,    61,     0,     0,
+      60,     0,    37,    42,     0,    20,    23,     0,     0,     0,
+       0,     0,     0,     0,     0,     0,     0,   151,     0,     0,
+      24,   136,   137,   138,    23,   152,    23,   142,    23,     0,
+     145,   147,   146,     0,   144,   118,     0,     0,   107,    23,
+       0,   104,    23,     0,    71,     0,   105,   103,    32,    23,
+     187,    39,    43,    36,    51,     0,     0,    53,    23,     0,
+      69,     0,     0,     0,     0,     0,    23,    86,     0,   109,
+      23,     0,    40,     0,     0,    48,     0,    75,   150,     0,
+       0,     0,     0,     0,     0,     0,     0,     0,    85,     0,
+       0,   155,     0,     0,   164,     0,     0,   141,     0,     0,
+     153,   160,     0,   165,    23,     0,     0,   143,     0,     0,
+     106,     0,    58,   114,     0,    74,    64,     0,    65,    41,
+      73,    63,     0,    95,     0,   101,     0,    99,     0,    97,
+       0,    93,     0,    87,     0,     0,    59,   115,     0,     0,
+      44,     0,     0,   240,   243,   245,   242,   244,     0,     0,
+       0,     0,     0,     0,     0,   139,   197,   201,   202,   204,
+     207,   209,   211,   213,   215,   217,   220,   225,   228,   231,
+     235,     0,     0,     0,     0,     0,     0,   191,     0,     0,
+       0,     0,    82,     0,   172,     0,   170,     0,     0,     0,
+       0,    23,   162,   166,   174,     0,     0,    57,   108,     0,
+     120,    72,    70,    89,     0,    92,     0,    91,     0,    90,
+       0,    88,     0,    81,   110,    23,     0,     0,   188,    78,
+      80,    76,     0,   241,     0,     0,     0,     0,   149,   237,
+     236,   239,   238,     0,     0,     0,     0,     0,     0,     0,
+       0,     0,     0,     0,     0,     0,     0,     0,     0,     0,
+       0,     0,     0,     0,    23,     0,    23,     0,   177,     0,
+       0,    84,    83,     0,   156,     0,   168,     0,   169,   167,
+     192,     0,   161,    23,   175,     0,     0,     0,     0,   116,
+     121,   122,    96,   102,   100,    98,    94,     0,     0,     0,
+       0,     0,     0,     0,   198,   200,     0,     0,   249,   148,
+     140,   205,     0,     0,   208,   210,   212,   214,   216,     0,
+       0,     0,   221,     0,   223,   226,   227,   230,   229,   232,
+     233,   234,   195,     0,   178,    23,     0,   181,     0,     0,
+       0,   159,     0,   157,     0,   171,     0,   163,     0,   120,
+       0,     0,   124,   130,   125,   123,   111,     0,     0,   189,
+      77,    79,   247,     0,   248,   246,     0,   206,   218,   219,
+     222,   224,    23,     0,    23,   185,   180,   182,    23,   176,
+     193,     0,     0,     0,     0,   119,   117,     0,     0,   131,
+     197,     0,     0,     0,   199,   203,   196,     0,   184,   183,
+     154,   158,     0,   194,   132,     0,     0,   190,     0,    23,
+     173,   134,     0,     0,   133,     0,     0,   179,   135,   126,
+     127,   128,   129,   186
+};
+
+/* YYDEFGOTO[NTERM-NUM].  */
+static const yytype_int16 yydefgoto[] =
+{
+      -1,     4,     5,     6,     7,    14,    29,    30,    39,     8,
+      24,    25,   109,    10,    18,    19,    11,    45,    77,    78,
+      79,    53,    59,    60,    61,    80,    81,    82,    83,    84,
+      85,   149,   135,   150,   134,    86,   310,   311,    99,    87,
+      88,   180,   156,   157,   222,   214,   220,   218,   216,    89,
+     202,   127,   158,    90,    91,   128,   159,   129,   130,   369,
+     370,   371,   432,   468,   493,    92,   111,   244,   112,   113,
+     114,   422,   423,   115,   116,   194,   187,   275,   276,   117,
+     118,   119,   120,   121,   122,   416,   417,    93,    94,   307,
+     308,   123,   124,   245,   418,   384,   246,   247,   248,   249,
+     250,   251,   252,   253,   254,   255,   256,   257,   258,   259,
+     260
+};
+
+/* YYPACT[STATE-NUM] -- Index in YYTABLE of the portion describing
+   STATE-NUM.  */
+#define YYPACT_NINF -322
+static const yytype_int16 yypact[] =
+{
+      46,   -24,    61,     2,    45,    33,  -322,  -322,  -322,   151,
+    -322,  -322,   146,  -322,     6,  -322,    85,  -322,   100,  -322,
+    -322,  -322,   168,   171,   108,  -322,   181,   184,   181,    64,
+    -322,   216,  -322,  -322,  -322,    66,  -322,  -322,  -322,   201,
+     215,   237,  -322,  -322,  -322,   186,    74,   240,   255,  -322,
+    -322,  -322,   281,   535,   266,  -322,  -322,  -322,  -322,   267,
+     270,  -322,   275,  -322,  -322,  -322,   425,   150,   283,   301,
+     301,   283,   283,  -322,  -322,  -322,  -322,   307,  -322,    89,
+     535,  -322,   575,  -322,  -322,   310,  -322,  -322,    23,   315,
+    -322,   331,   335,  -322,   294,  -322,   283,   281,   338,   337,
+      54,   328,   339,   344,   350,   106,   109,  -322,    79,    99,
+     356,  -322,  -322,  -322,   283,  -322,   403,  -322,   283,   362,
+    -322,  -322,  -322,   369,  -322,   372,   315,   115,  -322,   283,
+     379,  -322,   283,   154,  -322,   156,  -322,  -322,  -322,    14,
+    -322,   335,  -322,  -322,  -322,   388,   301,  -322,   283,   166,
+    -322,   400,   406,   408,   410,   418,     5,  -322,   191,  -322,
+     283,   401,   335,   402,   405,  -322,   239,  -322,  -322,   142,
+     357,   120,   357,   357,   357,   423,   357,   424,  -322,   424,
+     409,  -322,   429,   430,  -322,   430,   430,  -322,   103,   434,
+    -322,  -322,   435,  -322,   427,   417,   411,  -322,   465,   115,
+    -322,   315,  -322,  -322,   163,  -322,  -322,   301,  -322,   335,
+    -322,  -322,   310,  -322,   193,  -322,   207,  -322,   218,  -322,
+     226,  -322,   231,  -322,   426,   315,  -322,  -322,   443,   413,
+    -322,   446,   447,   449,  -322,   433,  -322,   135,   357,   438,
+      88,    88,    88,    88,   250,  -322,  -322,  -322,    59,   431,
+     428,   432,   484,   457,    -5,    11,    20,   279,   116,  -322,
+    -322,   448,   110,   356,   450,   454,   456,  -322,   302,   444,
+     461,   463,  -322,   293,   459,   232,  -322,   234,   256,   357,
+     357,   471,  -322,  -322,  -322,   357,   462,  -322,  -322,   357,
+      29,  -322,  -322,  -322,   489,  -322,   491,  -322,   492,  -322,
+     493,  -322,   494,  -322,  -322,   283,   496,   322,  -322,  -322,
+     476,  -322,   478,  -322,   357,   357,   357,   479,  -322,  -322,
+    -322,  -322,  -322,   486,   357,   357,   357,   466,   357,   357,
+     357,   357,   357,   487,   497,   188,   261,   357,   357,   357,
+     357,   357,   357,   357,    14,   357,    14,   290,  -322,   504,
+     500,  -322,  -322,   531,  -322,   539,  -322,   430,  -322,  -322,
+    -322,   499,  -322,   473,  -322,   541,   177,   292,   292,  -322,
+      29,  -322,  -322,  -322,  -322,  -322,  -322,   502,   503,   521,
+     413,   446,   446,   325,  -322,  -322,   330,   501,  -322,  -322,
+    -322,   431,    60,   357,   428,   432,   484,   457,    -5,   357,
+     357,   357,    20,   357,    20,   279,   279,   116,   116,  -322,
+    -322,  -322,   557,   511,  -322,   495,    48,  -322,   257,   509,
+     357,  -322,   332,  -322,   506,  -322,   514,  -322,   507,    29,
+     360,   360,  -322,  -322,  -322,  -322,  -322,   357,   515,  -322,
+    -322,   476,  -322,   357,  -322,  -322,   357,   431,    11,    11,
+      20,    20,    14,   120,    14,  -322,  -322,  -322,    14,  -322,
+    -322,   516,   531,   567,   357,  -322,  -322,   202,   518,  -322,
+     479,   519,   520,   301,  -322,   -19,  -322,   525,  -322,  -322,
+    -322,  -322,   527,  -322,  -322,   321,   321,  -322,   341,    14,
+    -322,  -322,   227,   123,  -322,   125,   524,  -322,  -322,  -322,
+    -322,  -322,  -322,  -322
+};
+
+/* YYPGOTO[NTERM-NUM].  */
+static const yytype_int16 yypgoto[] =
+{
+    -322,  -322,  -322,   581,  -322,  -322,  -322,   561,   565,  -322,
+    -322,   570,    16,   -53,  -322,   577,  -322,  -322,  -322,   517,
+     -61,  -322,  -322,  -322,   505,  -322,   523,  -322,   522,  -322,
+    -322,  -322,   -67,   384,   392,  -322,   219,   225,  -322,  -322,
+    -322,    81,  -322,   451,  -322,  -322,  -322,  -322,  -322,   533,
+    -128,   482,  -322,  -322,  -322,   414,   386,  -322,   -79,   180,
+    -322,   244,   248,   187,   133,   542,  -115,   334,  -322,   464,
+    -322,  -322,   162,   512,  -322,   347,  -322,   217,   268,  -322,
+    -322,  -322,  -322,  -322,  -322,  -322,   213,   -70,  -322,  -322,
+     251,  -168,  -322,  -166,    93,   189,  -164,  -322,  -321,  -311,
+     305,   306,   300,   303,   304,    10,  -312,    75,    77,   -66,
+    -223
+};
+
+/* YYTABLE[YYPACT[STATE-NUM]].  What to do in state STATE-NUM.  If
+   positive, shift that token.  If negative, reduce the rule which
+   number is the opposite.  If zero, do what YYDEFACT says.
+   If YYTABLE_NINF, syntax error.  */
+#define YYTABLE_NINF -24
+static const yytype_int16 yytable[] =
+{
+      76,   193,   133,   264,   261,   392,   265,   266,   267,   142,
+     160,    12,   269,   110,   391,   151,     9,   319,   320,   321,
+     322,     9,    15,   402,   404,   325,   100,    76,   152,   101,
+     226,   162,    26,   151,   367,   102,   103,    16,   290,   104,
+      27,     1,    17,   153,   337,    20,   152,   154,   333,   105,
+     327,     2,   155,     3,     1,   233,   338,    28,   368,   234,
+     235,   153,     3,   110,     2,   154,   107,   236,   334,   108,
+     155,   287,   -23,    46,   317,   456,   335,    47,   108,   283,
+     209,     3,   447,   237,   131,   336,   110,   136,   137,   450,
+      26,   451,   142,   415,     3,   233,    13,    42,    27,   234,
+     235,    48,   238,   325,   325,   139,   168,   236,   169,    54,
+     240,   241,   164,   360,   181,    28,   361,   242,   263,   364,
+     446,   243,   183,   237,   140,   475,    55,   326,   327,   327,
+     189,   184,   177,   182,   195,    16,   185,   186,    31,   142,
+      32,   110,   238,    23,   178,   203,   160,   179,   205,   233,
+     385,   385,   387,   234,   235,   262,   279,    36,   390,   174,
+     175,   236,   280,   174,   210,   176,   193,   200,     3,   176,
+     233,   201,   189,    68,   234,   235,   227,   237,   499,   413,
+     501,    23,   236,   385,   500,   125,   502,    71,    72,   315,
+      22,   341,   342,   343,   316,   233,   238,   239,   237,   234,
+     235,   433,   433,    34,   240,   241,   206,   236,   208,   233,
+     207,   242,   207,   234,   235,   243,    38,   238,   211,    40,
+     289,   236,   212,   237,    35,   240,   241,    44,   110,   412,
+     484,   414,   242,   324,   233,   429,   243,   237,   234,   235,
+      52,   401,   238,   200,   319,   293,   236,   225,   283,   294,
+     240,   241,   385,    49,   460,   498,   238,   242,   270,   295,
+     271,   243,   237,   296,   469,   469,   470,    50,   233,   320,
+     297,   472,   234,   235,   298,   409,   410,   411,   299,   385,
+     236,   238,   300,   301,   356,   477,   358,   302,   357,    51,
+     357,   110,    56,   110,   231,   232,   237,   233,   483,   233,
+     455,   234,   235,   234,   235,   323,   324,    57,   359,   236,
+     110,   236,   357,   443,   403,   238,    58,   458,    95,   494,
+     494,   377,    96,   240,   241,   237,    97,   237,   233,    98,
+     242,     3,   234,   235,   243,   415,   132,   476,   138,   478,
+     236,   339,   340,   479,   238,   148,   430,   353,   354,   491,
+     125,   431,   240,   241,   240,   241,   237,   348,   349,   242,
+     163,   242,   110,   243,   233,   243,   161,   233,   234,   235,
+     140,   234,   235,   166,   497,   238,   236,   379,   380,   236,
+     442,   443,   170,   240,   492,   444,   443,   461,   462,   167,
+     242,   188,   237,   171,   243,   237,   496,   207,   172,   110,
+     263,   110,   277,   278,   173,   110,   488,   383,   386,   448,
+     449,   238,   405,   406,   238,   100,   407,   408,   101,   240,
+     241,   197,   467,   241,   102,   103,   242,   196,   104,   242,
+     243,   198,   204,   243,   106,   213,   110,   100,   105,   100,
+     101,   215,   101,   217,   191,   219,   102,   103,   102,   103,
+     104,     3,   104,   221,   228,   107,   229,   230,   268,   178,
+     105,   272,   105,   192,   273,   274,   184,   108,   282,   284,
+     281,   106,   286,     3,   285,     3,   303,   107,   305,   107,
+     306,   309,   312,   100,   313,   100,   101,   314,   101,   108,
+     318,   108,   102,   103,   102,   103,   104,   331,   104,   329,
+     332,   328,   345,   344,   330,   350,   105,   100,   105,   346,
+     101,   347,   362,   351,   427,   352,   102,   103,   355,     3,
+     104,     3,   365,   107,   372,   107,   373,   374,   375,   376,
+     105,   378,   381,   382,   388,   108,   393,   108,   389,   419,
+     399,    62,   421,     3,    63,    64,   424,   107,   428,    65,
+     400,    66,    67,   420,   436,   454,   438,   437,    68,   108,
+     426,   452,   445,   453,   459,    69,   463,   464,   465,   473,
+      70,   480,    71,    72,   482,   487,   503,    73,   485,   486,
+     489,    62,    74,     3,    63,    64,    21,    75,   490,    65,
+      43,   145,    67,    41,    37,    33,   292,   143,    68,   291,
+     126,   441,   165,   144,   147,    69,   440,   223,   199,   466,
+     146,   304,    71,    72,   435,   288,   434,    73,   471,   495,
+     224,   141,    74,   366,   481,   425,   190,    75,   363,   457,
+     396,   439,   474,   394,   397,   395,   398
+};
+
+static const yytype_uint16 yycheck[] =
+{
+      53,   116,    69,   171,   170,   326,   172,   173,   174,    79,
+      89,    35,   176,    66,   325,    10,     0,   240,   241,   242,
+     243,     5,    20,   335,   336,    44,    12,    80,    23,    15,
+     158,    92,    26,    10,     5,    21,    22,    35,   204,    25,
+      34,     8,    40,    38,    24,     0,    23,    42,    53,    35,
+      69,    18,    47,    48,     8,     7,    36,    51,    29,    11,
+      12,    38,    48,   116,    18,    42,    52,    19,    73,    64,
+      47,   199,    39,     7,   238,    27,    65,    11,    64,   194,
+     141,    48,   393,    35,    68,    74,   139,    71,    72,   401,
+      26,   403,   162,    45,    48,     7,    35,    33,    34,    11,
+      12,    35,    54,    44,    44,    16,    52,    19,    54,    35,
+      62,    63,    96,   279,    35,    51,   280,    69,   171,   285,
+      60,    73,    23,    35,    35,   446,    52,    68,    69,    69,
+     114,    32,    23,    54,   118,    35,    37,    38,    53,   209,
+      40,   194,    54,    35,    35,   129,   225,    38,   132,     7,
+     314,   315,   316,    11,    12,    35,    53,    49,   324,    53,
+      54,    19,    59,    53,   148,    59,   281,    52,    48,    59,
+       7,    56,   156,    23,    11,    12,   160,    35,    55,   345,
+      55,    35,    19,   347,    61,    35,    61,    37,    38,    54,
+      39,    75,    76,    77,    59,     7,    54,    55,    35,    11,
+      12,   367,   368,    35,    62,    63,    52,    19,    52,     7,
+      56,    69,    56,    11,    12,    73,    35,    54,    52,    35,
+      57,    19,    56,    35,    53,    62,    63,    11,   281,   344,
+      28,   346,    69,    56,     7,    58,    73,    35,    11,    12,
+      54,    53,    54,    52,   467,    52,    19,    56,   363,    56,
+      62,    63,   416,    52,   420,    28,    54,    69,   177,    52,
+     179,    73,    35,    56,   430,   431,   430,    52,     7,   492,
+      52,   437,    11,    12,    56,   341,   342,   343,    52,   443,
+      19,    54,    56,    52,    52,   453,    52,    56,    56,    52,
+      56,   344,    52,   346,    55,    56,    35,     7,   464,     7,
+     415,    11,    12,    11,    12,    55,    56,    52,    52,    19,
+     363,    19,    56,    56,    53,    54,    35,    60,    52,   485,
+     486,   305,    55,    62,    63,    35,    56,    35,     7,    54,
+      69,    48,    11,    12,    73,    45,    35,   452,    31,   454,
+      19,    62,    63,   458,    54,    35,    54,    54,    55,    28,
+      35,    59,    62,    63,    62,    63,    35,    55,    56,    69,
+      66,    69,   415,    73,     7,    73,    35,     7,    11,    12,
+      35,    11,    12,    35,   489,    54,    19,    55,    56,    19,
+      55,    56,    54,    62,    63,    55,    56,    55,    56,    52,
+      69,    35,    35,    54,    73,    35,    55,    56,    54,   452,
+     453,   454,   185,   186,    54,   458,   473,   314,   315,   399,
+     400,    54,   337,   338,    54,    12,   339,   340,    15,    62,
+      63,    52,    62,    63,    21,    22,    69,    65,    25,    69,
+      73,    59,    53,    73,    46,    35,   489,    12,    35,    12,
+      15,    35,    15,    35,    41,    35,    21,    22,    21,    22,
+      25,    48,    25,    35,    53,    52,    54,    52,    35,    35,
+      35,    52,    35,    60,    35,    35,    32,    64,    41,    52,
+      35,    46,     7,    48,    63,    48,    50,    52,    35,    52,
+      67,    35,    35,    12,    35,    12,    15,    54,    15,    64,
+      52,    64,    21,    22,    21,    22,    25,    13,    25,    71,
+      43,    70,    52,    55,    72,    61,    35,    12,    35,    55,
+      15,    55,    41,    52,    41,    52,    21,    22,    59,    48,
+      25,    48,    60,    52,    35,    52,    35,    35,    35,    35,
+      35,    35,    56,    55,    55,    64,    70,    64,    52,    35,
+      53,     6,    11,    48,     9,    10,     7,    52,     7,    14,
+      53,    16,    17,    53,    52,    60,    35,    54,    23,    64,
+      61,     4,    61,    52,    55,    30,    60,    53,    61,    54,
+      35,    55,    37,    38,     7,    55,    52,    42,    60,    60,
+      55,     6,    47,    48,     9,    10,     5,    52,    61,    14,
+      29,    16,    17,    28,    24,    18,   212,    80,    23,   207,
+      67,   382,    97,    80,    82,    30,   381,   156,   126,   429,
+      35,   225,    37,    38,   370,   201,   368,    42,   431,   486,
+     156,    79,    47,   289,   462,   357,   114,    52,   281,   416,
+     330,   380,   443,   328,   331,   329,   332
+};
+
+/* YYSTOS[STATE-NUM] -- The (internal number of the) accessing
+   symbol of state STATE-NUM.  */
+static const yytype_uint8 yystos[] =
+{
+       0,     8,    18,    48,    79,    80,    81,    82,    87,    90,
+      91,    94,    35,    35,    83,    20,    35,    40,    92,    93,
+       0,    81,    39,    35,    88,    89,    26,    34,    51,    84,
+      85,    53,    40,    93,    35,    53,    49,    89,    35,    86,
+      35,    86,    33,    85,    11,    95,     7,    11,    35,    52,
+      52,    52,    54,    99,    35,    52,    52,    52,    35,   100,
+     101,   102,     6,     9,    10,    14,    16,    17,    23,    30,
+      35,    37,    38,    42,    47,    52,    91,    96,    97,    98,
+     103,   104,   105,   106,   107,   108,   113,   117,   118,   127,
+     131,   132,   143,   165,   166,    52,    55,    56,    54,   116,
+      12,    15,    21,    22,    25,    35,    46,    52,    64,    90,
+      91,   144,   146,   147,   148,   151,   152,   157,   158,   159,
+     160,   161,   162,   169,   170,    35,   127,   129,   133,   135,
+     136,    90,    35,   110,   112,   110,    90,    90,    31,    16,
+      35,   143,   165,    97,   104,    16,    35,   106,    35,   109,
+     111,    10,    23,    38,    42,    47,   120,   121,   130,   134,
+     136,    35,    98,    66,    90,   102,    35,    52,    52,    54,
+      54,    54,    54,    54,    53,    54,    59,    23,    35,    38,
+     119,    35,    54,    23,    32,    37,    38,   154,    35,    90,
+     151,    41,    60,   144,   153,    90,    65,    52,    59,   129,
+      52,    56,   128,    90,    53,    90,    52,    56,    52,    98,
+      90,    52,    56,    35,   123,    35,   126,    35,   125,    35,
+     124,    35,   122,   121,   147,    56,   128,    90,    53,    54,
+      52,    55,    56,     7,    11,    12,    19,    35,    54,    55,
+      62,    63,    69,    73,   145,   171,   174,   175,   176,   177,
+     178,   179,   180,   181,   182,   183,   184,   185,   186,   187,
+     188,   171,    35,    91,   169,   171,   171,   171,    35,   174,
+     119,   119,    52,    35,    35,   155,   156,   155,   155,    53,
+      59,    35,    41,   144,    52,    63,     7,   128,   133,    57,
+     171,   112,   111,    52,    56,    52,    56,    52,    56,    52,
+      56,    52,    56,    50,   134,    35,    67,   167,   168,    35,
+     114,   115,    35,    35,    54,    54,    59,   174,    52,   188,
+     188,   188,   188,    55,    56,    44,    68,    69,    70,    71,
+      72,    13,    43,    53,    73,    65,    74,    24,    36,    62,
+      63,    75,    76,    77,    55,    52,    55,    55,    55,    56,
+      61,    52,    52,    54,    55,    59,    52,    56,    52,    52,
+     171,   174,    41,   153,   171,    60,   145,     5,    29,   137,
+     138,   139,    35,    35,    35,    35,    35,    90,    35,    55,
+      56,    56,    55,   172,   173,   174,   172,   174,    55,    52,
+     171,   177,   176,    70,   178,   179,   180,   181,   182,    53,
+      53,    53,   184,    53,   184,   185,   185,   186,   186,   187,
+     187,   187,   144,   171,   144,    45,   163,   164,   172,    35,
+      53,    11,   149,   150,     7,   156,    61,    41,     7,    58,
+      54,    59,   140,   171,   140,   139,    52,    54,    35,   168,
+     115,   114,    55,    56,    55,    61,    60,   177,   183,   183,
+     184,   184,     4,    52,    60,   144,    27,   164,    60,    55,
+     171,    55,    56,    60,    53,    61,   137,    62,   141,   171,
+     174,   141,   171,    54,   173,   176,   144,   169,   144,   144,
+      55,   150,     7,   171,    28,    60,    60,    55,   110,    55,
+      61,    28,    63,   142,   171,   142,    55,   144,    28,    55,
+      61,    55,    61,    52
+};
+
+#define yyerrok		(yyerrstatus = 0)
+#define yyclearin	(yychar = YYEMPTY)
+#define YYEMPTY		(-2)
+#define YYEOF		0
+
+#define YYACCEPT	goto yyacceptlab
+#define YYABORT		goto yyabortlab
+#define YYERROR		goto yyerrorlab
+
+
+/* Like YYERROR except do call yyerror.  This remains here temporarily
+   to ease the transition to the new meaning of YYERROR, for GCC.
+   Once GCC version 2 has supplanted version 1, this can go.  */
+
+#define YYFAIL		goto yyerrlab
+
+#define YYRECOVERING()  (!!yyerrstatus)
+
+#define YYBACKUP(Token, Value)					\
+do								\
+  if (yychar == YYEMPTY && yylen == 1)				\
+    {								\
+      yychar = (Token);						\
+      yylval = (Value);						\
+      yytoken = YYTRANSLATE (yychar);				\
+      YYPOPSTACK (1);						\
+      goto yybackup;						\
+    }								\
+  else								\
+    {								\
+      yyerror (YY_("syntax error: cannot back up")); \
+      YYERROR;							\
+    }								\
+while (YYID (0))
+
+
+#define YYTERROR	1
+#define YYERRCODE	256
+
+
+/* YYLLOC_DEFAULT -- Set CURRENT to span from RHS[1] to RHS[N].
+   If N is 0, then set CURRENT to the empty location which ends
+   the previous symbol: RHS[0] (always defined).  */
+
+#define YYRHSLOC(Rhs, K) ((Rhs)[K])
+#ifndef YYLLOC_DEFAULT
+# define YYLLOC_DEFAULT(Current, Rhs, N)				\
+    do									\
+      if (YYID (N))                                                    \
+	{								\
+	  (Current).first_line   = YYRHSLOC (Rhs, 1).first_line;	\
+	  (Current).first_column = YYRHSLOC (Rhs, 1).first_column;	\
+	  (Current).last_line    = YYRHSLOC (Rhs, N).last_line;		\
+	  (Current).last_column  = YYRHSLOC (Rhs, N).last_column;	\
+	}								\
+      else								\
+	{								\
+	  (Current).first_line   = (Current).last_line   =		\
+	    YYRHSLOC (Rhs, 0).last_line;				\
+	  (Current).first_column = (Current).last_column =		\
+	    YYRHSLOC (Rhs, 0).last_column;				\
+	}								\
+    while (YYID (0))
+#endif
+
+
+/* YY_LOCATION_PRINT -- Print the location on the stream.
+   This macro was not mandated originally: define only if we know
+   we won't break user code: when these are the locations we know.  */
+
+#ifndef YY_LOCATION_PRINT
+# if YYLTYPE_IS_TRIVIAL
+#  define YY_LOCATION_PRINT(File, Loc)			\
+     fprintf (File, "%d.%d-%d.%d",			\
+	      (Loc).first_line, (Loc).first_column,	\
+	      (Loc).last_line,  (Loc).last_column)
+# else
+#  define YY_LOCATION_PRINT(File, Loc) ((void) 0)
+# endif
+#endif
+
+
+/* YYLEX -- calling `yylex' with the right arguments.  */
+
+#ifdef YYLEX_PARAM
+# define YYLEX yylex (YYLEX_PARAM)
+#else
+# define YYLEX yylex ()
+#endif
+
+/* Enable debugging if requested.  */
+#if YYDEBUG
+
+# ifndef YYFPRINTF
+#  include <stdio.h> /* INFRINGES ON USER NAME SPACE */
+#  define YYFPRINTF fprintf
+# endif
+
+# define YYDPRINTF(Args)			\
+do {						\
+  if (yydebug)					\
+    YYFPRINTF Args;				\
+} while (YYID (0))
+
+# define YY_SYMBOL_PRINT(Title, Type, Value, Location)			  \
+do {									  \
+  if (yydebug)								  \
+    {									  \
+      YYFPRINTF (stderr, "%s ", Title);					  \
+      yy_symbol_print (stderr,						  \
+		  Type, Value); \
+      YYFPRINTF (stderr, "\n");						  \
+    }									  \
+} while (YYID (0))
+
+
+/*--------------------------------.
+| Print this symbol on YYOUTPUT.  |
+`--------------------------------*/
+
+/*ARGSUSED*/
+#if (defined __STDC__ || defined __C99__FUNC__ \
+     || defined __cplusplus || defined _MSC_VER)
+static void
+yy_symbol_value_print (FILE *yyoutput, int yytype, YYSTYPE const * const yyvaluep)
+#else
+static void
+yy_symbol_value_print (yyoutput, yytype, yyvaluep)
+    FILE *yyoutput;
+    int yytype;
+    YYSTYPE const * const yyvaluep;
+#endif
+{
+  if (!yyvaluep)
+    return;
+# ifdef YYPRINT
+  if (yytype < YYNTOKENS)
+    YYPRINT (yyoutput, yytoknum[yytype], *yyvaluep);
+# else
+  YYUSE (yyoutput);
+# endif
+  switch (yytype)
+    {
+      default:
+	break;
+    }
+}
+
+
+/*--------------------------------.
+| Print this symbol on YYOUTPUT.  |
+`--------------------------------*/
+
+#if (defined __STDC__ || defined __C99__FUNC__ \
+     || defined __cplusplus || defined _MSC_VER)
+static void
+yy_symbol_print (FILE *yyoutput, int yytype, YYSTYPE const * const yyvaluep)
+#else
+static void
+yy_symbol_print (yyoutput, yytype, yyvaluep)
+    FILE *yyoutput;
+    int yytype;
+    YYSTYPE const * const yyvaluep;
+#endif
+{
+  if (yytype < YYNTOKENS)
+    YYFPRINTF (yyoutput, "token %s (", yytname[yytype]);
+  else
+    YYFPRINTF (yyoutput, "nterm %s (", yytname[yytype]);
+
+  yy_symbol_value_print (yyoutput, yytype, yyvaluep);
+  YYFPRINTF (yyoutput, ")");
+}
+
+/*------------------------------------------------------------------.
+| yy_stack_print -- Print the state stack from its BOTTOM up to its |
+| TOP (included).                                                   |
+`------------------------------------------------------------------*/
+
+#if (defined __STDC__ || defined __C99__FUNC__ \
+     || defined __cplusplus || defined _MSC_VER)
+static void
+yy_stack_print (yytype_int16 *yybottom, yytype_int16 *yytop)
+#else
+static void
+yy_stack_print (yybottom, yytop)
+    yytype_int16 *yybottom;
+    yytype_int16 *yytop;
+#endif
+{
+  YYFPRINTF (stderr, "Stack now");
+  for (; yybottom <= yytop; yybottom++)
+    {
+      int yybot = *yybottom;
+      YYFPRINTF (stderr, " %d", yybot);
+    }
+  YYFPRINTF (stderr, "\n");
+}
+
+# define YY_STACK_PRINT(Bottom, Top)				\
+do {								\
+  if (yydebug)							\
+    yy_stack_print ((Bottom), (Top));				\
+} while (YYID (0))
+
+
+/*------------------------------------------------.
+| Report that the YYRULE is going to be reduced.  |
+`------------------------------------------------*/
+
+#if (defined __STDC__ || defined __C99__FUNC__ \
+     || defined __cplusplus || defined _MSC_VER)
+static void
+yy_reduce_print (YYSTYPE *yyvsp, int yyrule)
+#else
+static void
+yy_reduce_print (yyvsp, yyrule)
+    YYSTYPE *yyvsp;
+    int yyrule;
+#endif
+{
+  int yynrhs = yyr2[yyrule];
+  int yyi;
+  unsigned long int yylno = yyrline[yyrule];
+  YYFPRINTF (stderr, "Reducing stack by rule %d (line %lu):\n",
+	     yyrule - 1, yylno);
+  /* The symbols being reduced.  */
+  for (yyi = 0; yyi < yynrhs; yyi++)
+    {
+      YYFPRINTF (stderr, "   $%d = ", yyi + 1);
+      yy_symbol_print (stderr, yyrhs[yyprhs[yyrule] + yyi],
+		       &(yyvsp[(yyi + 1) - (yynrhs)])
+		       		       );
+      YYFPRINTF (stderr, "\n");
+    }
+}
+
+# define YY_REDUCE_PRINT(Rule)		\
+do {					\
+  if (yydebug)				\
+    yy_reduce_print (yyvsp, Rule); \
+} while (YYID (0))
+
+/* Nonzero means print parse trace.  It is left uninitialized so that
+   multiple parsers can coexist.  */
+int yydebug;
+#else /* !YYDEBUG */
+# define YYDPRINTF(Args)
+# define YY_SYMBOL_PRINT(Title, Type, Value, Location)
+# define YY_STACK_PRINT(Bottom, Top)
+# define YY_REDUCE_PRINT(Rule)
+#endif /* !YYDEBUG */
+
+
+/* YYINITDEPTH -- initial size of the parser's stacks.  */
+#ifndef	YYINITDEPTH
+# define YYINITDEPTH 200
+#endif
+
+/* YYMAXDEPTH -- maximum size the stacks can grow to (effective only
+   if the built-in stack extension method is used).
+
+   Do not make this value too large; the results are undefined if
+   YYSTACK_ALLOC_MAXIMUM < YYSTACK_BYTES (YYMAXDEPTH)
+   evaluated with infinite-precision integer arithmetic.  */
+
+#ifndef YYMAXDEPTH
+# define YYMAXDEPTH 10000
+#endif
+
+

+
+#if YYERROR_VERBOSE
+
+# ifndef yystrlen
+#  if defined __GLIBC__ && defined _STRING_H
+#   define yystrlen strlen
+#  else
+/* Return the length of YYSTR.  */
+#if (defined __STDC__ || defined __C99__FUNC__ \
+     || defined __cplusplus || defined _MSC_VER)
+static YYSIZE_T
+yystrlen (const char *yystr)
+#else
+static YYSIZE_T
+yystrlen (yystr)
+    const char *yystr;
+#endif
+{
+  YYSIZE_T yylen;
+  for (yylen = 0; yystr[yylen]; yylen++)
+    continue;
+  return yylen;
+}
+#  endif
+# endif
+
+# ifndef yystpcpy
+#  if defined __GLIBC__ && defined _STRING_H && defined _GNU_SOURCE
+#   define yystpcpy stpcpy
+#  else
+/* Copy YYSRC to YYDEST, returning the address of the terminating '\0' in
+   YYDEST.  */
+#if (defined __STDC__ || defined __C99__FUNC__ \
+     || defined __cplusplus || defined _MSC_VER)
+static char *
+yystpcpy (char *yydest, const char *yysrc)
+#else
+static char *
+yystpcpy (yydest, yysrc)
+    char *yydest;
+    const char *yysrc;
+#endif
+{
+  char *yyd = yydest;
+  const char *yys = yysrc;
+
+  while ((*yyd++ = *yys++) != '\0')
+    continue;
+
+  return yyd - 1;
+}
+#  endif
+# endif
+
+# ifndef yytnamerr
+/* Copy to YYRES the contents of YYSTR after stripping away unnecessary
+   quotes and backslashes, so that it's suitable for yyerror.  The
+   heuristic is that double-quoting is unnecessary unless the string
+   contains an apostrophe, a comma, or backslash (other than
+   backslash-backslash).  YYSTR is taken from yytname.  If YYRES is
+   null, do not copy; instead, return the length of what the result
+   would have been.  */
+static YYSIZE_T
+yytnamerr (char *yyres, const char *yystr)
+{
+  if (*yystr == '"')
+    {
+      YYSIZE_T yyn = 0;
+      char const *yyp = yystr;
+
+      for (;;)
+	switch (*++yyp)
+	  {
+	  case '\'':
+	  case ',':
+	    goto do_not_strip_quotes;
+
+	  case '\\':
+	    if (*++yyp != '\\')
+	      goto do_not_strip_quotes;
+	    /* Fall through.  */
+	  default:
+	    if (yyres)
+	      yyres[yyn] = *yyp;
+	    yyn++;
+	    break;
+
+	  case '"':
+	    if (yyres)
+	      yyres[yyn] = '\0';
+	    return yyn;
+	  }
+    do_not_strip_quotes: ;
+    }
+
+  if (! yyres)
+    return yystrlen (yystr);
+
+  return yystpcpy (yyres, yystr) - yyres;
+}
+# endif
+
+/* Copy into YYRESULT an error message about the unexpected token
+   YYCHAR while in state YYSTATE.  Return the number of bytes copied,
+   including the terminating null byte.  If YYRESULT is null, do not
+   copy anything; just return the number of bytes that would be
+   copied.  As a special case, return 0 if an ordinary "syntax error"
+   message will do.  Return YYSIZE_MAXIMUM if overflow occurs during
+   size calculation.  */
+static YYSIZE_T
+yysyntax_error (char *yyresult, int yystate, int yychar)
+{
+  int yyn = yypact[yystate];
+
+  if (! (YYPACT_NINF < yyn && yyn <= YYLAST))
+    return 0;
+  else
+    {
+      int yytype = YYTRANSLATE (yychar);
+      YYSIZE_T yysize0 = yytnamerr (0, yytname[yytype]);
+      YYSIZE_T yysize = yysize0;
+      YYSIZE_T yysize1;
+      int yysize_overflow = 0;
+      enum { YYERROR_VERBOSE_ARGS_MAXIMUM = 5 };
+      char const *yyarg[YYERROR_VERBOSE_ARGS_MAXIMUM];
+      int yyx;
+
+# if 0
+      /* This is so xgettext sees the translatable formats that are
+	 constructed on the fly.  */
+      YY_("syntax error, unexpected %s");
+      YY_("syntax error, unexpected %s, expecting %s");
+      YY_("syntax error, unexpected %s, expecting %s or %s");
+      YY_("syntax error, unexpected %s, expecting %s or %s or %s");
+      YY_("syntax error, unexpected %s, expecting %s or %s or %s or %s");
+# endif
+      char *yyfmt;
+      char const *yyf;
+      static char const yyunexpected[] = "syntax error, unexpected %s";
+      static char const yyexpecting[] = ", expecting %s";
+      static char const yyor[] = " or %s";
+      char yyformat[sizeof yyunexpected
+		    + sizeof yyexpecting - 1
+		    + ((YYERROR_VERBOSE_ARGS_MAXIMUM - 2)
+		       * (sizeof yyor - 1))];
+      char const *yyprefix = yyexpecting;
+
+      /* Start YYX at -YYN if negative to avoid negative indexes in
+	 YYCHECK.  */
+      int yyxbegin = yyn < 0 ? -yyn : 0;
+
+      /* Stay within bounds of both yycheck and yytname.  */
+      int yychecklim = YYLAST - yyn + 1;
+      int yyxend = yychecklim < YYNTOKENS ? yychecklim : YYNTOKENS;
+      int yycount = 1;
+
+      yyarg[0] = yytname[yytype];
+      yyfmt = yystpcpy (yyformat, yyunexpected);
+
+      for (yyx = yyxbegin; yyx < yyxend; ++yyx)
+	if (yycheck[yyx + yyn] == yyx && yyx != YYTERROR)
+	  {
+	    if (yycount == YYERROR_VERBOSE_ARGS_MAXIMUM)
+	      {
+		yycount = 1;
+		yysize = yysize0;
+		yyformat[sizeof yyunexpected - 1] = '\0';
+		break;
+	      }
+	    yyarg[yycount++] = yytname[yyx];
+	    yysize1 = yysize + yytnamerr (0, yytname[yyx]);
+	    yysize_overflow |= (yysize1 < yysize);
+	    yysize = yysize1;
+	    yyfmt = yystpcpy (yyfmt, yyprefix);
+	    yyprefix = yyor;
+	  }
+
+      yyf = YY_(yyformat);
+      yysize1 = yysize + yystrlen (yyf);
+      yysize_overflow |= (yysize1 < yysize);
+      yysize = yysize1;
+
+      if (yysize_overflow)
+	return YYSIZE_MAXIMUM;
+
+      if (yyresult)
+	{
+	  /* Avoid sprintf, as that infringes on the user's name space.
+	     Don't have undefined behavior even if the translation
+	     produced a string with the wrong number of "%s"s.  */
+	  char *yyp = yyresult;
+	  int yyi = 0;
+	  while ((*yyp = *yyf) != '\0')
+	    {
+	      if (*yyp == '%' && yyf[1] == 's' && yyi < yycount)
+		{
+		  yyp += yytnamerr (yyp, yyarg[yyi++]);
+		  yyf += 2;
+		}
+	      else
+		{
+		  yyp++;
+		  yyf++;
+		}
+	    }
+	}
+      return yysize;
+    }
+}
+#endif /* YYERROR_VERBOSE */
+

+
+/*-----------------------------------------------.
+| Release the memory associated to this symbol.  |
+`-----------------------------------------------*/
+
+/*ARGSUSED*/
+#if (defined __STDC__ || defined __C99__FUNC__ \
+     || defined __cplusplus || defined _MSC_VER)
+static void
+yydestruct (const char *yymsg, int yytype, YYSTYPE *yyvaluep)
+#else
+static void
+yydestruct (yymsg, yytype, yyvaluep)
+    const char *yymsg;
+    int yytype;
+    YYSTYPE *yyvaluep;
+#endif
+{
+  YYUSE (yyvaluep);
+
+  if (!yymsg)
+    yymsg = "Deleting";
+  YY_SYMBOL_PRINT (yymsg, yytype, yyvaluep, yylocationp);
+
+  switch (yytype)
+    {
+
+      default:
+	break;
+    }
+}
+
+/* Prevent warnings from -Wmissing-prototypes.  */
+#ifdef YYPARSE_PARAM
+#if defined __STDC__ || defined __cplusplus
+int yyparse (void *YYPARSE_PARAM);
+#else
+int yyparse ();
+#endif
+#else /* ! YYPARSE_PARAM */
+#if defined __STDC__ || defined __cplusplus
+int yyparse (void);
+#else
+int yyparse ();
+#endif
+#endif /* ! YYPARSE_PARAM */
+
+
+/* The lookahead symbol.  */
+int yychar;
+
+/* The semantic value of the lookahead symbol.  */
+YYSTYPE yylval;
+
+/* Number of syntax errors so far.  */
+int yynerrs;
+
+
+
+/*-------------------------.
+| yyparse or yypush_parse.  |
+`-------------------------*/
+
+#ifdef YYPARSE_PARAM
+#if (defined __STDC__ || defined __C99__FUNC__ \
+     || defined __cplusplus || defined _MSC_VER)
+int
+yyparse (void *YYPARSE_PARAM)
+#else
+int
+yyparse (YYPARSE_PARAM)
+    void *YYPARSE_PARAM;
+#endif
+#else /* ! YYPARSE_PARAM */
+#if (defined __STDC__ || defined __C99__FUNC__ \
+     || defined __cplusplus || defined _MSC_VER)
+int
+yyparse (void)
+#else
+int
+yyparse ()
+
+#endif
+#endif
+{
+
+
+    int yystate;
+    /* Number of tokens to shift before error messages enabled.  */
+    int yyerrstatus;
+
+    /* The stacks and their tools:
+       `yyss': related to states.
+       `yyvs': related to semantic values.
+
+       Refer to the stacks thru separate pointers, to allow yyoverflow
+       to reallocate them elsewhere.  */
+
+    /* The state stack.  */
+    yytype_int16 yyssa[YYINITDEPTH];
+    yytype_int16 *yyss;
+    yytype_int16 *yyssp;
+
+    /* The semantic value stack.  */
+    YYSTYPE yyvsa[YYINITDEPTH];
+    YYSTYPE *yyvs;
+    YYSTYPE *yyvsp;
+
+    YYSIZE_T yystacksize;
+
+  int yyn;
+  int yyresult;
+  /* Lookahead token as an internal (translated) token number.  */
+  int yytoken;
+  /* The variables used to return semantic value and location from the
+     action routines.  */
+  YYSTYPE yyval;
+
+#if YYERROR_VERBOSE
+  /* Buffer for error messages, and its allocated size.  */
+  char yymsgbuf[128];
+  char *yymsg = yymsgbuf;
+  YYSIZE_T yymsg_alloc = sizeof yymsgbuf;
+#endif
+
+#define YYPOPSTACK(N)   (yyvsp -= (N), yyssp -= (N))
+
+  /* The number of symbols on the RHS of the reduced rule.
+     Keep to zero when no symbol should be popped.  */
+  int yylen = 0;
+
+  yytoken = 0;
+  yyss = yyssa;
+  yyvs = yyvsa;
+  yystacksize = YYINITDEPTH;
+
+  YYDPRINTF ((stderr, "Starting parse\n"));
+
+  yystate = 0;
+  yyerrstatus = 0;
+  yynerrs = 0;
+  yychar = YYEMPTY; /* Cause a token to be read.  */
+
+  /* Initialize stack pointers.
+     Waste one element of value and location stack
+     so that they stay on the same level as the state stack.
+     The wasted elements are never initialized.  */
+  yyssp = yyss;
+  yyvsp = yyvs;
+
+  goto yysetstate;
+
+/*------------------------------------------------------------.
+| yynewstate -- Push a new state, which is found in yystate.  |
+`------------------------------------------------------------*/
+ yynewstate:
+  /* In all cases, when you get here, the value and location stacks
+     have just been pushed.  So pushing a state here evens the stacks.  */
+  yyssp++;
+
+ yysetstate:
+  *yyssp = yystate;
+
+  if (yyss + yystacksize - 1 <= yyssp)
+    {
+      /* Get the current used size of the three stacks, in elements.  */
+      YYSIZE_T yysize = yyssp - yyss + 1;
+
+#ifdef yyoverflow
+      {
+	/* Give user a chance to reallocate the stack.  Use copies of
+	   these so that the &'s don't force the real ones into
+	   memory.  */
+	YYSTYPE *yyvs1 = yyvs;
+	yytype_int16 *yyss1 = yyss;
+
+	/* Each stack pointer address is followed by the size of the
+	   data in use in that stack, in bytes.  This used to be a
+	   conditional around just the two extra args, but that might
+	   be undefined if yyoverflow is a macro.  */
+	yyoverflow (YY_("memory exhausted"),
+		    &yyss1, yysize * sizeof (*yyssp),
+		    &yyvs1, yysize * sizeof (*yyvsp),
+		    &yystacksize);
+
+	yyss = yyss1;
+	yyvs = yyvs1;
+      }
+#else /* no yyoverflow */
+# ifndef YYSTACK_RELOCATE
+      goto yyexhaustedlab;
+# else
+      /* Extend the stack our own way.  */
+      if (YYMAXDEPTH <= yystacksize)
+	goto yyexhaustedlab;
+      yystacksize *= 2;
+      if (YYMAXDEPTH < yystacksize)
+	yystacksize = YYMAXDEPTH;
+
+      {
+	yytype_int16 *yyss1 = yyss;
+	union yyalloc *yyptr =
+	  (union yyalloc *) YYSTACK_ALLOC (YYSTACK_BYTES (yystacksize));
+	if (! yyptr)
+	  goto yyexhaustedlab;
+	YYSTACK_RELOCATE (yyss_alloc, yyss);
+	YYSTACK_RELOCATE (yyvs_alloc, yyvs);
+#  undef YYSTACK_RELOCATE
+	if (yyss1 != yyssa)
+	  YYSTACK_FREE (yyss1);
+      }
+# endif
+#endif /* no yyoverflow */
+
+      yyssp = yyss + yysize - 1;
+      yyvsp = yyvs + yysize - 1;
+
+      YYDPRINTF ((stderr, "Stack size increased to %lu\n",
+		  (unsigned long int) yystacksize));
+
+      if (yyss + yystacksize - 1 <= yyssp)
+	YYABORT;
+    }
+
+  YYDPRINTF ((stderr, "Entering state %d\n", yystate));
+
+  if (yystate == YYFINAL)
+    YYACCEPT;
+
+  goto yybackup;
+
+/*-----------.
+| yybackup.  |
+`-----------*/
+yybackup:
+
+  /* Do appropriate processing given the current state.  Read a
+     lookahead token if we need one and don't already have one.  */
+
+  /* First try to decide what to do without reference to lookahead token.  */
+  yyn = yypact[yystate];
+  if (yyn == YYPACT_NINF)
+    goto yydefault;
+
+  /* Not known => get a lookahead token if don't already have one.  */
+
+  /* YYCHAR is either YYEMPTY or YYEOF or a valid lookahead symbol.  */
+  if (yychar == YYEMPTY)
+    {
+      YYDPRINTF ((stderr, "Reading a token: "));
+      yychar = YYLEX;
+    }
+
+  if (yychar <= YYEOF)
+    {
+      yychar = yytoken = YYEOF;
+      YYDPRINTF ((stderr, "Now at end of input.\n"));
+    }
+  else
+    {
+      yytoken = YYTRANSLATE (yychar);
+      YY_SYMBOL_PRINT ("Next token is", yytoken, &yylval, &yylloc);
+    }
+
+  /* If the proper action on seeing token YYTOKEN is to reduce or to
+     detect an error, take that action.  */
+  yyn += yytoken;
+  if (yyn < 0 || YYLAST < yyn || yycheck[yyn] != yytoken)
+    goto yydefault;
+  yyn = yytable[yyn];
+  if (yyn <= 0)
+    {
+      if (yyn == 0 || yyn == YYTABLE_NINF)
+	goto yyerrlab;
+      yyn = -yyn;
+      goto yyreduce;
+    }
+
+  /* Count tokens shifted since error; after three, turn off error
+     status.  */
+  if (yyerrstatus)
+    yyerrstatus--;
+
+  /* Shift the lookahead token.  */
+  YY_SYMBOL_PRINT ("Shifting", yytoken, &yylval, &yylloc);
+
+  /* Discard the shifted token.  */
+  yychar = YYEMPTY;
+
+  yystate = yyn;
+  *++yyvsp = yylval;
+
+  goto yynewstate;
+
+
+/*-----------------------------------------------------------.
+| yydefault -- do the default action for the current state.  |
+`-----------------------------------------------------------*/
+yydefault:
+  yyn = yydefact[yystate];
+  if (yyn == 0)
+    goto yyerrlab;
+  goto yyreduce;
+
+
+/*-----------------------------.
+| yyreduce -- Do a reduction.  |
+`-----------------------------*/
+yyreduce:
+  /* yyn is the number of a rule to reduce with.  */
+  yylen = yyr2[yyn];
+
+  /* If YYLEN is nonzero, implement the default value of the action:
+     `$$ = $1'.
+
+     Otherwise, the following line sets YYVAL to garbage.
+     This behavior is undocumented and Bison
+     users should not rely upon it.  Assigning to YYVAL
+     unconditionally makes the parser a bit smaller, and it avoids a
+     GCC warning that YYVAL may be used uninitialized.  */
+  yyval = yyvsp[1-yylen];
+
+
+  YY_REDUCE_PRINT (yyn);
+  switch (yyn)
+    {
+        case 2:
+
+/* Line 1455 of yacc.c  */
+#line 286 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 3:
+
+/* Line 1455 of yacc.c  */
+#line 291 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 4:
+
+/* Line 1455 of yacc.c  */
+#line 294 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 5:
+
+/* Line 1455 of yacc.c  */
+#line 299 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 6:
+
+/* Line 1455 of yacc.c  */
+#line 302 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 7:
+
+/* Line 1455 of yacc.c  */
+#line 305 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 8:
+
+/* Line 1455 of yacc.c  */
+#line 310 "./verilogaYacc.y"
+    {
+            adms_admsmain_list_discipline_prepend_once_or_abort(root(),gDiscipline);
+            gDiscipline=NULL;
+          ;}
+    break;
+
+  case 9:
+
+/* Line 1455 of yacc.c  */
+#line 317 "./verilogaYacc.y"
+    {
+            char* mylexval1=((p_lexval)(yyvsp[(1) - (1)]._lexval))->_string;
+            gDiscipline=adms_discipline_new(mylexval1);
+          ;}
+    break;
+
+  case 10:
+
+/* Line 1455 of yacc.c  */
+#line 324 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 11:
+
+/* Line 1455 of yacc.c  */
+#line 327 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 12:
+
+/* Line 1455 of yacc.c  */
+#line 332 "./verilogaYacc.y"
+    {
+            gDiscipline->_potential=(p_nature)YY((yyvsp[(2) - (3)]._yaccval));
+          ;}
+    break;
+
+  case 13:
+
+/* Line 1455 of yacc.c  */
+#line 336 "./verilogaYacc.y"
+    {
+            gDiscipline->_flow=(p_nature)YY((yyvsp[(2) - (3)]._yaccval));
+          ;}
+    break;
+
+  case 14:
+
+/* Line 1455 of yacc.c  */
+#line 340 "./verilogaYacc.y"
+    {
+            char* mylexval2=((p_lexval)(yyvsp[(2) - (3)]._lexval))->_string;
+            if(!strcmp(mylexval2,"discrete"))
+              gDiscipline->_domain=admse_discrete;
+            else if(!strcmp(mylexval2,"continuous"))
+              gDiscipline->_domain=admse_continuous;
+            else
+             adms_veriloga_message_fatal("domain: bad value given - should be either 'discrete' or 'continuous'\n",(yyvsp[(2) - (3)]._lexval));
+          ;}
+    break;
+
+  case 15:
+
+/* Line 1455 of yacc.c  */
+#line 352 "./verilogaYacc.y"
+    {
+            char* mylexval1=((p_lexval)(yyvsp[(1) - (1)]._lexval))->_string;
+            p_nature mynature=lookup_nature(mylexval1);
+            if(!mynature)
+              adms_veriloga_message_fatal("can't find nature definition\n",(yyvsp[(1) - (1)]._lexval));
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            Y((yyval._yaccval),(p_adms)mynature);
+          ;}
+    break;
+
+  case 16:
+
+/* Line 1455 of yacc.c  */
+#line 363 "./verilogaYacc.y"
+    {
+            char* mylexval2=((p_lexval)(yyvsp[(2) - (4)]._lexval))->_string;
+            p_nature mynature=NULL;
+            if(gNatureAccess) 
+              mynature=adms_admsmain_list_nature_prepend_by_id_once_or_abort(root(),gNatureAccess);
+            else
+             adms_veriloga_message_fatal("attribute 'access' in nature definition not found\n",(yyvsp[(2) - (4)]._lexval));
+            adms_nature_valueto_name(mynature,mylexval2);
+            if(gNatureidt) 
+              adms_nature_valueto_idt_name(mynature,gNatureidt);
+            if(gNatureddt) 
+              adms_nature_valueto_ddt_name(mynature,gNatureddt);
+            if(gNatureUnits)
+              mynature->_units=gNatureUnits;
+            if(gNatureAbsTol)
+              mynature->_abstol=gNatureAbsTol;
+            gNatureAccess=NULL;
+            gNatureAbsTol=NULL;
+            gNatureUnits=NULL;
+            gNatureidt=NULL;
+            gNatureddt=NULL;
+          ;}
+    break;
+
+  case 17:
+
+/* Line 1455 of yacc.c  */
+#line 388 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 18:
+
+/* Line 1455 of yacc.c  */
+#line 391 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 19:
+
+/* Line 1455 of yacc.c  */
+#line 396 "./verilogaYacc.y"
+    {
+            if(!strcmp((yyvsp[(1) - (4)]._lexval)->_string,"abstol"))
+            {
+              if(gNatureAbsTol)
+                adms_veriloga_message_fatal("nature attribute defined more than once\n",(yyvsp[(1) - (4)]._lexval));
+              gNatureAbsTol=adms_number_new((yyvsp[(3) - (4)]._lexval));
+            }
+            else
+             adms_veriloga_message_fatal("unknown nature attribute\n",(yyvsp[(1) - (4)]._lexval));
+          ;}
+    break;
+
+  case 20:
+
+/* Line 1455 of yacc.c  */
+#line 407 "./verilogaYacc.y"
+    {
+            char* mylexval4=((p_lexval)(yyvsp[(4) - (5)]._lexval))->_string;
+            admse myunit=admse_1;
+            if(!strcmp((yyvsp[(1) - (5)]._lexval)->_string,"abstol"))
+            {
+              if(gNatureAbsTol)
+                adms_veriloga_message_fatal("nature attribute defined more than once\n",(yyvsp[(1) - (5)]._lexval));
+              gNatureAbsTol=adms_number_new((yyvsp[(3) - (5)]._lexval));
+            }
+            else
+             adms_veriloga_message_fatal("unknown nature attribute\n",(yyvsp[(1) - (5)]._lexval));
+            if(0) {}
+            else if(!strcmp(mylexval4,"E")) myunit=admse_E;
+            else if(!strcmp(mylexval4,"P")) myunit=admse_P;
+            else if(!strcmp(mylexval4,"T")) myunit=admse_T;
+            else if(!strcmp(mylexval4,"G")) myunit=admse_G;
+            else if(!strcmp(mylexval4,"M")) myunit=admse_M;
+            else if(!strcmp(mylexval4,"k")) myunit=admse_k;
+            else if(!strcmp(mylexval4,"h")) myunit=admse_h;
+            else if(!strcmp(mylexval4,"D")) myunit=admse_D;
+            else if(!strcmp(mylexval4,"d")) myunit=admse_d;
+            else if(!strcmp(mylexval4,"c")) myunit=admse_c;
+            else if(!strcmp(mylexval4,"m")) myunit=admse_m;
+            else if(!strcmp(mylexval4,"u")) myunit=admse_u;
+            else if(!strcmp(mylexval4,"n")) myunit=admse_n;
+            else if(!strcmp(mylexval4,"A")) myunit=admse_A;
+            else if(!strcmp(mylexval4,"p")) myunit=admse_p;
+            else if(!strcmp(mylexval4,"f")) myunit=admse_f;
+            else if(!strcmp(mylexval4,"a")) myunit=admse_a;
+            else
+              adms_veriloga_message_fatal("can not convert symbol to valid unit\n",(yyvsp[(4) - (5)]._lexval));
+            gNatureAbsTol->_scalingunit=myunit;
+          ;}
+    break;
+
+  case 21:
+
+/* Line 1455 of yacc.c  */
+#line 441 "./verilogaYacc.y"
+    {
+            char* mylexval3=((p_lexval)(yyvsp[(3) - (4)]._lexval))->_string;
+            if(!strcmp((yyvsp[(1) - (4)]._lexval)->_string,"units"))
+            {
+              if(gNatureUnits)
+                adms_veriloga_message_fatal("nature attribute defined more than once\n",(yyvsp[(1) - (4)]._lexval));
+              gNatureUnits=adms_kclone(mylexval3);
+            }
+            else
+             adms_veriloga_message_fatal("unknown nature attribute\n",(yyvsp[(1) - (4)]._lexval));
+          ;}
+    break;
+
+  case 22:
+
+/* Line 1455 of yacc.c  */
+#line 453 "./verilogaYacc.y"
+    {
+            char* mylexval3=((p_lexval)(yyvsp[(3) - (4)]._lexval))->_string;
+            if(!strcmp((yyvsp[(1) - (4)]._lexval)->_string,"access"))
+            {
+              if(gNatureAccess)
+                adms_veriloga_message_fatal("nature attribute defined more than once\n",(yyvsp[(1) - (4)]._lexval));
+              gNatureAccess=adms_kclone(mylexval3);
+            }
+            else if(!strcmp((yyvsp[(1) - (4)]._lexval)->_string,"idt_nature"))
+            {
+              if(gNatureidt)
+                adms_veriloga_message_fatal("idt_nature attribute defined more than once\n",(yyvsp[(1) - (4)]._lexval));
+              gNatureidt=adms_kclone(mylexval3);
+            }
+            else if(!strcmp((yyvsp[(1) - (4)]._lexval)->_string,"ddt_nature"))
+            {
+              if(gNatureddt)
+                adms_veriloga_message_fatal("ddt_nature attribute defined more than once\n",(yyvsp[(1) - (4)]._lexval));
+              gNatureddt=adms_kclone(mylexval3);
+            }
+            else
+             adms_veriloga_message_fatal("unknown nature attribute\n",(yyvsp[(1) - (4)]._lexval));
+          ;}
+    break;
+
+  case 23:
+
+/* Line 1455 of yacc.c  */
+#line 479 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 24:
+
+/* Line 1455 of yacc.c  */
+#line 482 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 25:
+
+/* Line 1455 of yacc.c  */
+#line 487 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 26:
+
+/* Line 1455 of yacc.c  */
+#line 490 "./verilogaYacc.y"
+    {
+            char* mylexval2=((p_lexval)(yyvsp[(2) - (2)]._lexval))->_string;
+            p_attribute myattribute=adms_attribute_new("ibm");
+            p_admst myconstant=adms_admst_newks(adms_kclone(mylexval2));
+            myattribute->_value=(p_adms)myconstant;
+            adms_slist_push(&gAttributeList,(p_adms)myattribute);
+          ;}
+    break;
+
+  case 27:
+
+/* Line 1455 of yacc.c  */
+#line 498 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 28:
+
+/* Line 1455 of yacc.c  */
+#line 503 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 29:
+
+/* Line 1455 of yacc.c  */
+#line 506 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 30:
+
+/* Line 1455 of yacc.c  */
+#line 511 "./verilogaYacc.y"
+    {
+            char* mylexval1=((p_lexval)(yyvsp[(1) - (3)]._lexval))->_string;
+            char* mylexval3=((p_lexval)(yyvsp[(3) - (3)]._lexval))->_string;
+            p_attribute myattribute=adms_attribute_new(mylexval1);
+            p_admst myconstant=adms_admst_newks(adms_kclone(mylexval3));
+            myattribute->_value=(p_adms)myconstant;
+            adms_slist_push(&gAttributeList,(p_adms)myattribute);
+          ;}
+    break;
+
+  case 31:
+
+/* Line 1455 of yacc.c  */
+#line 522 "./verilogaYacc.y"
+    {
+            char* mylexval3=((p_lexval)(yyvsp[(3) - (3)]._lexval))->_string;
+            p_slist l;
+            p_nodealias mynodealias;
+            gModule=adms_admsmain_list_module_prepend_by_id_once_or_abort(root(),mylexval3); 
+            adms_slist_push(&gBlockList,(p_adms)gModule);
+            mynodealias=adms_module_list_nodealias_prepend_by_id_once_or_abort(gModule,gModule,"0"); 
+            gGND=adms_module_list_node_prepend_by_id_once_or_abort(gModule,gModule,"GND"); 
+            mynodealias->_node=gGND;
+            gGND->_location=admse_ground;
+            for(l=gAttributeList;l;l=l->next)
+              adms_slist_push(&gModule->_attribute,l->data);
+            adms_slist_free(gAttributeList); gAttributeList=NULL;
+          ;}
+    break;
+
+  case 32:
+
+/* Line 1455 of yacc.c  */
+#line 537 "./verilogaYacc.y"
+    {
+            adms_slist_pull(&gBlockList);
+            adms_slist_inreverse(&gModule->_assignment);
+          ;}
+    break;
+
+  case 33:
+
+/* Line 1455 of yacc.c  */
+#line 544 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 34:
+
+/* Line 1455 of yacc.c  */
+#line 547 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 35:
+
+/* Line 1455 of yacc.c  */
+#line 550 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 36:
+
+/* Line 1455 of yacc.c  */
+#line 553 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 37:
+
+/* Line 1455 of yacc.c  */
+#line 558 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 38:
+
+/* Line 1455 of yacc.c  */
+#line 561 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 39:
+
+/* Line 1455 of yacc.c  */
+#line 564 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 40:
+
+/* Line 1455 of yacc.c  */
+#line 567 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 41:
+
+/* Line 1455 of yacc.c  */
+#line 570 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 42:
+
+/* Line 1455 of yacc.c  */
+#line 575 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 43:
+
+/* Line 1455 of yacc.c  */
+#line 578 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 44:
+
+/* Line 1455 of yacc.c  */
+#line 583 "./verilogaYacc.y"
+    {
+            p_slist l;
+            for(l=gAttributeList;l;l=l->next)
+              adms_slist_push(&gModule->_attribute,l->data);
+            adms_slist_free(gAttributeList); gAttributeList=NULL;
+          ;}
+    break;
+
+  case 45:
+
+/* Line 1455 of yacc.c  */
+#line 592 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 46:
+
+/* Line 1455 of yacc.c  */
+#line 595 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 47:
+
+/* Line 1455 of yacc.c  */
+#line 600 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 48:
+
+/* Line 1455 of yacc.c  */
+#line 603 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 49:
+
+/* Line 1455 of yacc.c  */
+#line 608 "./verilogaYacc.y"
+    {
+            char* mylexval1=((p_lexval)(yyvsp[(1) - (1)]._lexval))->_string;
+            p_nodealias mynodealias=adms_module_list_nodealias_prepend_by_id_once_or_abort(gModule,gModule,mylexval1); 
+            p_node mynode=adms_module_list_node_prepend_by_id_once_or_abort(gModule,gModule,mylexval1); 
+            mynodealias->_node=mynode;
+            mynode->_location=admse_external;
+          ;}
+    break;
+
+  case 50:
+
+/* Line 1455 of yacc.c  */
+#line 618 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 51:
+
+/* Line 1455 of yacc.c  */
+#line 621 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 52:
+
+/* Line 1455 of yacc.c  */
+#line 626 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 53:
+
+/* Line 1455 of yacc.c  */
+#line 629 "./verilogaYacc.y"
+    {
+            adms_slist_free(gGlobalAttributeList); gGlobalAttributeList=NULL;
+          ;}
+    break;
+
+  case 54:
+
+/* Line 1455 of yacc.c  */
+#line 635 "./verilogaYacc.y"
+    {
+            gGlobalAttributeList=gAttributeList;
+            gAttributeList=NULL;
+          ;}
+    break;
+
+  case 55:
+
+/* Line 1455 of yacc.c  */
+#line 642 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 56:
+
+/* Line 1455 of yacc.c  */
+#line 645 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 57:
+
+/* Line 1455 of yacc.c  */
+#line 648 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 58:
+
+/* Line 1455 of yacc.c  */
+#line 651 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 59:
+
+/* Line 1455 of yacc.c  */
+#line 654 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 60:
+
+/* Line 1455 of yacc.c  */
+#line 657 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 61:
+
+/* Line 1455 of yacc.c  */
+#line 660 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 62:
+
+/* Line 1455 of yacc.c  */
+#line 663 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 63:
+
+/* Line 1455 of yacc.c  */
+#line 668 "./verilogaYacc.y"
+    {
+            p_slist l;
+            for(l=gTerminalList;l;l=l->next)
+              ((p_node)l->data)->_direction=gNodeDirection;
+            adms_slist_free(gTerminalList); gTerminalList=NULL;
+          ;}
+    break;
+
+  case 64:
+
+/* Line 1455 of yacc.c  */
+#line 675 "./verilogaYacc.y"
+    {
+            p_slist l;
+            for(l=gNodeList;l;l=l->next)
+              ((p_node)l->data)->_location=admse_ground;
+            adms_slist_free(gNodeList); gNodeList=NULL;
+          ;}
+    break;
+
+  case 65:
+
+/* Line 1455 of yacc.c  */
+#line 682 "./verilogaYacc.y"
+    {
+            char* mylexval1=((p_lexval)(yyvsp[(1) - (3)]._lexval))->_string;
+            char* mydisciplinename=mylexval1;
+            p_discipline mydiscipline=adms_admsmain_list_discipline_lookup_by_id(root(),mydisciplinename);
+            p_slist l;
+            for(l=gNodeList;l;l=l->next)
+              ((p_node)l->data)->_discipline=mydiscipline;
+            adms_slist_free(gNodeList); gNodeList=NULL;
+          ;}
+    break;
+
+  case 66:
+
+/* Line 1455 of yacc.c  */
+#line 694 "./verilogaYacc.y"
+    {
+            gNodeDirection=admse_input;
+          ;}
+    break;
+
+  case 67:
+
+/* Line 1455 of yacc.c  */
+#line 698 "./verilogaYacc.y"
+    {
+            gNodeDirection=admse_output;
+          ;}
+    break;
+
+  case 68:
+
+/* Line 1455 of yacc.c  */
+#line 702 "./verilogaYacc.y"
+    {
+            gNodeDirection=admse_inout;
+          ;}
+    break;
+
+  case 69:
+
+/* Line 1455 of yacc.c  */
+#line 708 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 70:
+
+/* Line 1455 of yacc.c  */
+#line 711 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 71:
+
+/* Line 1455 of yacc.c  */
+#line 716 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 72:
+
+/* Line 1455 of yacc.c  */
+#line 719 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 73:
+
+/* Line 1455 of yacc.c  */
+#line 724 "./verilogaYacc.y"
+    {
+            char* mylexval1=((p_lexval)(yyvsp[(1) - (2)]._lexval))->_string;
+            p_slist l;
+            p_node mynode=adms_module_list_node_lookup_by_id(gModule,gModule,mylexval1);
+            if(!mynode)
+             adms_veriloga_message_fatal("terminal not found\n",(yyvsp[(1) - (2)]._lexval));
+            if(mynode->_location!=admse_external)
+             adms_veriloga_message_fatal("node not a terminal\n",(yyvsp[(1) - (2)]._lexval));
+            adms_slist_push(&gTerminalList,(p_adms)mynode);
+            for(l=gAttributeList;l;l=l->next)
+              adms_slist_push(&mynode->_attribute,l->data);
+            adms_slist_free(gAttributeList); gAttributeList=NULL;
+            for(l=gGlobalAttributeList;l;l=l->next)
+              adms_slist_push(&mynode->_attribute,l->data);
+          ;}
+    break;
+
+  case 74:
+
+/* Line 1455 of yacc.c  */
+#line 742 "./verilogaYacc.y"
+    {
+            char* mylexval1=((p_lexval)(yyvsp[(1) - (2)]._lexval))->_string;
+            p_slist l;
+            p_node mynode=adms_module_list_node_prepend_by_id_once_or_ignore(gModule,gModule,mylexval1);
+            adms_slist_push(&gNodeList,(p_adms)mynode);
+            for(l=gAttributeList;l;l=l->next)
+              adms_slist_push(&mynode->_attribute,l->data);
+            adms_slist_free(gAttributeList); gAttributeList=NULL;
+            for(l=gGlobalAttributeList;l;l=l->next)
+              adms_slist_push(&mynode->_attribute,l->data);
+          ;}
+    break;
+
+  case 75:
+
+/* Line 1455 of yacc.c  */
+#line 756 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 76:
+
+/* Line 1455 of yacc.c  */
+#line 761 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 77:
+
+/* Line 1455 of yacc.c  */
+#line 764 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 78:
+
+/* Line 1455 of yacc.c  */
+#line 769 "./verilogaYacc.y"
+    {
+            char* mylexval1=((p_lexval)(yyvsp[(1) - (1)]._lexval))->_string;
+            adms_slist_push(&gBranchAliasList,(p_adms)mylexval1);
+          ;}
+    break;
+
+  case 79:
+
+/* Line 1455 of yacc.c  */
+#line 776 "./verilogaYacc.y"
+    {
+            char* mylexval2=((p_lexval)(yyvsp[(2) - (6)]._lexval))->_string;
+            char* mylexval4=((p_lexval)(yyvsp[(4) - (6)]._lexval))->_string;
+            p_slist l;
+            p_branch mybranch; 
+            p_node pnode=adms_module_list_node_lookup_by_id(gModule,gModule,mylexval2);
+            p_node nnode=adms_module_list_node_lookup_by_id(gModule,gModule,mylexval4);
+            mybranch=adms_module_list_branch_prepend_by_id_once_or_ignore(gModule,gModule,pnode,nnode); 
+            if(!pnode)
+             adms_veriloga_message_fatal("node never declared\n",(yyvsp[(2) - (6)]._lexval));
+            if(!nnode)
+             adms_veriloga_message_fatal("node never declared\n",(yyvsp[(4) - (6)]._lexval));
+            for(l=gBranchAliasList;l;l=l->next)
+            {
+              char*aliasname=(char*)l->data;
+              p_branchalias mybranchalias; 
+              mybranchalias=adms_module_list_branchalias_prepend_by_id_once_or_abort(gModule,gModule,aliasname); 
+              if(mybranchalias) mybranchalias->_branch=mybranch;
+            }
+            adms_slist_free(gBranchAliasList);
+            gBranchAliasList=NULL;
+            for(l=gGlobalAttributeList;l;l=l->next)
+              adms_slist_push(&mybranch->_attribute,l->data);
+          ;}
+    break;
+
+  case 80:
+
+/* Line 1455 of yacc.c  */
+#line 801 "./verilogaYacc.y"
+    {
+            char* mylexval2=((p_lexval)(yyvsp[(2) - (4)]._lexval))->_string;
+            p_slist l;
+            p_branch mybranch;
+            p_node pnode=adms_module_list_node_lookup_by_id(gModule,gModule,mylexval2);
+            if(!pnode)
+             adms_veriloga_message_fatal("node never declared\n",(yyvsp[(2) - (4)]._lexval));
+            mybranch=adms_module_list_branch_prepend_by_id_once_or_ignore(gModule,gModule,pnode,gGND); 
+            for(l=gBranchAliasList;l;l=l->next)
+            {
+              char*aliasname=(char*)l->data;
+              p_branchalias mybranchalias; 
+              mybranchalias=adms_module_list_branchalias_prepend_by_id_once_or_abort(gModule,gModule,aliasname); 
+              if(mybranchalias) mybranchalias->_branch=mybranch;
+            }
+            adms_slist_free(gBranchAliasList);
+            gBranchAliasList=NULL;
+            for(l=gGlobalAttributeList;l;l=l->next)
+              adms_slist_push(&mybranch->_attribute,l->data);
+          ;}
+    break;
+
+  case 81:
+
+/* Line 1455 of yacc.c  */
+#line 824 "./verilogaYacc.y"
+    {
+            adms_slist_pull(&gBlockList);
+            gAnalogfunction->_tree=YY((yyvsp[(3) - (4)]._yaccval));
+            gAnalogfunction=NULL;
+          ;}
+    break;
+
+  case 82:
+
+/* Line 1455 of yacc.c  */
+#line 832 "./verilogaYacc.y"
+    {
+            NEWVARIABLE(gAnalogfunction->_lexval)
+            adms_analogfunction_list_variable_prepend_once_or_abort(gAnalogfunction,myvariableprototype); 
+            myvariableprototype->_output=admse_yes;
+          ;}
+    break;
+
+  case 83:
+
+/* Line 1455 of yacc.c  */
+#line 838 "./verilogaYacc.y"
+    {
+            NEWVARIABLE(gAnalogfunction->_lexval)
+            adms_analogfunction_list_variable_prepend_once_or_abort(gAnalogfunction,myvariableprototype); 
+            myvariableprototype->_output=admse_yes;
+            myvariableprototype->_type=admse_integer;
+            gAnalogfunction->_type=admse_integer; 
+          ;}
+    break;
+
+  case 84:
+
+/* Line 1455 of yacc.c  */
+#line 846 "./verilogaYacc.y"
+    {
+            NEWVARIABLE(gAnalogfunction->_lexval)
+            adms_analogfunction_list_variable_prepend_once_or_abort(gAnalogfunction,myvariableprototype); 
+            myvariableprototype->_output=admse_yes;
+          ;}
+    break;
+
+  case 85:
+
+/* Line 1455 of yacc.c  */
+#line 854 "./verilogaYacc.y"
+    {
+            p_slist l;
+            gAnalogfunction=adms_analogfunction_new(gModule,(yyvsp[(1) - (1)]._lexval));
+            adms_slist_push(&gBlockList,(p_adms)gAnalogfunction);
+            adms_module_list_analogfunction_prepend_once_or_abort(gModule,gAnalogfunction); 
+            for(l=gGlobalAttributeList;l;l=l->next)
+              adms_slist_push(&gAnalogfunction->_attribute,l->data);
+          ;}
+    break;
+
+  case 86:
+
+/* Line 1455 of yacc.c  */
+#line 865 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 87:
+
+/* Line 1455 of yacc.c  */
+#line 868 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 88:
+
+/* Line 1455 of yacc.c  */
+#line 873 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 89:
+
+/* Line 1455 of yacc.c  */
+#line 876 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 90:
+
+/* Line 1455 of yacc.c  */
+#line 879 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 91:
+
+/* Line 1455 of yacc.c  */
+#line 882 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 92:
+
+/* Line 1455 of yacc.c  */
+#line 885 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 93:
+
+/* Line 1455 of yacc.c  */
+#line 890 "./verilogaYacc.y"
+    {
+            NEWVARIABLE((yyvsp[(1) - (1)]._lexval))
+            adms_analogfunction_list_variable_prepend_once_or_abort(gAnalogfunction,myvariableprototype); 
+            myvariableprototype->_input=admse_yes;
+            myvariableprototype->_parametertype=admse_analogfunction;
+          ;}
+    break;
+
+  case 94:
+
+/* Line 1455 of yacc.c  */
+#line 897 "./verilogaYacc.y"
+    {
+            NEWVARIABLE((yyvsp[(3) - (3)]._lexval))
+            adms_analogfunction_list_variable_prepend_once_or_abort(gAnalogfunction,myvariableprototype); 
+            myvariableprototype->_input=admse_yes;
+            myvariableprototype->_parametertype=admse_analogfunction;
+          ;}
+    break;
+
+  case 95:
+
+/* Line 1455 of yacc.c  */
+#line 906 "./verilogaYacc.y"
+    {
+            NEWVARIABLE((yyvsp[(1) - (1)]._lexval))
+            adms_analogfunction_list_variable_prepend_once_or_abort(gAnalogfunction,myvariableprototype); 
+            myvariableprototype->_output=admse_yes;
+            myvariableprototype->_parametertype=admse_analogfunction;
+          ;}
+    break;
+
+  case 96:
+
+/* Line 1455 of yacc.c  */
+#line 913 "./verilogaYacc.y"
+    {
+            NEWVARIABLE((yyvsp[(3) - (3)]._lexval))
+            adms_analogfunction_list_variable_prepend_once_or_abort(gAnalogfunction,myvariableprototype); 
+            myvariableprototype->_output=admse_yes;
+            myvariableprototype->_parametertype=admse_analogfunction;
+          ;}
+    break;
+
+  case 97:
+
+/* Line 1455 of yacc.c  */
+#line 922 "./verilogaYacc.y"
+    {
+            NEWVARIABLE((yyvsp[(1) - (1)]._lexval))
+            adms_analogfunction_list_variable_prepend_once_or_abort(gAnalogfunction,myvariableprototype); 
+            myvariableprototype->_input=admse_yes;
+            myvariableprototype->_output=admse_yes;
+            myvariableprototype->_parametertype=admse_analogfunction;
+          ;}
+    break;
+
+  case 98:
+
+/* Line 1455 of yacc.c  */
+#line 930 "./verilogaYacc.y"
+    {
+            NEWVARIABLE((yyvsp[(3) - (3)]._lexval))
+            adms_analogfunction_list_variable_prepend_once_or_abort(gAnalogfunction,myvariableprototype); 
+            myvariableprototype->_input=admse_yes;
+            myvariableprototype->_output=admse_yes;
+            myvariableprototype->_parametertype=admse_analogfunction;
+          ;}
+    break;
+
+  case 99:
+
+/* Line 1455 of yacc.c  */
+#line 940 "./verilogaYacc.y"
+    {
+            p_variableprototype myvariableprototype=adms_analogfunction_list_variable_lookup_by_id(gAnalogfunction,gModule,(yyvsp[(1) - (1)]._lexval),(p_adms)gAnalogfunction);
+            if(myvariableprototype)
+              myvariableprototype->_type=admse_integer;
+            else
+            {
+              NEWVARIABLE((yyvsp[(1) - (1)]._lexval))
+              adms_analogfunction_list_variable_prepend_once_or_abort(gAnalogfunction,myvariableprototype); 
+              myvariableprototype->_type=admse_integer;
+            }
+          ;}
+    break;
+
+  case 100:
+
+/* Line 1455 of yacc.c  */
+#line 952 "./verilogaYacc.y"
+    {
+            p_variableprototype myvariableprototype=adms_analogfunction_list_variable_lookup_by_id(gAnalogfunction,gModule,(yyvsp[(3) - (3)]._lexval),(p_adms)gAnalogfunction);
+            if(myvariableprototype)
+              myvariableprototype->_type=admse_integer;
+            else
+            {
+              NEWVARIABLE((yyvsp[(3) - (3)]._lexval))
+              adms_analogfunction_list_variable_prepend_once_or_abort(gAnalogfunction,myvariableprototype); 
+              myvariableprototype->_type=admse_integer;
+            }
+          ;}
+    break;
+
+  case 101:
+
+/* Line 1455 of yacc.c  */
+#line 966 "./verilogaYacc.y"
+    {
+            p_variableprototype myvariableprototype=adms_analogfunction_list_variable_lookup_by_id(gAnalogfunction,gModule,(yyvsp[(1) - (1)]._lexval),(p_adms)gAnalogfunction);
+            if(myvariableprototype)
+              myvariableprototype->_type=admse_real;
+            else
+            {
+              NEWVARIABLE((yyvsp[(1) - (1)]._lexval))
+              adms_analogfunction_list_variable_prepend_once_or_abort(gAnalogfunction,myvariableprototype); 
+              myvariableprototype->_type=admse_real;
+            }
+          ;}
+    break;
+
+  case 102:
+
+/* Line 1455 of yacc.c  */
+#line 978 "./verilogaYacc.y"
+    {
+            p_variableprototype myvariableprototype=adms_analogfunction_list_variable_lookup_by_id(gAnalogfunction,gModule,(yyvsp[(3) - (3)]._lexval),(p_adms)gAnalogfunction);
+            if(myvariableprototype)
+              myvariableprototype->_type=admse_real;
+            else
+            {
+              NEWVARIABLE((yyvsp[(3) - (3)]._lexval))
+              adms_analogfunction_list_variable_prepend_once_or_abort(gAnalogfunction,myvariableprototype); 
+              myvariableprototype->_type=admse_real;
+            }
+          ;}
+    break;
+
+  case 103:
+
+/* Line 1455 of yacc.c  */
+#line 992 "./verilogaYacc.y"
+    {
+            gVariableType=admse_integer;
+            adms_slist_concat(&gGlobalAttributeList,gAttributeList);
+            gAttributeList=NULL;
+          ;}
+    break;
+
+  case 104:
+
+/* Line 1455 of yacc.c  */
+#line 998 "./verilogaYacc.y"
+    {
+            gVariableType=admse_real;
+            adms_slist_concat(&gGlobalAttributeList,gAttributeList);
+            gAttributeList=NULL;
+          ;}
+    break;
+
+  case 105:
+
+/* Line 1455 of yacc.c  */
+#line 1004 "./verilogaYacc.y"
+    {
+            gVariableType=admse_string;
+            adms_slist_concat(&gGlobalAttributeList,gAttributeList);
+            gAttributeList=NULL;
+          ;}
+    break;
+
+  case 106:
+
+/* Line 1455 of yacc.c  */
+#line 1012 "./verilogaYacc.y"
+    {
+            p_slist l;
+            for(l=gVariableDeclarationList;l;l=l->next)
+              ((p_variableprototype)l->data)->_type=gVariableType;
+            adms_slist_free(gVariableDeclarationList); gVariableDeclarationList=NULL;
+          ;}
+    break;
+
+  case 107:
+
+/* Line 1455 of yacc.c  */
+#line 1021 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 108:
+
+/* Line 1455 of yacc.c  */
+#line 1024 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 109:
+
+/* Line 1455 of yacc.c  */
+#line 1029 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 110:
+
+/* Line 1455 of yacc.c  */
+#line 1032 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 111:
+
+/* Line 1455 of yacc.c  */
+#line 1037 "./verilogaYacc.y"
+    {
+            char* mylexval2=((p_lexval)(yyvsp[(2) - (6)]._lexval))->_string;
+            p_slist l;
+            p_variableprototype myvariableprototype=adms_module_list_variable_lookup_by_id(gModule,gModule,(yyvsp[(4) - (6)]._lexval),(p_adms)gModule);
+            if(!myvariableprototype)
+             adms_veriloga_message_fatal("variable never declared\n",(yyvsp[(4) - (6)]._lexval));
+            adms_variableprototype_list_alias_prepend_once_or_abort(myvariableprototype,adms_kclone(mylexval2));
+            for(l=gAttributeList;l;l=l->next)
+              adms_slist_push(&myvariableprototype->_attribute,l->data);
+            adms_slist_free(gAttributeList); gAttributeList=NULL;
+            for(l=gGlobalAttributeList;l;l=l->next)
+              adms_slist_push(&myvariableprototype->_attribute,l->data);
+          ;}
+    break;
+
+  case 112:
+
+/* Line 1455 of yacc.c  */
+#line 1053 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 113:
+
+/* Line 1455 of yacc.c  */
+#line 1056 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 114:
+
+/* Line 1455 of yacc.c  */
+#line 1061 "./verilogaYacc.y"
+    {
+            p_slist l;
+            for(l=gAttributeList;l;l=l->next)
+              adms_slist_push(&((p_variableprototype)gVariableDeclarationList->data)->_attribute,l->data);
+            adms_slist_free(gAttributeList); gAttributeList=NULL;
+            for(l=gGlobalAttributeList;l;l=l->next)
+              adms_slist_push(&((p_variableprototype)gVariableDeclarationList->data)->_attribute,l->data);
+          ;}
+    break;
+
+  case 115:
+
+/* Line 1455 of yacc.c  */
+#line 1072 "./verilogaYacc.y"
+    {
+            p_slist l;
+            for(l=gAttributeList;l;l=l->next)
+              adms_slist_push(&((p_variableprototype)gVariableDeclarationList->data)->_attribute,l->data);
+            adms_slist_free(gAttributeList); gAttributeList=NULL;
+            for(l=gGlobalAttributeList;l;l=l->next)
+              adms_slist_push(&((p_variableprototype)gVariableDeclarationList->data)->_attribute,l->data);
+          ;}
+    break;
+
+  case 116:
+
+/* Line 1455 of yacc.c  */
+#line 1083 "./verilogaYacc.y"
+    {
+            ((p_variableprototype)gVariableDeclarationList->data)->_input=admse_yes;
+            ((p_variableprototype)gVariableDeclarationList->data)->_default=((p_expression)YY((yyvsp[(3) - (4)]._yaccval)));
+            ((p_variableprototype)gVariableDeclarationList->data)->_range=adms_slist_reverse(gRangeList);
+            gRangeList=NULL;
+          ;}
+    break;
+
+  case 117:
+
+/* Line 1455 of yacc.c  */
+#line 1090 "./verilogaYacc.y"
+    {
+            p_slist myArgs=(p_slist)YY((yyvsp[(4) - (6)]._yaccval));
+            adms_slist_inreverse(&myArgs);
+            ((p_variableprototype)gVariableDeclarationList->data)->_input=admse_yes;
+            ((p_variableprototype)gVariableDeclarationList->data)->_default=((p_expression)myArgs->data);
+            ((p_variableprototype)gVariableDeclarationList->data)->_arraydefault=myArgs;
+            ((p_variableprototype)gVariableDeclarationList->data)->_range=adms_slist_reverse(gRangeList);
+            gRangeList=NULL;
+          ;}
+    break;
+
+  case 118:
+
+/* Line 1455 of yacc.c  */
+#line 1102 "./verilogaYacc.y"
+    {
+            char* mylexval1=((p_lexval)(yyvsp[(1) - (1)]._lexval))->_string;
+            NEWVARIABLE((yyvsp[(1) - (1)]._lexval))
+            if(adms_module_list_node_lookup_by_id(gModule,gModule,mylexval1))
+             adms_veriloga_message_fatal("variable already defined as node\n",(yyvsp[(1) - (1)]._lexval));
+            adms_module_list_variable_prepend_once_or_abort(gModule,myvariableprototype); 
+            adms_slist_push(&gVariableDeclarationList,(p_adms)myvariableprototype);
+          ;}
+    break;
+
+  case 119:
+
+/* Line 1455 of yacc.c  */
+#line 1111 "./verilogaYacc.y"
+    {
+            char* mylexval1=((p_lexval)(yyvsp[(1) - (6)]._lexval))->_string;
+            NEWVARIABLE((yyvsp[(1) - (6)]._lexval))
+            if(adms_module_list_node_lookup_by_id(gModule,gModule,mylexval1))
+             adms_veriloga_message_fatal("variable already defined as node\n",(yyvsp[(1) - (6)]._lexval));
+            adms_module_list_variable_prepend_once_or_abort(gModule,myvariableprototype); 
+            adms_slist_push(&gVariableDeclarationList,(p_adms)myvariableprototype);
+            myvariableprototype->_sizetype=admse_array;
+            myvariableprototype->_minsize=adms_number_new((yyvsp[(3) - (6)]._lexval));
+            myvariableprototype->_maxsize=adms_number_new((yyvsp[(5) - (6)]._lexval));
+          ;}
+    break;
+
+  case 120:
+
+/* Line 1455 of yacc.c  */
+#line 1125 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 121:
+
+/* Line 1455 of yacc.c  */
+#line 1128 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 122:
+
+/* Line 1455 of yacc.c  */
+#line 1133 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 123:
+
+/* Line 1455 of yacc.c  */
+#line 1136 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 124:
+
+/* Line 1455 of yacc.c  */
+#line 1141 "./verilogaYacc.y"
+    {
+            if(((p_range)YY((yyvsp[(2) - (2)]._yaccval)))->_infboundtype==admse_range_bound_value)
+              ((p_range)YY((yyvsp[(2) - (2)]._yaccval)))->_type=admse_include_value;
+            else
+              ((p_range)YY((yyvsp[(2) - (2)]._yaccval)))->_type=admse_include;
+            adms_slist_push(&gRangeList,YY((yyvsp[(2) - (2)]._yaccval)));
+          ;}
+    break;
+
+  case 125:
+
+/* Line 1455 of yacc.c  */
+#line 1149 "./verilogaYacc.y"
+    {
+            if(((p_range)YY((yyvsp[(2) - (2)]._yaccval)))->_infboundtype==admse_range_bound_value)
+              ((p_range)YY((yyvsp[(2) - (2)]._yaccval)))->_type=admse_exclude_value;
+            else
+              ((p_range)YY((yyvsp[(2) - (2)]._yaccval)))->_type=admse_exclude;
+            adms_slist_push(&gRangeList,YY((yyvsp[(2) - (2)]._yaccval)));
+          ;}
+    break;
+
+  case 126:
+
+/* Line 1455 of yacc.c  */
+#line 1159 "./verilogaYacc.y"
+    {
+            p_range myrange=adms_module_list_range_prepend_by_id_once_or_abort(gModule,gModule,(p_expression)YY((yyvsp[(2) - (5)]._yaccval)),(p_expression)YY((yyvsp[(4) - (5)]._yaccval))); 
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            myrange->_infboundtype=admse_range_bound_exclude;
+            myrange->_supboundtype=admse_range_bound_exclude;
+            Y((yyval._yaccval),(p_adms)myrange);
+          ;}
+    break;
+
+  case 127:
+
+/* Line 1455 of yacc.c  */
+#line 1167 "./verilogaYacc.y"
+    {
+            p_range myrange=adms_module_list_range_prepend_by_id_once_or_abort(gModule,gModule,(p_expression)YY((yyvsp[(2) - (5)]._yaccval)),(p_expression)YY((yyvsp[(4) - (5)]._yaccval))); 
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            myrange->_infboundtype=admse_range_bound_exclude;
+            myrange->_supboundtype=admse_range_bound_include;
+            Y((yyval._yaccval),(p_adms)myrange);
+          ;}
+    break;
+
+  case 128:
+
+/* Line 1455 of yacc.c  */
+#line 1175 "./verilogaYacc.y"
+    {
+            p_range myrange=adms_module_list_range_prepend_by_id_once_or_abort(gModule,gModule,(p_expression)YY((yyvsp[(2) - (5)]._yaccval)),(p_expression)YY((yyvsp[(4) - (5)]._yaccval))); 
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            myrange->_infboundtype=admse_range_bound_include;
+            myrange->_supboundtype=admse_range_bound_exclude;
+            Y((yyval._yaccval),(p_adms)myrange);
+          ;}
+    break;
+
+  case 129:
+
+/* Line 1455 of yacc.c  */
+#line 1183 "./verilogaYacc.y"
+    {
+            p_range myrange=adms_module_list_range_prepend_by_id_once_or_abort(gModule,gModule,(p_expression)YY((yyvsp[(2) - (5)]._yaccval)),(p_expression)YY((yyvsp[(4) - (5)]._yaccval))); 
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            myrange->_infboundtype=admse_range_bound_include;
+            myrange->_supboundtype=admse_range_bound_include;
+            Y((yyval._yaccval),(p_adms)myrange);
+          ;}
+    break;
+
+  case 130:
+
+/* Line 1455 of yacc.c  */
+#line 1191 "./verilogaYacc.y"
+    {
+            p_range myrange=adms_module_list_range_prepend_by_id_once_or_abort(gModule,gModule,(p_expression)YY((yyvsp[(1) - (1)]._yaccval)),(p_expression)YY((yyvsp[(1) - (1)]._yaccval))); 
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            myrange->_infboundtype=admse_range_bound_value;
+            myrange->_supboundtype=admse_range_bound_value;
+            Y((yyval._yaccval),(p_adms)myrange);
+          ;}
+    break;
+
+  case 131:
+
+/* Line 1455 of yacc.c  */
+#line 1201 "./verilogaYacc.y"
+    {
+            (yyval._yaccval)=(yyvsp[(1) - (1)]._yaccval);
+          ;}
+    break;
+
+  case 132:
+
+/* Line 1455 of yacc.c  */
+#line 1205 "./verilogaYacc.y"
+    {
+            p_number mynumber=adms_number_new((yyvsp[(2) - (2)]._lexval)); 
+            p_expression myexpression=adms_expression_new(gModule,(p_adms)mynumber); 
+            mynumber->_lexval->_string=adms_kclone("-inf");
+            adms_slist_push(&gModule->_expression,(p_adms)myexpression); 
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            myexpression->_infinity=admse_minus;
+            myexpression->_hasspecialnumber=adms_kclone("YES");
+            Y((yyval._yaccval),(p_adms)myexpression);
+          ;}
+    break;
+
+  case 133:
+
+/* Line 1455 of yacc.c  */
+#line 1218 "./verilogaYacc.y"
+    {
+            (yyval._yaccval)=(yyvsp[(1) - (1)]._yaccval);
+          ;}
+    break;
+
+  case 134:
+
+/* Line 1455 of yacc.c  */
+#line 1222 "./verilogaYacc.y"
+    {
+            p_number mynumber=adms_number_new((yyvsp[(1) - (1)]._lexval)); 
+            p_expression myexpression=adms_expression_new(gModule,(p_adms)mynumber); 
+            mynumber->_lexval->_string=adms_kclone("+inf");
+            adms_slist_push(&gModule->_expression,(p_adms)myexpression); 
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            myexpression->_infinity=admse_plus;
+            myexpression->_hasspecialnumber=adms_kclone("YES");
+            Y((yyval._yaccval),(p_adms)myexpression);
+          ;}
+    break;
+
+  case 135:
+
+/* Line 1455 of yacc.c  */
+#line 1233 "./verilogaYacc.y"
+    {
+            p_number mynumber=adms_number_new((yyvsp[(2) - (2)]._lexval)); 
+            p_expression myexpression=adms_expression_new(gModule,(p_adms)mynumber); 
+            mynumber->_lexval->_string=adms_kclone("+inf");
+            adms_slist_push(&gModule->_expression,(p_adms)myexpression); 
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            myexpression->_infinity=admse_plus;
+            myexpression->_hasspecialnumber=adms_kclone("YES");
+            Y((yyval._yaccval),(p_adms)myexpression);
+          ;}
+    break;
+
+  case 136:
+
+/* Line 1455 of yacc.c  */
+#line 1246 "./verilogaYacc.y"
+    {
+            gModule->_analog=adms_analog_new(YY((yyvsp[(2) - (2)]._yaccval)));
+          ;}
+    break;
+
+  case 137:
+
+/* Line 1455 of yacc.c  */
+#line 1252 "./verilogaYacc.y"
+    {
+            (yyval._yaccval)=(yyvsp[(1) - (1)]._yaccval);
+          ;}
+    break;
+
+  case 138:
+
+/* Line 1455 of yacc.c  */
+#line 1256 "./verilogaYacc.y"
+    {
+            (yyval._yaccval)=(yyvsp[(1) - (1)]._yaccval);
+          ;}
+    break;
+
+  case 139:
+
+/* Line 1455 of yacc.c  */
+#line 1262 "./verilogaYacc.y"
+    {
+            p_slist myArgs=NULL;
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            adms_slist_push(&myArgs,YY((yyvsp[(1) - (1)]._yaccval)));
+            Y((yyval._yaccval),(p_adms)myArgs);
+          ;}
+    break;
+
+  case 140:
+
+/* Line 1455 of yacc.c  */
+#line 1269 "./verilogaYacc.y"
+    {
+            p_slist myArgs=(p_slist)YY((yyvsp[(1) - (3)]._yaccval));
+            (yyval._yaccval)=(yyvsp[(1) - (3)]._yaccval);
+            adms_slist_push(&myArgs,YY((yyvsp[(3) - (3)]._yaccval)));
+            Y((yyval._yaccval),(p_adms)myArgs);
+          ;}
+    break;
+
+  case 141:
+
+/* Line 1455 of yacc.c  */
+#line 1278 "./verilogaYacc.y"
+    {
+            p_slist l;
+            p_slist lv;
+            for(l=gAttributeList;l;l=l->next)
+              for(lv=((p_blockvariable)YY((yyvsp[(2) - (2)]._yaccval)))->_variable;lv;lv=lv->next)
+                adms_slist_push(&((p_variableprototype)lv->data)->_attribute,l->data);
+            adms_slist_free(gAttributeList); gAttributeList=NULL;
+            (yyval._yaccval)=(yyvsp[(2) - (2)]._yaccval);
+          ;}
+    break;
+
+  case 142:
+
+/* Line 1455 of yacc.c  */
+#line 1288 "./verilogaYacc.y"
+    {
+            (yyval._yaccval)=(yyvsp[(1) - (1)]._yaccval);
+          ;}
+    break;
+
+  case 143:
+
+/* Line 1455 of yacc.c  */
+#line 1292 "./verilogaYacc.y"
+    {
+            (yyval._yaccval)=(yyvsp[(1) - (2)]._yaccval);
+          ;}
+    break;
+
+  case 144:
+
+/* Line 1455 of yacc.c  */
+#line 1296 "./verilogaYacc.y"
+    {
+            (yyval._yaccval)=(yyvsp[(1) - (1)]._yaccval);
+          ;}
+    break;
+
+  case 145:
+
+/* Line 1455 of yacc.c  */
+#line 1300 "./verilogaYacc.y"
+    {
+            (yyval._yaccval)=(yyvsp[(1) - (1)]._yaccval);
+          ;}
+    break;
+
+  case 146:
+
+/* Line 1455 of yacc.c  */
+#line 1304 "./verilogaYacc.y"
+    {
+            (yyval._yaccval)=(yyvsp[(1) - (1)]._yaccval);
+          ;}
+    break;
+
+  case 147:
+
+/* Line 1455 of yacc.c  */
+#line 1308 "./verilogaYacc.y"
+    {
+            (yyval._yaccval)=(yyvsp[(1) - (1)]._yaccval);
+          ;}
+    break;
+
+  case 148:
+
+/* Line 1455 of yacc.c  */
+#line 1312 "./verilogaYacc.y"
+    {
+            p_function myfunction=adms_function_new((yyvsp[(1) - (5)]._lexval),uid++);
+            p_slist myArgs=(p_slist)YY((yyvsp[(3) - (5)]._yaccval));
+            p_callfunction mycallfunction=adms_callfunction_new(gModule,myfunction);
+            adms_slist_push(&gModule->_callfunction,(p_adms)mycallfunction);
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            adms_slist_inreverse(&myArgs);
+            myfunction->_arguments=myArgs;
+            Y((yyval._yaccval),(p_adms)mycallfunction);
+          ;}
+    break;
+
+  case 149:
+
+/* Line 1455 of yacc.c  */
+#line 1323 "./verilogaYacc.y"
+    {
+            p_function myfunction=adms_function_new((yyvsp[(1) - (4)]._lexval),uid++);
+            p_callfunction mycallfunction=adms_callfunction_new(gModule,myfunction);
+            adms_slist_push(&gModule->_callfunction,(p_adms)mycallfunction);
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            Y((yyval._yaccval),(p_adms)mycallfunction);
+          ;}
+    break;
+
+  case 150:
+
+/* Line 1455 of yacc.c  */
+#line 1331 "./verilogaYacc.y"
+    {
+            p_function myfunction=adms_function_new((yyvsp[(1) - (2)]._lexval),uid++);
+            p_callfunction mycallfunction=adms_callfunction_new(gModule,myfunction);
+            adms_slist_push(&gModule->_callfunction,(p_adms)mycallfunction);
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            Y((yyval._yaccval),(p_adms)mycallfunction);
+          ;}
+    break;
+
+  case 151:
+
+/* Line 1455 of yacc.c  */
+#line 1339 "./verilogaYacc.y"
+    {
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            Y((yyval._yaccval),(p_adms)adms_nilled_new(gModule));
+          ;}
+    break;
+
+  case 152:
+
+/* Line 1455 of yacc.c  */
+#line 1346 "./verilogaYacc.y"
+    {
+            (yyval._yaccval)=(yyvsp[(1) - (1)]._yaccval);
+          ;}
+    break;
+
+  case 153:
+
+/* Line 1455 of yacc.c  */
+#line 1350 "./verilogaYacc.y"
+    {
+            (yyval._yaccval)=(yyvsp[(2) - (2)]._yaccval);
+            adms_lexval_free(((p_block)YY((yyval._yaccval)))->_lexval);
+            ((p_block)YY((yyval._yaccval)))->_lexval=(p_lexval)YY((yyvsp[(1) - (2)]._yaccval));
+          ;}
+    break;
+
+  case 154:
+
+/* Line 1455 of yacc.c  */
+#line 1358 "./verilogaYacc.y"
+    {
+            adms_veriloga_message_fatal("@ control not supported\n",(yyvsp[(3) - (7)]._lexval));
+          ;}
+    break;
+
+  case 155:
+
+/* Line 1455 of yacc.c  */
+#line 1362 "./verilogaYacc.y"
+    {
+            char* mylexval2=((p_lexval)(yyvsp[(2) - (2)]._lexval))->_string;
+            char* mypartitionning=adms_kclone(mylexval2);
+            if(strcmp(mypartitionning,"initial_model")
+              && strcmp(mypartitionning,"initial_instance")
+              && strcmp(mypartitionning,"noise")
+              && strcmp(mypartitionning,"initial_step")
+              && strcmp(mypartitionning,"final_step"))
+              adms_veriloga_message_fatal(" @() control not supported\n",(yyvsp[(2) - (2)]._lexval));
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            Y((yyval._yaccval),(p_adms)(yyvsp[(2) - (2)]._lexval));
+          ;}
+    break;
+
+  case 156:
+
+/* Line 1455 of yacc.c  */
+#line 1375 "./verilogaYacc.y"
+    {
+            char* mylexval3=((p_lexval)(yyvsp[(3) - (4)]._lexval))->_string;
+            char* mypartitionning=adms_kclone(mylexval3);
+            if(strcmp(mypartitionning,"initial_model")
+              && strcmp(mypartitionning,"initial_instance")
+              && strcmp(mypartitionning,"noise")
+              && strcmp(mypartitionning,"initial_step")
+              && strcmp(mypartitionning,"final_step"))
+              adms_veriloga_message_fatal(" @() control not supported\n",(yyvsp[(3) - (4)]._lexval));
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            Y((yyval._yaccval),(p_adms)(yyvsp[(3) - (4)]._lexval));
+          ;}
+    break;
+
+  case 157:
+
+/* Line 1455 of yacc.c  */
+#line 1390 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 158:
+
+/* Line 1455 of yacc.c  */
+#line 1393 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 159:
+
+/* Line 1455 of yacc.c  */
+#line 1398 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 160:
+
+/* Line 1455 of yacc.c  */
+#line 1403 "./verilogaYacc.y"
+    {
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            Y((yyval._yaccval),gBlockList->data);
+            adms_slist_pull(&gBlockList);
+          ;}
+    break;
+
+  case 161:
+
+/* Line 1455 of yacc.c  */
+#line 1409 "./verilogaYacc.y"
+    {
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            Y((yyval._yaccval),gBlockList->data);
+            adms_slist_pull(&gBlockList);
+            ((p_block)YY((yyval._yaccval)))->_lexval->_string=(yyvsp[(3) - (4)]._lexval)->_string;
+          ;}
+    break;
+
+  case 162:
+
+/* Line 1455 of yacc.c  */
+#line 1416 "./verilogaYacc.y"
+    {
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            Y((yyval._yaccval),gBlockList->data);
+            adms_slist_pull(&gBlockList);
+          ;}
+    break;
+
+  case 163:
+
+/* Line 1455 of yacc.c  */
+#line 1422 "./verilogaYacc.y"
+    {
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            Y((yyval._yaccval),gBlockList->data);
+            adms_slist_pull(&gBlockList);
+            ((p_block)YY((yyval._yaccval)))->_lexval->_string=(yyvsp[(3) - (5)]._lexval)->_string;
+          ;}
+    break;
+
+  case 164:
+
+/* Line 1455 of yacc.c  */
+#line 1431 "./verilogaYacc.y"
+    {
+            p_slist l;
+            p_block myblock=adms_block_new(gModule,(yyvsp[(2) - (2)]._lexval),gBlockList?((p_block)gBlockList->data):NULL,NULL);
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            myblock->_lexval->_string=adms_kclone("");
+            adms_slist_push(&gBlockList,(p_adms)myblock);
+            for(l=gAttributeList;l;l=l->next)
+              adms_slist_push(&myblock->_attribute,l->data);
+            adms_slist_free(gAttributeList); gAttributeList=NULL;
+            adms_slist_push(&gModule->_block,gBlockList->data);
+          ;}
+    break;
+
+  case 165:
+
+/* Line 1455 of yacc.c  */
+#line 1445 "./verilogaYacc.y"
+    {
+            adms_slist_push(&((p_block)gBlockList->data)->_item,YY((yyvsp[(1) - (1)]._yaccval)));
+          ;}
+    break;
+
+  case 166:
+
+/* Line 1455 of yacc.c  */
+#line 1449 "./verilogaYacc.y"
+    {
+            adms_slist_push(&((p_block)gBlockList->data)->_item,YY((yyvsp[(2) - (2)]._yaccval)));
+          ;}
+    break;
+
+  case 167:
+
+/* Line 1455 of yacc.c  */
+#line 1455 "./verilogaYacc.y"
+    {
+            p_slist l;
+            p_blockvariable myblockvariable=adms_blockvariable_new(((p_block)gBlockList->data)); 
+            adms_slist_push(&gModule->_blockvariable,(p_adms)myblockvariable); 
+            for(l=gBlockVariableList;l;l=l->next)
+              ((p_variableprototype)l->data)->_type=admse_integer;
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            adms_slist_inreverse(&gBlockVariableList);
+            myblockvariable->_variable=gBlockVariableList;
+            gBlockVariableList=NULL;
+            Y((yyval._yaccval),(p_adms)myblockvariable);
+          ;}
+    break;
+
+  case 168:
+
+/* Line 1455 of yacc.c  */
+#line 1468 "./verilogaYacc.y"
+    {
+            p_slist l;
+            p_blockvariable myblockvariable=adms_blockvariable_new(((p_block)gBlockList->data)); 
+            adms_slist_push(&gModule->_blockvariable,(p_adms)myblockvariable); 
+            for(l=gBlockVariableList;l;l=l->next)
+              ((p_variableprototype)l->data)->_type=admse_real;
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            adms_slist_inreverse(&gBlockVariableList);
+            myblockvariable->_variable=gBlockVariableList;
+            gBlockVariableList=NULL;
+            Y((yyval._yaccval),(p_adms)myblockvariable);
+          ;}
+    break;
+
+  case 169:
+
+/* Line 1455 of yacc.c  */
+#line 1481 "./verilogaYacc.y"
+    {
+            p_slist l;
+            p_blockvariable myblockvariable=adms_blockvariable_new(((p_block)gBlockList->data)); 
+            adms_slist_push(&gModule->_blockvariable,(p_adms)myblockvariable); 
+            for(l=gBlockVariableList;l;l=l->next)
+              ((p_variableprototype)l->data)->_type=admse_string;
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            adms_slist_inreverse(&gBlockVariableList);
+            myblockvariable->_variable=gBlockVariableList;
+            gBlockVariableList=NULL;
+            Y((yyval._yaccval),(p_adms)myblockvariable);
+          ;}
+    break;
+
+  case 170:
+
+/* Line 1455 of yacc.c  */
+#line 1496 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 171:
+
+/* Line 1455 of yacc.c  */
+#line 1499 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 172:
+
+/* Line 1455 of yacc.c  */
+#line 1504 "./verilogaYacc.y"
+    {
+            NEWVARIABLE((yyvsp[(1) - (1)]._lexval))
+            adms_block_list_variable_prepend_once_or_abort(((p_block)gBlockList->data),myvariableprototype); 
+            adms_slist_push(&gBlockVariableList,(p_adms)myvariableprototype);
+          ;}
+    break;
+
+  case 173:
+
+/* Line 1455 of yacc.c  */
+#line 1510 "./verilogaYacc.y"
+    {
+            NEWVARIABLE((yyvsp[(1) - (6)]._lexval))
+            adms_block_list_variable_prepend_once_or_abort(((p_block)gBlockList->data),myvariableprototype); 
+            adms_slist_push(&gVariableDeclarationList,(p_adms)myvariableprototype);
+            myvariableprototype->_sizetype=admse_array;
+            myvariableprototype->_minsize=adms_number_new((yyvsp[(3) - (6)]._lexval));
+            myvariableprototype->_maxsize=adms_number_new((yyvsp[(5) - (6)]._lexval));
+          ;}
+    break;
+
+  case 174:
+
+/* Line 1455 of yacc.c  */
+#line 1521 "./verilogaYacc.y"
+    {
+            p_slist l;
+            for(l=gAttributeList;l;l=l->next)
+              adms_slist_push(&gContribution->_attribute,l->data);
+            adms_slist_free(gAttributeList); gAttributeList=NULL;
+            gContribution=NULL;
+          ;}
+    break;
+
+  case 175:
+
+/* Line 1455 of yacc.c  */
+#line 1531 "./verilogaYacc.y"
+    {
+            p_source mysource=(p_source)YY((yyvsp[(1) - (4)]._yaccval));
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            gContribution=adms_contribution_new(gModule,mysource,(p_expression)YY((yyvsp[(4) - (4)]._yaccval)),gLexval);
+            adms_slist_push(&gModule->_contribution,(p_adms)gContribution);
+            Y((yyval._yaccval),(p_adms)gContribution);
+            gContribution->_branchalias=gBranchAlias;
+            gBranchAlias=NULL;
+          ;}
+    break;
+
+  case 176:
+
+/* Line 1455 of yacc.c  */
+#line 1543 "./verilogaYacc.y"
+    {
+            char* mylexval1=((p_lexval)(yyvsp[(1) - (6)]._lexval))->_string;
+            char* mylexval3=((p_lexval)(yyvsp[(3) - (6)]._lexval))->_string;
+            char* mylexval5=((p_lexval)(yyvsp[(5) - (6)]._lexval))->_string;
+            p_node Pnode=adms_module_list_node_lookup_by_id(gModule,gModule,mylexval3);
+            p_node Nnode=adms_module_list_node_lookup_by_id(gModule,gModule,mylexval5);
+            char* natureID=mylexval1;
+            p_nature mynature=adms_admsmain_list_nature_lookup_by_id(root(),natureID);
+            p_branch mybranch=adms_module_list_branch_prepend_by_id_once_or_ignore(gModule,gModule,Pnode,Nnode);
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            if(!mynature)
+             adms_message_fatal(("[source:error] there is no nature with access %s, missing discipline.h file?\n",natureID))
+            gSource=adms_module_list_source_prepend_by_id_once_or_ignore(gModule,gModule,mybranch,mynature);
+            gLexval=(yyvsp[(1) - (6)]._lexval);
+            Y((yyval._yaccval),(p_adms)gSource);
+          ;}
+    break;
+
+  case 177:
+
+/* Line 1455 of yacc.c  */
+#line 1560 "./verilogaYacc.y"
+    {
+            char* mylexval1=((p_lexval)(yyvsp[(1) - (4)]._lexval))->_string;
+            char* mylexval3=((p_lexval)(yyvsp[(3) - (4)]._lexval))->_string;
+            char* natureID=mylexval1;
+            p_nature mynature=adms_admsmain_list_nature_lookup_by_id(root(),natureID);
+            p_branchalias branchalias=adms_module_list_branchalias_lookup_by_id(gModule,gModule,mylexval3);
+            p_node pnode=adms_module_list_node_lookup_by_id(gModule,gModule,mylexval3);
+            p_branch mybranch=NULL;
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            if(!mynature)
+             adms_message_fatal(("[source:error] there is no nature with access %s, please, include discipline.h file\n",natureID))
+            if(pnode)
+              mybranch=adms_module_list_branch_prepend_by_id_once_or_ignore(gModule,gModule,pnode,gGND);
+            else if(branchalias)
+              mybranch=branchalias->_branch;
+            else
+              adms_veriloga_message_fatal("undefined branch or node\n",(yyvsp[(1) - (4)]._lexval));
+            gSource=adms_module_list_source_prepend_by_id_once_or_ignore(gModule,gModule,mybranch,mynature);
+            gLexval=(yyvsp[(1) - (4)]._lexval);
+            gBranchAlias=branchalias;
+            Y((yyval._yaccval),(p_adms)gSource);
+          ;}
+    break;
+
+  case 178:
+
+/* Line 1455 of yacc.c  */
+#line 1585 "./verilogaYacc.y"
+    {
+            p_whileloop mywhileloop=adms_whileloop_new(gModule,(p_expression)YY((yyvsp[(3) - (5)]._yaccval)),YY((yyvsp[(5) - (5)]._yaccval)));
+            adms_slist_push(&gModule->_whileloop,(p_adms)mywhileloop);
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            Y((yyval._yaccval),(p_adms)mywhileloop);
+          ;}
+    break;
+
+  case 179:
+
+/* Line 1455 of yacc.c  */
+#line 1594 "./verilogaYacc.y"
+    {
+            p_forloop myforloop=adms_forloop_new(gModule,(p_assignment)YY((yyvsp[(3) - (9)]._yaccval)),(p_expression)YY((yyvsp[(5) - (9)]._yaccval)),(p_assignment)YY((yyvsp[(7) - (9)]._yaccval)),YY((yyvsp[(9) - (9)]._yaccval)));
+            adms_slist_push(&gModule->_forloop,(p_adms)myforloop);
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            Y((yyval._yaccval),(p_adms)myforloop);
+          ;}
+    break;
+
+  case 180:
+
+/* Line 1455 of yacc.c  */
+#line 1603 "./verilogaYacc.y"
+    {
+            p_case mycase=adms_case_new(gModule,(p_expression)YY((yyvsp[(3) - (6)]._yaccval)));
+            adms_slist_push(&gModule->_case,(p_adms)mycase);
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            mycase->_caseitem=adms_slist_reverse((p_slist)YY((yyvsp[(5) - (6)]._yaccval)));
+            Y((yyval._yaccval),(p_adms)mycase);
+          ;}
+    break;
+
+  case 181:
+
+/* Line 1455 of yacc.c  */
+#line 1613 "./verilogaYacc.y"
+    {
+            p_slist myArgs=NULL;
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            adms_slist_push(&myArgs,YY((yyvsp[(1) - (1)]._yaccval)));
+            Y((yyval._yaccval),(p_adms)myArgs);
+          ;}
+    break;
+
+  case 182:
+
+/* Line 1455 of yacc.c  */
+#line 1620 "./verilogaYacc.y"
+    {
+            p_slist myArgs=(p_slist)YY((yyvsp[(1) - (2)]._yaccval));
+            (yyval._yaccval)=(yyvsp[(1) - (2)]._yaccval);
+            adms_slist_push(&myArgs,YY((yyvsp[(2) - (2)]._yaccval)));
+            Y((yyval._yaccval),(p_adms)myArgs);
+          ;}
+    break;
+
+  case 183:
+
+/* Line 1455 of yacc.c  */
+#line 1629 "./verilogaYacc.y"
+    {
+            p_slist myArgs=(p_slist)YY((yyvsp[(1) - (3)]._yaccval));
+            p_caseitem mycaseitem=adms_caseitem_new(YY((yyvsp[(3) - (3)]._yaccval)));
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            mycaseitem->_condition=adms_slist_reverse(myArgs);
+            Y((yyval._yaccval),(p_adms)mycaseitem);
+          ;}
+    break;
+
+  case 184:
+
+/* Line 1455 of yacc.c  */
+#line 1637 "./verilogaYacc.y"
+    {
+            p_caseitem mycaseitem=adms_caseitem_new(YY((yyvsp[(3) - (3)]._yaccval)));
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            mycaseitem->_defaultcase=admse_yes;
+            Y((yyval._yaccval),(p_adms)mycaseitem);
+          ;}
+    break;
+
+  case 185:
+
+/* Line 1455 of yacc.c  */
+#line 1644 "./verilogaYacc.y"
+    {
+            p_caseitem mycaseitem=adms_caseitem_new(YY((yyvsp[(2) - (2)]._yaccval)));
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            mycaseitem->_defaultcase=admse_yes;
+            Y((yyval._yaccval),(p_adms)mycaseitem);
+          ;}
+    break;
+
+  case 186:
+
+/* Line 1455 of yacc.c  */
+#line 1653 "./verilogaYacc.y"
+    {
+            char* mylexval6=((p_lexval)(yyvsp[(6) - (10)]._lexval))->_string;
+            p_instance myinstance;
+            p_slist l1;
+            p_slist l2;
+            myinstance=adms_module_list_instance_prepend_by_id_once_or_abort(gModule,gModule,gInstanceModule,mylexval6);
+            adms_slist_inreverse(&gInstanceModule->_node);
+            l2=gInstanceModule->_node;
+            l2=l2->next; /*GND ignored*/
+            for(l1=adms_slist_reverse(gNodeList);l1;l1=l1->next)
+            {
+              adms_instance_list_terminal_prepend_once_or_abort(myinstance,adms_instancenode_new(((p_node)l1->data),(p_node)l2->data));
+              l2=l2->next;
+            }
+            for(l1=gInstanceVariableList;l1;l1=l1->next)
+              adms_instance_list_parameterset_prepend_once_or_abort(myinstance,(p_instanceparameter)l1->data);
+            adms_slist_inreverse(&gInstanceModule->_node);
+            adms_slist_free(gNodeList);gNodeList=NULL;
+            adms_slist_free(gInstanceVariableList);gInstanceVariableList=NULL;
+          ;}
+    break;
+
+  case 187:
+
+/* Line 1455 of yacc.c  */
+#line 1676 "./verilogaYacc.y"
+    {
+            char* mylexval1=((p_lexval)(yyvsp[(1) - (1)]._lexval))->_string;
+            gInstanceModule=adms_admsmain_list_module_lookup_by_id(root(),mylexval1);
+            if(!gInstanceModule)
+              adms_message_fatal(("module '%s' not found\n",mylexval1))
+          ;}
+    break;
+
+  case 188:
+
+/* Line 1455 of yacc.c  */
+#line 1685 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 189:
+
+/* Line 1455 of yacc.c  */
+#line 1688 "./verilogaYacc.y"
+    {
+          ;}
+    break;
+
+  case 190:
+
+/* Line 1455 of yacc.c  */
+#line 1693 "./verilogaYacc.y"
+    {
+            char* mylexval2=((p_lexval)(yyvsp[(2) - (5)]._lexval))->_string;
+            p_variableprototype myvariableprototype=adms_module_list_variable_lookup_by_id(gInstanceModule,gInstanceModule,(yyvsp[(2) - (5)]._lexval),(p_adms)gInstanceModule);
+            if(myvariableprototype)
+            {
+              p_instanceparameter myinstanceparameter;
+              myinstanceparameter=adms_instanceparameter_new(myvariableprototype);
+              adms_slist_push(&gInstanceVariableList,(p_adms)myinstanceparameter);
+              myinstanceparameter->_value=((p_expression)YY((yyvsp[(4) - (5)]._yaccval)));
+            }
+            else
+            {
+              adms_veriloga_message_fatal_continue((yyvsp[(2) - (5)]._lexval));
+              adms_message_fatal(("[%s.%s.%s]: undefined variable (instance declaration)",
+                adms_module_uid(gModule),adms_module_uid(gInstanceModule),mylexval2))
+            }
+          ;}
+    break;
+
+  case 191:
+
+/* Line 1455 of yacc.c  */
+#line 1713 "./verilogaYacc.y"
+    {
+            p_assignment myassignment;
+            p_variable myvariable=variable_recursive_lookup_by_id(gBlockList->data,(yyvsp[(1) - (3)]._lexval));
+            p_variableprototype myvariableprototype;
+            if(!myvariable)
+              adms_veriloga_message_fatal("undefined variable\n",(yyvsp[(1) - (3)]._lexval));
+            myvariableprototype=myvariable->_prototype;
+            myassignment=adms_assignment_new(gModule,(p_adms)myvariable,(p_expression)YY((yyvsp[(3) - (3)]._yaccval)),(yyvsp[(1) - (3)]._lexval));
+            adms_slist_push(&gModule->_assignment,(p_adms)myassignment);
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            Y((yyval._yaccval),(p_adms)myassignment);
+            myvariableprototype->_vcount++;
+            myvariableprototype->_vlast=myassignment;
+          ;}
+    break;
+
+  case 192:
+
+/* Line 1455 of yacc.c  */
+#line 1728 "./verilogaYacc.y"
+    {
+            p_assignment myassignment;
+            p_variable myvariable=variable_recursive_lookup_by_id(gBlockList->data,(yyvsp[(2) - (4)]._lexval));
+            p_variableprototype myvariableprototype;
+            if(!myvariable)
+              adms_veriloga_message_fatal("undefined variable\n",(yyvsp[(2) - (4)]._lexval));
+            myvariableprototype=myvariable->_prototype;
+            myassignment=adms_assignment_new(gModule,(p_adms)myvariable,(p_expression)YY((yyvsp[(4) - (4)]._yaccval)),(yyvsp[(2) - (4)]._lexval));
+            adms_slist_push(&gModule->_assignment,(p_adms)myassignment);
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            Y((yyval._yaccval),(p_adms)myassignment);
+            {
+              p_slist l;
+              for(l=gAttributeList;l;l=l->next)
+                adms_slist_push(&myassignment->_attribute,l->data);
+            }
+            adms_slist_free(gAttributeList); gAttributeList=NULL;
+            myvariableprototype->_vcount++;
+            myvariableprototype->_vlast=myassignment;
+          ;}
+    break;
+
+  case 193:
+
+/* Line 1455 of yacc.c  */
+#line 1749 "./verilogaYacc.y"
+    {
+            p_assignment myassignment;
+            p_array myarray;
+            p_variable myvariable=variable_recursive_lookup_by_id(gBlockList->data,(yyvsp[(1) - (6)]._lexval));
+            p_variableprototype myvariableprototype;
+            if(!myvariable)
+              adms_veriloga_message_fatal("undefined variable\n",(yyvsp[(1) - (6)]._lexval));
+            myvariableprototype=myvariable->_prototype;
+            myarray=adms_array_new(myvariable,YY((yyvsp[(3) - (6)]._yaccval)));
+            myassignment=adms_assignment_new(gModule,(p_adms)myarray,(p_expression)YY((yyvsp[(6) - (6)]._yaccval)),(yyvsp[(1) - (6)]._lexval));
+            adms_slist_push(&gModule->_assignment,(p_adms)myassignment);
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            Y((yyval._yaccval),(p_adms)myassignment);
+            myvariableprototype->_vcount++;
+            myvariableprototype->_vlast=myassignment;
+          ;}
+    break;
+
+  case 194:
+
+/* Line 1455 of yacc.c  */
+#line 1766 "./verilogaYacc.y"
+    {
+            p_assignment myassignment;
+            p_array myarray;
+            p_variable myvariable=variable_recursive_lookup_by_id(gBlockList->data,(yyvsp[(2) - (7)]._lexval));
+            p_variableprototype myvariableprototype;
+            if(!myvariable)
+              adms_veriloga_message_fatal("undefined variable\n",(yyvsp[(2) - (7)]._lexval));
+            myvariableprototype=myvariable->_prototype;
+            myarray=adms_array_new(myvariable,YY((yyvsp[(4) - (7)]._yaccval)));
+            myassignment=adms_assignment_new(gModule,(p_adms)myarray,(p_expression)YY((yyvsp[(7) - (7)]._yaccval)),(yyvsp[(2) - (7)]._lexval));
+            adms_slist_push(&gModule->_assignment,(p_adms)myassignment);
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            Y((yyval._yaccval),(p_adms)myassignment);
+            {
+              p_slist l;
+              for(l=gAttributeList;l;l=l->next)
+                adms_slist_push(&myassignment->_attribute,l->data);
+            }
+            adms_slist_free(gAttributeList); gAttributeList=NULL;
+            myvariableprototype->_vcount++;
+            myvariableprototype->_vlast=myassignment;
+          ;}
+    break;
+
+  case 195:
+
+/* Line 1455 of yacc.c  */
+#line 1791 "./verilogaYacc.y"
+    {
+            p_expression myexpression=(p_expression)YY((yyvsp[(3) - (5)]._yaccval));
+            p_adms mythen=YY((yyvsp[(5) - (5)]._yaccval));
+            p_conditional myconditional=adms_conditional_new(gModule,myexpression,mythen,NULL);
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            Y((yyval._yaccval),(p_adms)myconditional);
+          ;}
+    break;
+
+  case 196:
+
+/* Line 1455 of yacc.c  */
+#line 1799 "./verilogaYacc.y"
+    {
+            p_expression myexpression=(p_expression)YY((yyvsp[(3) - (7)]._yaccval));
+            p_adms mythen=YY((yyvsp[(5) - (7)]._yaccval));
+            p_adms myelse=YY((yyvsp[(7) - (7)]._yaccval));
+            p_conditional myconditional=adms_conditional_new(gModule,myexpression,mythen,myelse);
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            Y((yyval._yaccval),(p_adms)myconditional);
+          ;}
+    break;
+
+  case 197:
+
+/* Line 1455 of yacc.c  */
+#line 1810 "./verilogaYacc.y"
+    {
+            p_expression myexpression=adms_expression_new(gModule,YY((yyvsp[(1) - (1)]._yaccval))); 
+            adms_slist_push(&gModule->_expression,(p_adms)myexpression); 
+            (yyval._yaccval)=(yyvsp[(1) - (1)]._yaccval);
+            Y((yyval._yaccval),(p_adms)myexpression);
+          ;}
+    break;
+
+  case 198:
+
+/* Line 1455 of yacc.c  */
+#line 1819 "./verilogaYacc.y"
+    {
+            p_slist myArgs=NULL;
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            adms_slist_push(&myArgs,YY((yyvsp[(1) - (1)]._yaccval)));
+            Y((yyval._yaccval),(p_adms)myArgs);
+          ;}
+    break;
+
+  case 199:
+
+/* Line 1455 of yacc.c  */
+#line 1826 "./verilogaYacc.y"
+    {
+            p_slist myArgs=(p_slist)YY((yyvsp[(1) - (3)]._yaccval));
+            (yyval._yaccval)=(yyvsp[(1) - (3)]._yaccval);
+            adms_slist_push(&myArgs,YY((yyvsp[(3) - (3)]._yaccval)));
+            Y((yyval._yaccval),(p_adms)myArgs);
+          ;}
+    break;
+
+  case 200:
+
+/* Line 1455 of yacc.c  */
+#line 1835 "./verilogaYacc.y"
+    {
+            (yyval._yaccval)=(yyvsp[(1) - (1)]._yaccval);
+          ;}
+    break;
+
+  case 201:
+
+/* Line 1455 of yacc.c  */
+#line 1841 "./verilogaYacc.y"
+    {
+            (yyval._yaccval)=(yyvsp[(1) - (1)]._yaccval);
+          ;}
+    break;
+
+  case 202:
+
+/* Line 1455 of yacc.c  */
+#line 1847 "./verilogaYacc.y"
+    {
+            (yyval._yaccval)=(yyvsp[(1) - (1)]._yaccval);
+          ;}
+    break;
+
+  case 203:
+
+/* Line 1455 of yacc.c  */
+#line 1851 "./verilogaYacc.y"
+    {
+            p_adms m1=YY((yyvsp[(1) - (5)]._yaccval));
+            p_adms m2=YY((yyvsp[(3) - (5)]._yaccval));
+            p_adms m3=YY((yyvsp[(5) - (5)]._yaccval));
+            p_mapply_ternary myop=adms_mapply_ternary_new(admse_conditional,m1,m2,m3);
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            Y((yyval._yaccval),(p_adms)myop);
+          ;}
+    break;
+
+  case 204:
+
+/* Line 1455 of yacc.c  */
+#line 1862 "./verilogaYacc.y"
+    {
+            (yyval._yaccval)=(yyvsp[(1) - (1)]._yaccval);
+          ;}
+    break;
+
+  case 205:
+
+/* Line 1455 of yacc.c  */
+#line 1866 "./verilogaYacc.y"
+    {
+            p_adms m1=YY((yyvsp[(1) - (3)]._yaccval));
+            p_adms m2=YY((yyvsp[(3) - (3)]._yaccval));
+            p_mapply_binary myop=adms_mapply_binary_new(admse_bw_equr,m1,m2);
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            Y((yyval._yaccval),(p_adms)myop);
+          ;}
+    break;
+
+  case 206:
+
+/* Line 1455 of yacc.c  */
+#line 1874 "./verilogaYacc.y"
+    {
+            p_adms m1=YY((yyvsp[(1) - (4)]._yaccval));
+            p_adms m2=YY((yyvsp[(4) - (4)]._yaccval));
+            p_mapply_binary myop=adms_mapply_binary_new(admse_bw_equl,m1,m2);
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            Y((yyval._yaccval),(p_adms)myop);
+          ;}
+    break;
+
+  case 207:
+
+/* Line 1455 of yacc.c  */
+#line 1884 "./verilogaYacc.y"
+    {
+            (yyval._yaccval)=(yyvsp[(1) - (1)]._yaccval);
+          ;}
+    break;
+
+  case 208:
+
+/* Line 1455 of yacc.c  */
+#line 1888 "./verilogaYacc.y"
+    {
+            p_adms m1=YY((yyvsp[(1) - (3)]._yaccval));
+            p_adms m2=YY((yyvsp[(3) - (3)]._yaccval));
+            p_mapply_binary myop=adms_mapply_binary_new(admse_bw_xor,m1,m2);
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            Y((yyval._yaccval),(p_adms)myop);
+          ;}
+    break;
+
+  case 209:
+
+/* Line 1455 of yacc.c  */
+#line 1898 "./verilogaYacc.y"
+    {
+            (yyval._yaccval)=(yyvsp[(1) - (1)]._yaccval);
+          ;}
+    break;
+
+  case 210:
+
+/* Line 1455 of yacc.c  */
+#line 1902 "./verilogaYacc.y"
+    {
+            p_adms m1=YY((yyvsp[(1) - (3)]._yaccval));
+            p_adms m2=YY((yyvsp[(3) - (3)]._yaccval));
+            p_mapply_binary myop=adms_mapply_binary_new(admse_bw_or,m1,m2);
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            Y((yyval._yaccval),(p_adms)myop);
+          ;}
+    break;
+
+  case 211:
+
+/* Line 1455 of yacc.c  */
+#line 1912 "./verilogaYacc.y"
+    {
+            (yyval._yaccval)=(yyvsp[(1) - (1)]._yaccval);
+          ;}
+    break;
+
+  case 212:
+
+/* Line 1455 of yacc.c  */
+#line 1916 "./verilogaYacc.y"
+    {
+            p_adms m1=YY((yyvsp[(1) - (3)]._yaccval));
+            p_adms m2=YY((yyvsp[(3) - (3)]._yaccval));
+            p_mapply_binary myop=adms_mapply_binary_new(admse_bw_and,m1,m2);
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            Y((yyval._yaccval),(p_adms)myop);
+          ;}
+    break;
+
+  case 213:
+
+/* Line 1455 of yacc.c  */
+#line 1926 "./verilogaYacc.y"
+    {
+            (yyval._yaccval)=(yyvsp[(1) - (1)]._yaccval);
+          ;}
+    break;
+
+  case 214:
+
+/* Line 1455 of yacc.c  */
+#line 1930 "./verilogaYacc.y"
+    {
+            p_adms m1=YY((yyvsp[(1) - (3)]._yaccval));
+            p_adms m2=YY((yyvsp[(3) - (3)]._yaccval));
+            p_mapply_binary myop=adms_mapply_binary_new(admse_or,m1,m2);
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            Y((yyval._yaccval),(p_adms)myop);
+          ;}
+    break;
+
+  case 215:
+
+/* Line 1455 of yacc.c  */
+#line 1940 "./verilogaYacc.y"
+    {
+            (yyval._yaccval)=(yyvsp[(1) - (1)]._yaccval);
+          ;}
+    break;
+
+  case 216:
+
+/* Line 1455 of yacc.c  */
+#line 1944 "./verilogaYacc.y"
+    {
+            p_adms m1=YY((yyvsp[(1) - (3)]._yaccval));
+            p_adms m2=YY((yyvsp[(3) - (3)]._yaccval));
+            p_mapply_binary myop=adms_mapply_binary_new(admse_and,m1,m2);
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            Y((yyval._yaccval),(p_adms)myop);
+          ;}
+    break;
+
+  case 217:
+
+/* Line 1455 of yacc.c  */
+#line 1954 "./verilogaYacc.y"
+    {
+            (yyval._yaccval)=(yyvsp[(1) - (1)]._yaccval);
+          ;}
+    break;
+
+  case 218:
+
+/* Line 1455 of yacc.c  */
+#line 1958 "./verilogaYacc.y"
+    {
+            p_adms m1=YY((yyvsp[(1) - (4)]._yaccval));
+            p_adms m2=YY((yyvsp[(4) - (4)]._yaccval));
+            p_mapply_binary myop=adms_mapply_binary_new(admse_equ,m1,m2);
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            Y((yyval._yaccval),(p_adms)myop);
+          ;}
+    break;
+
+  case 219:
+
+/* Line 1455 of yacc.c  */
+#line 1966 "./verilogaYacc.y"
+    {
+            p_adms m1=YY((yyvsp[(1) - (4)]._yaccval));
+            p_adms m2=YY((yyvsp[(4) - (4)]._yaccval));
+            p_mapply_binary myop=adms_mapply_binary_new(admse_notequ,m1,m2);
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            Y((yyval._yaccval),(p_adms)myop);
+          ;}
+    break;
+
+  case 220:
+
+/* Line 1455 of yacc.c  */
+#line 1976 "./verilogaYacc.y"
+    {
+            (yyval._yaccval)=(yyvsp[(1) - (1)]._yaccval);
+          ;}
+    break;
+
+  case 221:
+
+/* Line 1455 of yacc.c  */
+#line 1980 "./verilogaYacc.y"
+    {
+            p_adms m1=YY((yyvsp[(1) - (3)]._yaccval));
+            p_adms m2=YY((yyvsp[(3) - (3)]._yaccval));
+            p_mapply_binary myop=adms_mapply_binary_new(admse_lt,m1,m2);
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            Y((yyval._yaccval),(p_adms)myop);
+          ;}
+    break;
+
+  case 222:
+
+/* Line 1455 of yacc.c  */
+#line 1988 "./verilogaYacc.y"
+    {
+            p_adms m1=YY((yyvsp[(1) - (4)]._yaccval));
+            p_adms m2=YY((yyvsp[(4) - (4)]._yaccval));
+            p_mapply_binary myop=adms_mapply_binary_new(admse_lt_equ,m1,m2);
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            Y((yyval._yaccval),(p_adms)myop);
+          ;}
+    break;
+
+  case 223:
+
+/* Line 1455 of yacc.c  */
+#line 1996 "./verilogaYacc.y"
+    {
+            p_adms m1=YY((yyvsp[(1) - (3)]._yaccval));
+            p_adms m2=YY((yyvsp[(3) - (3)]._yaccval));
+            p_mapply_binary myop=adms_mapply_binary_new(admse_gt,m1,m2);
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            Y((yyval._yaccval),(p_adms)myop);
+          ;}
+    break;
+
+  case 224:
+
+/* Line 1455 of yacc.c  */
+#line 2004 "./verilogaYacc.y"
+    {
+            p_adms m1=YY((yyvsp[(1) - (4)]._yaccval));
+            p_adms m2=YY((yyvsp[(4) - (4)]._yaccval));
+            p_mapply_binary myop=adms_mapply_binary_new(admse_gt_equ,m1,m2);
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            Y((yyval._yaccval),(p_adms)myop);
+          ;}
+    break;
+
+  case 225:
+
+/* Line 1455 of yacc.c  */
+#line 2014 "./verilogaYacc.y"
+    {
+            (yyval._yaccval)=(yyvsp[(1) - (1)]._yaccval);
+          ;}
+    break;
+
+  case 226:
+
+/* Line 1455 of yacc.c  */
+#line 2018 "./verilogaYacc.y"
+    {
+            p_adms m1=YY((yyvsp[(1) - (3)]._yaccval));
+            p_adms m2=YY((yyvsp[(3) - (3)]._yaccval));
+            p_mapply_binary myop=adms_mapply_binary_new(admse_shiftr,m1,m2);
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            Y((yyval._yaccval),(p_adms)myop);
+          ;}
+    break;
+
+  case 227:
+
+/* Line 1455 of yacc.c  */
+#line 2026 "./verilogaYacc.y"
+    {
+            p_adms m1=YY((yyvsp[(1) - (3)]._yaccval));
+            p_adms m2=YY((yyvsp[(3) - (3)]._yaccval));
+            p_mapply_binary myop=adms_mapply_binary_new(admse_shiftl,m1,m2);
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            Y((yyval._yaccval),(p_adms)myop);
+          ;}
+    break;
+
+  case 228:
+
+/* Line 1455 of yacc.c  */
+#line 2036 "./verilogaYacc.y"
+    {
+            (yyval._yaccval)=(yyvsp[(1) - (1)]._yaccval);
+          ;}
+    break;
+
+  case 229:
+
+/* Line 1455 of yacc.c  */
+#line 2040 "./verilogaYacc.y"
+    {
+            p_adms m1=YY((yyvsp[(1) - (3)]._yaccval));
+            p_adms m2=YY((yyvsp[(3) - (3)]._yaccval));
+            p_mapply_binary myop=adms_mapply_binary_new(admse_addp,m1,m2);
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            Y((yyval._yaccval),(p_adms)myop);
+          ;}
+    break;
+
+  case 230:
+
+/* Line 1455 of yacc.c  */
+#line 2048 "./verilogaYacc.y"
+    {
+            p_adms m1=YY((yyvsp[(1) - (3)]._yaccval));
+            p_adms m2=YY((yyvsp[(3) - (3)]._yaccval));
+            p_mapply_binary myop=adms_mapply_binary_new(admse_addm,m1,m2);
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            Y((yyval._yaccval),(p_adms)myop);
+          ;}
+    break;
+
+  case 231:
+
+/* Line 1455 of yacc.c  */
+#line 2058 "./verilogaYacc.y"
+    {
+            (yyval._yaccval)=(yyvsp[(1) - (1)]._yaccval);
+          ;}
+    break;
+
+  case 232:
+
+/* Line 1455 of yacc.c  */
+#line 2062 "./verilogaYacc.y"
+    {
+            p_adms m1=YY((yyvsp[(1) - (3)]._yaccval));
+            p_adms m2=YY((yyvsp[(3) - (3)]._yaccval));
+            p_mapply_binary myop=adms_mapply_binary_new(admse_multtime,m1,m2);
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            Y((yyval._yaccval),(p_adms)myop);
+          ;}
+    break;
+
+  case 233:
+
+/* Line 1455 of yacc.c  */
+#line 2070 "./verilogaYacc.y"
+    {
+            p_adms m1=YY((yyvsp[(1) - (3)]._yaccval));
+            p_adms m2=YY((yyvsp[(3) - (3)]._yaccval));
+            p_mapply_binary myop=adms_mapply_binary_new(admse_multdiv,m1,m2);
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            Y((yyval._yaccval),(p_adms)myop);
+          ;}
+    break;
+
+  case 234:
+
+/* Line 1455 of yacc.c  */
+#line 2078 "./verilogaYacc.y"
+    {
+            p_adms m1=YY((yyvsp[(1) - (3)]._yaccval));
+            p_adms m2=YY((yyvsp[(3) - (3)]._yaccval));
+            p_mapply_binary myop=adms_mapply_binary_new(admse_multmod,m1,m2);
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            Y((yyval._yaccval),(p_adms)myop);
+          ;}
+    break;
+
+  case 235:
+
+/* Line 1455 of yacc.c  */
+#line 2088 "./verilogaYacc.y"
+    {
+            (yyval._yaccval)=(yyvsp[(1) - (1)]._yaccval);
+          ;}
+    break;
+
+  case 236:
+
+/* Line 1455 of yacc.c  */
+#line 2092 "./verilogaYacc.y"
+    {
+            p_adms m=YY((yyvsp[(2) - (2)]._yaccval));
+            p_mapply_unary mymathapply=adms_mapply_unary_new(admse_plus,m);
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            Y((yyval._yaccval),(p_adms)mymathapply);
+          ;}
+    break;
+
+  case 237:
+
+/* Line 1455 of yacc.c  */
+#line 2099 "./verilogaYacc.y"
+    {
+            p_adms m=YY((yyvsp[(2) - (2)]._yaccval));
+            p_mapply_unary mymathapply=adms_mapply_unary_new(admse_minus,m);
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            Y((yyval._yaccval),(p_adms)mymathapply);
+          ;}
+    break;
+
+  case 238:
+
+/* Line 1455 of yacc.c  */
+#line 2106 "./verilogaYacc.y"
+    {
+            p_adms m=YY((yyvsp[(2) - (2)]._yaccval));
+            p_mapply_unary mymathapply=adms_mapply_unary_new(admse_not,m);
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            Y((yyval._yaccval),(p_adms)mymathapply);
+          ;}
+    break;
+
+  case 239:
+
+/* Line 1455 of yacc.c  */
+#line 2113 "./verilogaYacc.y"
+    {
+            p_adms m=YY((yyvsp[(2) - (2)]._yaccval));
+            p_mapply_unary mymathapply=adms_mapply_unary_new(admse_bw_not,m);
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            Y((yyval._yaccval),(p_adms)mymathapply);
+          ;}
+    break;
+
+  case 240:
+
+/* Line 1455 of yacc.c  */
+#line 2122 "./verilogaYacc.y"
+    {
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            Y((yyval._yaccval),(p_adms)adms_number_new((yyvsp[(1) - (1)]._lexval)));
+          ;}
+    break;
+
+  case 241:
+
+/* Line 1455 of yacc.c  */
+#line 2127 "./verilogaYacc.y"
+    {
+            char* mylexval2=((p_lexval)(yyvsp[(2) - (2)]._lexval))->_string;
+            p_number mynumber=adms_number_new((yyvsp[(1) - (2)]._lexval));
+            int myunit=admse_1;
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            if(0) {}
+            else if(!strcmp(mylexval2,"E")) myunit=admse_E;
+            else if(!strcmp(mylexval2,"P")) myunit=admse_P;
+            else if(!strcmp(mylexval2,"T")) myunit=admse_T;
+            else if(!strcmp(mylexval2,"G")) myunit=admse_G;
+            else if(!strcmp(mylexval2,"M")) myunit=admse_M;
+            else if(!strcmp(mylexval2,"k")) myunit=admse_k;
+            else if(!strcmp(mylexval2,"h")) myunit=admse_h;
+            else if(!strcmp(mylexval2,"D")) myunit=admse_D;
+            else if(!strcmp(mylexval2,"d")) myunit=admse_d;
+            else if(!strcmp(mylexval2,"c")) myunit=admse_c;
+            else if(!strcmp(mylexval2,"m")) myunit=admse_m;
+            else if(!strcmp(mylexval2,"u")) myunit=admse_u;
+            else if(!strcmp(mylexval2,"n")) myunit=admse_n;
+            else if(!strcmp(mylexval2,"A")) myunit=admse_A;
+            else if(!strcmp(mylexval2,"p")) myunit=admse_p;
+            else if(!strcmp(mylexval2,"f")) myunit=admse_f;
+            else if(!strcmp(mylexval2,"a")) myunit=admse_a;
+            else
+              adms_veriloga_message_fatal(" can not convert symbol to valid unit\n",(yyvsp[(2) - (2)]._lexval));
+            mynumber->_scalingunit=myunit;
+            Y((yyval._yaccval),(p_adms)mynumber);
+          ;}
+    break;
+
+  case 242:
+
+/* Line 1455 of yacc.c  */
+#line 2156 "./verilogaYacc.y"
+    {
+            adms_veriloga_message_fatal("%s: character are not handled\n",(yyvsp[(1) - (1)]._lexval));
+          ;}
+    break;
+
+  case 243:
+
+/* Line 1455 of yacc.c  */
+#line 2160 "./verilogaYacc.y"
+    {
+            char* mylexval1=((p_lexval)(yyvsp[(1) - (1)]._lexval))->_string;
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            Y((yyval._yaccval),(p_adms)adms_string_new(mylexval1));
+          ;}
+    break;
+
+  case 244:
+
+/* Line 1455 of yacc.c  */
+#line 2166 "./verilogaYacc.y"
+    {
+            char* mylexval1=((p_lexval)(yyvsp[(1) - (1)]._lexval))->_string;
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            if(gAnalogfunction)
+            {
+              p_variable myvariable=variable_recursive_lookup_by_id(gBlockList->data,(yyvsp[(1) - (1)]._lexval));
+              if(myvariable)
+                Y((yyval._yaccval),(p_adms)myvariable);
+            }
+            else
+            {
+              p_variable myvariable=variable_recursive_lookup_by_id(gBlockList->data,(yyvsp[(1) - (1)]._lexval));
+              if(myvariable)
+                Y((yyval._yaccval),(p_adms)myvariable);
+              else
+              {
+                p_branchalias mybranchalias=adms_module_list_branchalias_lookup_by_id(gModule,gModule,mylexval1);
+                p_node mynode=adms_module_list_node_lookup_by_id(gModule,gModule,mylexval1);
+                if(mynode) Y((yyval._yaccval),(p_adms)mynode);
+                if(mybranchalias)
+                  Y((yyval._yaccval),(p_adms)mybranchalias->_branch);
+              }
+            }
+            if(!YY((yyval._yaccval)))
+              adms_veriloga_message_fatal("identifier never declared\n",(yyvsp[(1) - (1)]._lexval));
+          ;}
+    break;
+
+  case 245:
+
+/* Line 1455 of yacc.c  */
+#line 2193 "./verilogaYacc.y"
+    {
+            p_function myfunction=adms_function_new((yyvsp[(1) - (1)]._lexval),uid++);
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            Y((yyval._yaccval),(p_adms)myfunction);
+          ;}
+    break;
+
+  case 246:
+
+/* Line 1455 of yacc.c  */
+#line 2199 "./verilogaYacc.y"
+    {
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            p_variable myvariable=variable_recursive_lookup_by_id(gBlockList->data,(yyvsp[(1) - (4)]._lexval));
+            if(!myvariable)
+               adms_veriloga_message_fatal("undefined array variable\n",(yyvsp[(1) - (4)]._lexval));
+            Y((yyval._yaccval),(p_adms)adms_array_new(myvariable,YY((yyvsp[(3) - (4)]._yaccval))));
+          ;}
+    break;
+
+  case 247:
+
+/* Line 1455 of yacc.c  */
+#line 2207 "./verilogaYacc.y"
+    {
+            p_function myfunction=adms_function_new((yyvsp[(1) - (4)]._lexval),uid++);
+            p_slist myArgs=(p_slist)YY((yyvsp[(3) - (4)]._yaccval));
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            adms_slist_inreverse(&myArgs);
+            myfunction->_arguments=myArgs;
+            Y((yyval._yaccval),(p_adms)myfunction);
+          ;}
+    break;
+
+  case 248:
+
+/* Line 1455 of yacc.c  */
+#line 2216 "./verilogaYacc.y"
+    {
+            char* mylexval1=((p_lexval)(yyvsp[(1) - (4)]._lexval))->_string;
+            char* myfunctionname=mylexval1;
+            p_slist myArgs=(p_slist)YY((yyvsp[(3) - (4)]._yaccval));
+            int narg=adms_slist_length(myArgs);
+            p_probe myprobe=NULL;
+            p_nature mynature=adms_admsmain_list_nature_lookup_by_id(root(),myfunctionname);
+            (yyval._yaccval)=adms_yaccval_new("unknown source file");
+            if(mynature && narg==1)
+            {
+              p_adms mychild0=(p_adms)adms_slist_nth_data(myArgs,0);
+              if(mychild0->_datatypename==admse_node)
+              {
+                p_branch mybranch=adms_module_list_branch_prepend_by_id_once_or_ignore(gModule,gModule,(p_node)mychild0,gGND);
+                myprobe=adms_module_list_probe_prepend_by_id_once_or_ignore(gModule,gModule,mybranch,mynature);
+              }
+              else if(mychild0->_datatypename==admse_branch)
+              {
+                myprobe=adms_module_list_probe_prepend_by_id_once_or_ignore(gModule,gModule,(p_branch)mychild0,mynature);
+              }
+              else
+                adms_veriloga_message_fatal("bad argument (expecting node or branch)\n",(yyvsp[(1) - (4)]._lexval));
+            }
+            else if(mynature && narg==2)
+            {
+              p_adms mychild0=(p_adms)adms_slist_nth_data(myArgs,0);
+              p_adms mychild1=(p_adms)adms_slist_nth_data(myArgs,1);
+              p_branch mybranch;
+              if(mychild0->_datatypename!=admse_node)
+                adms_veriloga_message_fatal("second argument of probe is not a node\n",(yyvsp[(1) - (4)]._lexval));
+              if(mychild1->_datatypename!=admse_node)
+                adms_veriloga_message_fatal("first argument of probe is not a node\n",(yyvsp[(1) - (4)]._lexval));
+              mybranch=adms_module_list_branch_prepend_by_id_once_or_ignore(gModule,gModule,(p_node)mychild1,((p_node)mychild0));
+              myprobe=adms_module_list_probe_prepend_by_id_once_or_ignore(gModule,gModule,mybranch,mynature);
+            }
+            if(myprobe)
+              Y((yyval._yaccval),(p_adms)myprobe);
+            else
+            {
+              p_slist l;
+              p_function myfunction=adms_function_new((yyvsp[(1) - (4)]._lexval),uid++);
+              for(l=gModule->_analogfunction;l&&(myfunction->_definition==NULL);l=l->next)
+              {
+                p_analogfunction myanalogfunction=(p_analogfunction)l->data;
+                if(!strcmp((yyvsp[(1) - (4)]._lexval)->_string,myanalogfunction->_lexval->_string))
+                  myfunction->_definition=myanalogfunction;
+              }
+              myfunction->_arguments=adms_slist_reverse(myArgs);
+              Y((yyval._yaccval),(p_adms)myfunction);
+            }
+          ;}
+    break;
+
+  case 249:
+
+/* Line 1455 of yacc.c  */
+#line 2268 "./verilogaYacc.y"
+    {
+            (yyval._yaccval)=(yyvsp[(2) - (3)]._yaccval);
+          ;}
+    break;
+
+
+
+/* Line 1455 of yacc.c  */
+#line 5025 "y.tab.c"
+      default: break;
+    }
+  YY_SYMBOL_PRINT ("-> $$ =", yyr1[yyn], &yyval, &yyloc);
+
+  YYPOPSTACK (yylen);
+  yylen = 0;
+  YY_STACK_PRINT (yyss, yyssp);
+
+  *++yyvsp = yyval;
+
+  /* Now `shift' the result of the reduction.  Determine what state
+     that goes to, based on the state we popped back to and the rule
+     number reduced by.  */
+
+  yyn = yyr1[yyn];
+
+  yystate = yypgoto[yyn - YYNTOKENS] + *yyssp;
+  if (0 <= yystate && yystate <= YYLAST && yycheck[yystate] == *yyssp)
+    yystate = yytable[yystate];
+  else
+    yystate = yydefgoto[yyn - YYNTOKENS];
+
+  goto yynewstate;
+
+
+/*------------------------------------.
+| yyerrlab -- here on detecting error |
+`------------------------------------*/
+yyerrlab:
+  /* If not already recovering from an error, report this error.  */
+  if (!yyerrstatus)
+    {
+      ++yynerrs;
+#if ! YYERROR_VERBOSE
+      yyerror (YY_("syntax error"));
+#else
+      {
+	YYSIZE_T yysize = yysyntax_error (0, yystate, yychar);
+	if (yymsg_alloc < yysize && yymsg_alloc < YYSTACK_ALLOC_MAXIMUM)
+	  {
+	    YYSIZE_T yyalloc = 2 * yysize;
+	    if (! (yysize <= yyalloc && yyalloc <= YYSTACK_ALLOC_MAXIMUM))
+	      yyalloc = YYSTACK_ALLOC_MAXIMUM;
+	    if (yymsg != yymsgbuf)
+	      YYSTACK_FREE (yymsg);
+	    yymsg = (char *) YYSTACK_ALLOC (yyalloc);
+	    if (yymsg)
+	      yymsg_alloc = yyalloc;
+	    else
+	      {
+		yymsg = yymsgbuf;
+		yymsg_alloc = sizeof yymsgbuf;
+	      }
+	  }
+
+	if (0 < yysize && yysize <= yymsg_alloc)
+	  {
+	    (void) yysyntax_error (yymsg, yystate, yychar);
+	    yyerror (yymsg);
+	  }
+	else
+	  {
+	    yyerror (YY_("syntax error"));
+	    if (yysize != 0)
+	      goto yyexhaustedlab;
+	  }
+      }
+#endif
+    }
+
+
+
+  if (yyerrstatus == 3)
+    {
+      /* If just tried and failed to reuse lookahead token after an
+	 error, discard it.  */
+
+      if (yychar <= YYEOF)
+	{
+	  /* Return failure if at end of input.  */
+	  if (yychar == YYEOF)
+	    YYABORT;
+	}
+      else
+	{
+	  yydestruct ("Error: discarding",
+		      yytoken, &yylval);
+	  yychar = YYEMPTY;
+	}
+    }
+
+  /* Else will try to reuse lookahead token after shifting the error
+     token.  */
+  goto yyerrlab1;
+
+
+/*---------------------------------------------------.
+| yyerrorlab -- error raised explicitly by YYERROR.  |
+`---------------------------------------------------*/
+yyerrorlab:
+
+  /* Pacify compilers like GCC when the user code never invokes
+     YYERROR and the label yyerrorlab therefore never appears in user
+     code.  */
+  if (/*CONSTCOND*/ 0)
+     goto yyerrorlab;
+
+  /* Do not reclaim the symbols of the rule which action triggered
+     this YYERROR.  */
+  YYPOPSTACK (yylen);
+  yylen = 0;
+  YY_STACK_PRINT (yyss, yyssp);
+  yystate = *yyssp;
+  goto yyerrlab1;
+
+
+/*-------------------------------------------------------------.
+| yyerrlab1 -- common code for both syntax error and YYERROR.  |
+`-------------------------------------------------------------*/
+yyerrlab1:
+  yyerrstatus = 3;	/* Each real token shifted decrements this.  */
+
+  for (;;)
+    {
+      yyn = yypact[yystate];
+      if (yyn != YYPACT_NINF)
+	{
+	  yyn += YYTERROR;
+	  if (0 <= yyn && yyn <= YYLAST && yycheck[yyn] == YYTERROR)
+	    {
+	      yyn = yytable[yyn];
+	      if (0 < yyn)
+		break;
+	    }
+	}
+
+      /* Pop the current state because it cannot handle the error token.  */
+      if (yyssp == yyss)
+	YYABORT;
+
+
+      yydestruct ("Error: popping",
+		  yystos[yystate], yyvsp);
+      YYPOPSTACK (1);
+      yystate = *yyssp;
+      YY_STACK_PRINT (yyss, yyssp);
+    }
+
+  *++yyvsp = yylval;
+
+
+  /* Shift the error token.  */
+  YY_SYMBOL_PRINT ("Shifting", yystos[yyn], yyvsp, yylsp);
+
+  yystate = yyn;
+  goto yynewstate;
+
+
+/*-------------------------------------.
+| yyacceptlab -- YYACCEPT comes here.  |
+`-------------------------------------*/
+yyacceptlab:
+  yyresult = 0;
+  goto yyreturn;
+
+/*-----------------------------------.
+| yyabortlab -- YYABORT comes here.  |
+`-----------------------------------*/
+yyabortlab:
+  yyresult = 1;
+  goto yyreturn;
+
+#if !defined(yyoverflow) || YYERROR_VERBOSE
+/*-------------------------------------------------.
+| yyexhaustedlab -- memory exhaustion comes here.  |
+`-------------------------------------------------*/
+yyexhaustedlab:
+  yyerror (YY_("memory exhausted"));
+  yyresult = 2;
+  /* Fall through.  */
+#endif
+
+yyreturn:
+  if (yychar != YYEMPTY)
+     yydestruct ("Cleanup: discarding lookahead",
+		 yytoken, &yylval);
+  /* Do not reclaim the symbols of the rule which action triggered
+     this YYABORT or YYACCEPT.  */
+  YYPOPSTACK (yylen);
+  YY_STACK_PRINT (yyss, yyssp);
+  while (yyssp != yyss)
+    {
+      yydestruct ("Cleanup: popping",
+		  yystos[*yyssp], yyvsp);
+      YYPOPSTACK (1);
+    }
+#ifndef yyoverflow
+  if (yyss != yyssa)
+    YYSTACK_FREE (yyss);
+#endif
+#if YYERROR_VERBOSE
+  if (yymsg != yymsgbuf)
+    YYSTACK_FREE (yymsg);
+#endif
+  /* Make sure YYID is used.  */
+  return YYID (yyresult);
+}
+
+
+
+/* Line 1675 of yacc.c  */
+#line 2272 "./verilogaYacc.y"
+
+void adms_veriloga_setint_yydebug(const int val)
+{
+  yydebug=val;
+}
+
diff --git a/admsXml/verilogaYacc.h b/admsXml/verilogaYacc.h
new file mode 100644
index 0000000..38cab5a
--- /dev/null
+++ b/admsXml/verilogaYacc.h
@@ -0,0 +1,118 @@
+
+/* A Bison parser, made by GNU Bison 2.4.1.  */
+
+/* Skeleton interface for Bison's Yacc-like parsers in C
+   
+      Copyright (C) 1984, 1989, 1990, 2000, 2001, 2002, 2003, 2004, 2005, 2006
+   Free Software Foundation, Inc.
+   
+   This program is free software: you can redistribute it and/or modify
+   it under the terms of the GNU General Public License as published by
+   the Free Software Foundation, either version 3 of the License, or
+   (at your option) any later version.
+   
+   This program is distributed in the hope that it will be useful,
+   but WITHOUT ANY WARRANTY; without even the implied warranty of
+   MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+   GNU General Public License for more details.
+   
+   You should have received a copy of the GNU General Public License
+   along with this program.  If not, see <http://www.gnu.org/licenses/>.  */
+
+/* As a special exception, you may create a larger work that contains
+   part or all of the Bison parser skeleton and distribute that work
+   under terms of your choice, so long as that work isn't itself a
+   parser generator using the skeleton or a modified version thereof
+   as a parser skeleton.  Alternatively, if you modify or redistribute
+   the parser skeleton itself, you may (at your option) remove this
+   special exception, which will cause the skeleton and the resulting
+   Bison output files to be licensed under the GNU General Public
+   License without this special exception.
+   
+   This special exception was added by the Free Software Foundation in
+   version 2.2 of Bison.  */
+
+
+/* Tokens.  */
+#ifndef YYTOKENTYPE
+# define YYTOKENTYPE
+   /* Put the tokens into the symbol table, so that GDB and other debuggers
+      know about them.  */
+   enum yytokentype {
+     PREC_IF_THEN = 258,
+     tk_else = 259,
+     tk_from = 260,
+     tk_branch = 261,
+     tk_number = 262,
+     tk_nature = 263,
+     tk_aliasparameter = 264,
+     tk_output = 265,
+     tk_anystring = 266,
+     tk_dollar_ident = 267,
+     tk_or = 268,
+     tk_aliasparam = 269,
+     tk_if = 270,
+     tk_analog = 271,
+     tk_parameter = 272,
+     tk_discipline = 273,
+     tk_char = 274,
+     tk_anytext = 275,
+     tk_for = 276,
+     tk_while = 277,
+     tk_real = 278,
+     tk_op_shr = 279,
+     tk_case = 280,
+     tk_potential = 281,
+     tk_endcase = 282,
+     tk_inf = 283,
+     tk_exclude = 284,
+     tk_ground = 285,
+     tk_endmodule = 286,
+     tk_begin = 287,
+     tk_enddiscipline = 288,
+     tk_domain = 289,
+     tk_ident = 290,
+     tk_op_shl = 291,
+     tk_string = 292,
+     tk_integer = 293,
+     tk_module = 294,
+     tk_endattribute = 295,
+     tk_end = 296,
+     tk_inout = 297,
+     tk_and = 298,
+     tk_bitwise_equr = 299,
+     tk_default = 300,
+     tk_function = 301,
+     tk_input = 302,
+     tk_beginattribute = 303,
+     tk_endnature = 304,
+     tk_endfunction = 305,
+     tk_flow = 306
+   };
+#endif
+
+
+
+#if ! defined YYSTYPE && ! defined YYSTYPE_IS_DECLARED
+typedef union YYSTYPE
+{
+
+/* Line 1676 of yacc.c  */
+#line 119 "./verilogaYacc.y"
+
+  p_lexval _lexval;
+  p_yaccval _yaccval;
+
+
+
+/* Line 1676 of yacc.c  */
+#line 110 "y.tab.h"
+} YYSTYPE;
+# define YYSTYPE_IS_TRIVIAL 1
+# define yystype YYSTYPE /* obsolescent; will be withdrawn */
+# define YYSTYPE_IS_DECLARED 1
+#endif
+
+extern YYSTYPE verilogalval;
+
+
diff --git a/admsstyle.xsl b/admsstyle.xsl
new file mode 100644
index 0000000..6cfa997
--- /dev/null
+++ b/admsstyle.xsl
@@ -0,0 +1,229 @@
+<?xml version="1.0" encoding="ISO-8859-1"?>
+<!-- 
+       This xsl file defines the stylesheet of file
+       http://mot-adms/sourceforge.net/xml-files/adms.xml 
+-->
+<!--
+  $Id: admsstyle.xsl 1100 2008-10-02 15:16:44Z r29173 $
+-->
+<!--
+  $Log$
+  Revision 1.24  2006/09/04 08:51:05  r29173
+  tons of simplifications
+
+  Revision 1.23  2006/05/29 07:53:35  r29173
+  improved display of stylesheet
+
+  Revision 1.22  2005/11/25 14:15:25  r29173
+  changed admstpath parser mechanisms
+
+  Revision 1.21  2005/11/22 09:29:47  r29173
+  added element comment
+
+  Revision 1.20  2005/11/01 14:10:31  r29173
+  removed sorting - keep same order than adms.xml
+
+  Revision 1.19  2005/06/16 07:12:42  r29173
+  debug memory allocation with valgrind
+
+  Revision 1.18  2005/05/27 16:30:38  r29173
+  moving code from xmlParserNew.c to xml - added subexpression
+
+  Revision 1.17  2005/05/25 08:27:49  r29173
+  moving code from  xmlParserNew.c to xml
+
+  Revision 1.16  2005/04/22 06:54:43  r29173
+  fixed bug - admstpath:position handling
+
+  Revision 1.15  2005/04/15 08:38:37  r29173
+  renamed png files
+
+  Revision 1.14  2005/04/14 13:31:20  r29173
+  improved documentation
+
+  Revision 1.13  2005/04/14 10:21:31  r29173
+  improved documentation
+
+  Revision 1.12  2005/04/14 10:14:54  r29173
+  improved documentation
+
+  Revision 1.11  2005/04/04 14:48:37  r29173
+  improved web presentation
+
+  Revision 1.10  2005/03/22 11:30:18  r29173
+  migration to adms-2.x.x: clean-up xml constructs
+
+  Revision 1.9  2005/03/17 08:26:28  r29173
+  migration to adms-2.x.x: move all href from absolute to relative values
+
+  Revision 1.8  2005/03/16 17:37:47  r29173
+  migration to adms-2.x.x: cosmetics
+
+  Revision 1.7  2005/03/16 17:31:24  r29173
+  migration to adms-2.x.x: small cosmetic
+
+  Revision 1.6  2005/03/16 16:29:01  r29173
+  migration to adms-2.x.x: start xpath coding; add documentation
+
+  Revision 1.5  2005/03/14 17:58:48  r29173
+  migration to adms-2.x.x: improved documentation
+
+  Revision 1.4  2005/03/14 17:34:20  r29173
+  migration to adms-2.x.x: start xpath coding; add documentation
+
+  Revision 1.3  2005/02/25 12:49:35  r29173
+  migration to adms-2.x.x: renamed admst transforms
+
+-->
+<xsl:stylesheet version="1.0" xmlns:xsl="http://www.w3.org/1999/XSL/Transform">
+<xsl:output method="html"/>
+
+<xsl:template match="/">
+<html>
+  <head>
+    <meta http-equiv="Content-Type" content="text/html; charset=iso-8859-1"/>
+    <title>adms.xsl: The adms Data Tree - Reference Document</title>
+    <meta name="generator" content="manual, see http://mot-adms.sourceforge.net/"/>
+    <link rel="stylesheet" type="text/css" href="./adms.css"/>
+    <script type="text/javascript">
+    <![CDATA[
+     function expandNode(branch)
+     {
+        var objBranch=document.getElementById(branch).style;
+        objBranch.display="block";
+     }
+     function switchNodeCollaping(branch)
+     {
+        var objBranch=document.getElementById(branch).style;
+        if(objBranch.display=="block")
+           objBranch.display="none";
+        else
+           objBranch.display="block";
+     }
+    ]]>
+    </script>
+  </head>
+  <body>
+  <p>This section gives a tree view of the different internal data structure created by adms after parsing an input file.</p>
+  <p>Symbols used:</p>
+  <ul>
+  <li><img src="images/adms-element.png"/>: adms element</li>
+  <li><img src="images/adms-uid.png"/> property (constructor)</li>
+  <li><img src="images/adms-scalar.png"/>: property (scalar)</li>
+  <li><img src="images/adms-list.png"/> property (list)</li>
+  </ul>
+  <p>
+  Clicking on a node will expand it.
+  </p>
+  <p>
+  <xsl:for-each select="adms/element[@name='adms']">
+    <xsl:apply-templates select="."/>
+  </xsl:for-each>
+  </p>
+<!--footer-->
+  <hr/>
+  <p>
+  This page has been automatically created by applying the xsl transform
+  <a href="./admsstyle.xsl">admsstyle.xsl</a>
+  to the xml data file
+  <a href="./adms.xml">adms.xml</a>.
+  <br/>
+  The rendering of the page is specified by the css stylesheet
+  <a href="./adms.css">adms.css</a>.
+  You can get the full contents of data file 
+  <a href="./adms.xml">adms.xml</a>
+  by viewing the source of the document from your web browser.
+  Please address any comments to <a href="mailto:r29173 at users.sourceforge.net">r29173</a>.
+  </p>
+  </body>
+</html>
+</xsl:template>
+<xsl:template match="element">
+  <span class="trigger">
+    <xsl:attribute name="id">root<xsl:value-of select="@name"/></xsl:attribute>
+    <xsl:attribute name="onClick">switchNodeCollaping('<xsl:value-of select="@name"/>');</xsl:attribute>
+    <img src="images/adms-element.png"/>
+    <xsl:choose>
+      <xsl:when test="element"><b><xsl:value-of select="@name"/></b></xsl:when>
+      <xsl:otherwise><xsl:value-of select="@name"/></xsl:otherwise>
+    </xsl:choose>
+    <span class="info"><xsl:value-of select="@info"/> (uid="<xsl:value-of select="uid/@format"/>")</span>
+    <br/>
+  </span>
+  <span class="branch">
+    <xsl:attribute name="id"><xsl:value-of select="@name"/></xsl:attribute>
+    <xsl:if test="@name='adms'"><xsl:attribute name="style">display:block</xsl:attribute></xsl:if>
+    <xsl:apply-templates select="uid/scalar|uid/list|scalar|list|warning|comment|element|alias"/>
+  </span>
+</xsl:template>
+<xsl:template match="scalar|list">
+  <span class="trigger">
+    <xsl:choose>
+      <xsl:when test="name(..)='uid'">
+        <img> <xsl:attribute name="src">images/adms-uid.png</xsl:attribute></img>
+        <xsl:variable name="id" select="concat(../../@name, at name)"/>
+      </xsl:when>
+      <xsl:otherwise>
+        <img> <xsl:attribute name="src">images/adms-<xsl:value-of select="name(.)"/>.png</xsl:attribute> </img>
+        <xsl:variable name="id" select="concat(../@name, at name)"/>
+      </xsl:otherwise>
+    </xsl:choose>
+    <xsl:choose>
+      <xsl:when test="@datatypename='basicenumeration' or @datatypename='enumeration'">
+        <span>
+        <xsl:variable name="id" select="concat(../../@name, at name)"/>
+        <xsl:attribute name="onClick">switchNodeCollaping('<xsl:value-of select="$id"/>');</xsl:attribute>
+          (<xsl:value-of select="@datatypename"/>)
+          <xsl:value-of select="@name"/>
+        <span class="info"><xsl:value-of select="@info"/></span>
+        <span class="branch">
+          <xsl:attribute name="id"><xsl:value-of select="$id"/></xsl:attribute>
+          <xsl:for-each select="evalue">
+            <img src="images/adms-enumeration.png"/>
+            <span><xsl:value-of select="@name"/></span>
+            <span class="info"><xsl:value-of select="@info"/></span>
+            <br/>
+          </xsl:for-each>
+        </span>
+        </span>
+      </xsl:when>
+      <xsl:when test="@datatypefamily='reference' and @datatypename!='*'">
+        <span>
+          <xsl:attribute name="onClick">expandNode('<xsl:value-of select="@datatypename"/>');</xsl:attribute>
+          <a>
+            <xsl:attribute name="href">#root<xsl:value-of select="@datatypename"/></xsl:attribute>
+            (<xsl:value-of select="@datatypename"/>)
+          </a>
+          <xsl:value-of select="@name"/>
+        </span>
+        <span class="info"><xsl:value-of select="@info"/></span>
+      </xsl:when>
+      <xsl:otherwise>
+        <span>
+          (<xsl:value-of select="@datatypename"/>)
+          <xsl:value-of select="@name"/>
+        </span>
+        <span class="info"><xsl:value-of select="@info"/></span>
+      </xsl:otherwise>
+    </xsl:choose>
+    <xsl:if test="@format"><span class="info">(uid="<xsl:value-of select="@format"/>")</span></xsl:if>
+  </span>
+</xsl:template>
+<xsl:template match="warning">
+  <span class="comment"><b><xsl:value-of select="text()"/></b></span>
+</xsl:template>
+<xsl:template match="comment">
+  <span class="comment"><xsl:value-of select="text()"/></span>
+</xsl:template>
+<xsl:template match="alias">
+  <span class="trigger">
+    <img src="images/adms-alias.png"/>
+    (alias) <b><xsl:value-of select="../@name"/>/<xsl:value-of select="@name"/></b>
+    same as <b><xsl:value-of select="../@name"/>/<xsl:value-of select="@element"/>/<xsl:value-of select="@attribute"/></b>
+  </span>
+</xsl:template>
+<xsl:template match="text()"></xsl:template>
+<xsl:template match="comment()"></xsl:template>
+
+
+</xsl:stylesheet>
diff --git a/admst.dtd b/admst.dtd
new file mode 100644
index 0000000..601f5b3
--- /dev/null
+++ b/admst.dtd
@@ -0,0 +1,168 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!--
+     This dtd describes the basic data structures used by admst transforms
+     You can try using xmllint: xmllint myadmstscript.xml -noout -postvalid -noblanks
+--> 
+<!--
+  $Id: admst.dtd 1176 2008-12-04 15:02:59Z r29173 $
+-->
+<!--
+  $Log$
+  Revision 1.4  2005/09/14 15:41:31  r29173
+  renamed admst:templates to admst:template (same for apply-template)
+
+  Revision 1.3  2005/08/18 10:45:23  r29173
+  renamed admst:choice to admst:choose (admst:choice tolerated)
+
+  Revision 1.2  2005/03/31 18:12:00  r29173
+  migration to adms-2.x.x: converted to adms2 syntax
+
+  Revision 1.6  2005/03/22 11:30:18  r29173
+  migration to adms-2.x.x: clean-up xml constructs
+
+  Revision 1.5  2005/03/17 08:26:28  r29173
+  migration to adms-2.x.x: move all href from absolute to relative values
+
+  Revision 1.4  2005/02/25 12:49:35  r29173
+  migration to adms-2.x.x: renamed admst transforms
+
+-->
+
+<!ENTITY % anyadmst '#PCDATA|admst:return|admst:fatal|admst:warning|admst:count|admst:choose|admst:copy|admst:getenv|admst:setenv|admst:open|admst:templates|admst:template|admst:if-inside|admst:if-not-inside|admst:differentiate|admst:push|admst:reverse|admst:value-of|admst:new|admst:for-each|admst:reset|admst:if|admst:assert|admst:text|admst:apply-template|admst:apply-templates|admst:join|admst:message|admst:error|admst:value-to|admst:variable|admst:read'>
+
+<!ELEMENT admst (%anyadmst;)*>
+<!ATTLIST admst version CDATA #IMPLIED>
+<!ATTLIST admst xmlns:admst CDATA #IMPLIED>
+<!ATTLIST admst xmlns:m CDATA #IMPLIED>
+<!ATTLIST admst xmlns:html CDATA #IMPLIED>
+
+<!ELEMENT admst:count EMPTY>
+<!ATTLIST admst:count select CDATA #REQUIRED>
+
+<!ELEMENT admst:return EMPTY>
+<!ATTLIST admst:return name CDATA #REQUIRED>
+<!ATTLIST admst:return value CDATA #REQUIRED>
+
+<!ELEMENT admst:choose (admst:when+,admst:otherwise?)>
+<!ELEMENT admst:when (%anyadmst;)*>
+<!ATTLIST admst:when test CDATA #REQUIRED>
+<!ELEMENT admst:otherwise (%anyadmst;)*>
+
+<!ELEMENT admst:open (%anyadmst;)*>
+<!ATTLIST admst:open file CDATA #REQUIRED>
+
+<!ELEMENT admst:copy EMPTY>
+<!ATTLIST admst:copy from CDATA #REQUIRED>
+<!ATTLIST admst:copy to CDATA #REQUIRED>
+
+<!ELEMENT admst:getenv EMPTY>
+<!ATTLIST admst:getenv name CDATA #REQUIRED>
+
+<!ELEMENT admst:setenv EMPTY>
+<!ATTLIST admst:setenv name CDATA #REQUIRED>
+<!ATTLIST admst:setenv value CDATA #REQUIRED>
+
+<!ELEMENT admst:error EMPTY>
+<!ATTLIST admst:error format CDATA #IMPLIED>
+<!ATTLIST admst:error test CDATA #IMPLIED>
+
+<!ELEMENT admst:warning EMPTY>
+<!ATTLIST admst:warning format CDATA #IMPLIED>
+<!ATTLIST admst:warning test CDATA #IMPLIED>
+
+<!ELEMENT admst:message EMPTY>
+<!ATTLIST admst:message format CDATA #IMPLIED>
+<!ATTLIST admst:message test CDATA #IMPLIED>
+
+<!ELEMENT admst:fatal EMPTY>
+<!ATTLIST admst:fatal format CDATA #IMPLIED>
+<!ATTLIST admst:fatal test CDATA #IMPLIED>
+
+<!ELEMENT admst:reset EMPTY>
+<!ATTLIST admst:reset select CDATA #REQUIRED>
+
+<!ELEMENT admst:for-each (%anyadmst;)*>
+<!ATTLIST admst:for-each select CDATA #REQUIRED>
+
+<!ELEMENT admst:templates (%anyadmst;)*>
+<!ATTLIST admst:templates mode CDATA #IMPLIED>
+<!ATTLIST admst:templates match CDATA #IMPLIED>
+<!ELEMENT admst:template (%anyadmst;)*>
+<!ATTLIST admst:template mode CDATA #IMPLIED>
+<!ATTLIST admst:template match CDATA #IMPLIED>
+
+<!ELEMENT admst:new (%anyadmst;)*>
+<!ATTLIST admst:new datatype CDATA #IMPLIED>
+<!ATTLIST admst:new arguments CDATA #IMPLIED>
+<!ATTLIST admst:new inputs CDATA #IMPLIED>
+<!ATTLIST admst:new test CDATA #IMPLIED>
+
+<!ELEMENT admst:if (%anyadmst;)*>
+<!ATTLIST admst:if test CDATA #IMPLIED>
+
+<!ELEMENT admst:read EMPTY>
+<!ATTLIST admst:read file CDATA #IMPLIED>
+
+<!ELEMENT admst:push EMPTY>
+<!ATTLIST admst:push into CDATA #IMPLIED>
+<!ATTLIST admst:push select CDATA #REQUIRED>
+<!ATTLIST admst:push test CDATA #IMPLIED>
+<!ATTLIST admst:push onduplicate CDATA #IMPLIED>
+<!ATTLIST admst:push oncompare CDATA #IMPLIED>
+
+<!ELEMENT admst:variable EMPTY>
+<!ATTLIST admst:variable name CDATA #REQUIRED>
+<!ATTLIST admst:variable value CDATA #IMPLIED>
+<!ATTLIST admst:variable string CDATA #IMPLIED>
+<!ATTLIST admst:variable select CDATA #IMPLIED>
+<!ATTLIST admst:variable test CDATA #IMPLIED>
+<!ATTLIST admst:variable path CDATA #IMPLIED>
+
+<!ELEMENT admst:value-to EMPTY>
+<!ATTLIST admst:value-to select CDATA #REQUIRED>
+<!ATTLIST admst:value-to value CDATA #IMPLIED>
+<!ATTLIST admst:value-to string CDATA #IMPLIED>
+<!ATTLIST admst:value-to test CDATA #IMPLIED>
+<!ATTLIST admst:value-to path CDATA #IMPLIED>
+
+<!ELEMENT admst:differentiate EMPTY>
+<!ATTLIST admst:differentiate select CDATA #REQUIRED>
+<!ATTLIST admst:differentiate probe CDATA #IMPLIED>
+
+<!ELEMENT admst:apply-templates (%anyadmst;)*>
+<!ATTLIST admst:apply-templates select CDATA #REQUIRED>
+<!ATTLIST admst:apply-templates required (yes|no) "yes">
+<!ATTLIST admst:apply-templates match CDATA #IMPLIED>
+<!ATTLIST admst:apply-templates test CDATA #IMPLIED>
+
+<!ELEMENT admst:text EMPTY>
+<!ATTLIST admst:text format CDATA #IMPLIED>
+<!ATTLIST admst:text select CDATA #IMPLIED>
+<!ATTLIST admst:text test CDATA #IMPLIED>
+
+<!ELEMENT admst:value-of EMPTY>
+<!ATTLIST admst:value-of select CDATA #REQUIRED>
+
+<!ELEMENT admst:reverse EMPTY>
+<!ATTLIST admst:reverse select CDATA #REQUIRED>
+
+<!ELEMENT admst:if-inside (%anyadmst;)*>
+<!ATTLIST admst:if-inside test CDATA #IMPLIED>
+<!ATTLIST admst:if-inside list CDATA #IMPLIED>
+<!ATTLIST admst:if-inside select CDATA #IMPLIED>
+
+<!ELEMENT admst:if-not-inside (%anyadmst;)*>
+<!ATTLIST admst:if-not-inside test CDATA #IMPLIED>
+<!ATTLIST admst:if-not-inside list CDATA #IMPLIED>
+<!ATTLIST admst:if-not-inside select CDATA #IMPLIED>
+
+<!ELEMENT admst:assert EMPTY>
+<!ATTLIST admst:assert test CDATA #IMPLIED>
+<!ATTLIST admst:assert format CDATA #IMPLIED>
+<!ATTLIST admst:assert select CDATA #IMPLIED>
+
+<!ELEMENT admst:join (%anyadmst;)*>
+<!ATTLIST admst:join select CDATA #REQUIRED>
+<!ATTLIST admst:join separator CDATA #IMPLIED>
+
+
diff --git a/admst.xml b/admst.xml
new file mode 100644
index 0000000..4544d2a
--- /dev/null
+++ b/admst.xml
@@ -0,0 +1,253 @@
+<?xml version="1.0"?>
+<?xml-stylesheet type="text/xsl" href="admststyle.xsl"?>
+<!DOCTYPE admst SYSTEM "metaadmst.dtd">
+<!-- 
+       This xml file describes the basic data elements used by admst classes
+       its validity is checked by file metaadmst.dtd
+       you can try using xmllint: xmllint admst.xml -noout -postvalid -noblanks
+       see http://xmlsoft.org/
+       Most of the code that builts adms is created automatically from this file
+       Note: xsl script admsstyle.xsl transforms the contents of this file to html format 
+-->
+<!--
+  $Id: admst.xml 1163 2008-11-17 17:50:16Z r29173 $
+-->
+<!--
+  $Log$
+  Revision 1.9  2005/09/14 15:41:31  r29173
+  renamed admst:templates to admst:template (same for apply-template)
+
+  Revision 1.8  2005/08/18 10:45:23  r29173
+  renamed admst:choice to admst:choose (admst:choice tolerated)
+
+  Revision 1.7  2005/03/31 18:12:00  r29173
+  migration to adms-2.x.x: converted to adms2 syntax
+
+  Revision 1.6  2005/03/22 11:30:18  r29173
+  migration to adms-2.x.x: clean-up xml constructs
+
+  Revision 1.5  2005/03/14 17:34:20  r29173
+  migration to adms-2.x.x: start xpath coding; add documentation
+
+  Revision 1.4  2005/02/28 09:22:12  r29173
+  migration to adms-2.x.x: size renamed count
+
+  Revision 1.3  2005/02/28 08:03:29  r29173
+  migration to adms-2.x.x: version required
+
+  Revision 1.2  2005/02/25 14:36:51  r29173
+  migration to adms-2.x.x: fixed test vlatovla
+
+  Revision 1.1  2005/02/25 11:46:17  r29173
+  migration to adms-2.x.x: defines admst namespace
+
+-->
+<admst>
+  <transform name="admst">
+    <attribute-warning name="version" type="text"/>
+  </transform>
+  <transform name="admst:for-each">
+    <attribute name="select" type="path"/>
+    <attribute-implied name="path" type="path"/>
+    <attribute-implied name="test" type="path"/>
+    <exclude name="test" type="path"/>
+  </transform>
+  <transform name="admst:join">
+    <attribute name="select" type="path"/>
+    <attribute-implied name="path" type="path"/>
+    <attribute-implied name="test" type="path"/>
+    <attribute-implied name="separator" type="text"/>
+    <exclude name="test" type="path"/>
+  </transform>
+  <transform name="admst:break">
+    <attribute-implied name="path" type="path"/>
+    <attribute-implied name="test" type="path"/>
+    <exclude name="select" type="path"/>
+  </transform>
+  <transform name="admst:value-of" haschild="no">
+    <attribute name="select" type="path"/>
+    <attribute-implied name="path" type="path"/>
+    <attribute-implied name="test" type="path"/>
+  </transform>
+  <transform name="admst:if-inside" haschild="yes">
+    <attribute name="list" type="text"/>
+    <attribute name="select" type="path"/>
+    <attribute-implied name="path" type="path"/>
+    <attribute-implied name="test" type="path"/>
+  </transform>
+  <transform name="admst:if-not-inside" haschild="yes">
+    <attribute name="list" type="text"/>
+    <attribute name="select" type="path"/>
+    <attribute-implied name="path" type="path"/>
+    <attribute-implied name="test" type="path"/>
+  </transform>
+  <transform name="admst:choose">
+    <obsolete name="admst:choice"/> 
+    <attribute-implied name="path" type="path"/>
+    <attribute-implied name="test" type="path"/>
+    <exclude name="select" type="path"/>
+    <exclude name="test" type="path"/>
+  </transform>
+  <transform name="admst:when">
+    <attribute name="test" type="path"/>
+    <attribute-implied name="path" type="path"/>
+    <exclude name="select" type="path"/>
+  </transform>
+  <transform name="admst:otherwise">
+    <attribute-implied name="path" type="path"/>
+    <attribute-implied name="test" type="path"/>
+    <exclude name="select" type="path"/>
+    <exclude name="test" type="path"/>
+  </transform>
+  <transform name="admst:if" haschild="yes">
+    <attribute name="test" type="path"/>
+    <attribute-implied name="path" type="path"/>
+    <exclude name="select" type="path"/>
+  </transform>
+  <transform name="admst:template">
+    <obsolete name="admst:templates"/> 
+    <attribute name="match" type="text"/>
+    <attribute-implied name="path" type="path"/>
+    <attribute-implied name="test" type="path"/>
+    <attribute-obsolete name="mode"/>
+    <exclude name="select" type="path"/>
+  </transform>
+  <transform name="admst:apply-templates">
+    <obsolete name="admst:apply-template"/> 
+    <attribute name="select" type="path"/>
+    <attribute name="match" type="text"/>
+    <attribute-implied name="path" type="path"/>
+    <attribute-implied name="test" type="path"/>
+    <attribute-implied name="required" type="text"/>
+  </transform>
+  <transform name="admst:return" haschild="no">
+    <attribute name="name" type="text"/>
+    <attribute name="string" type="text"/>
+    <attribute-implied name="path" type="path"/>
+    <attribute-implied name="test" type="path"/>
+    <attribute-obsolete name="value" new="string"/>
+  </transform>
+  <transform name="admst:attribute" haschild="yes">
+    <attribute name="name" type="text"/>
+    <attribute-implied name="path" type="path"/>
+    <attribute-implied name="test" type="path"/>
+    <attribute name="select" type="path"/>
+  </transform>
+  <transform name="admst:variable" haschild="no">
+    <attribute name="name" type="text"/>
+    <xor>
+      <attribute name="string" type="text"/>
+      <attribute name="select" type="text"/>
+      <attribute name="path" type="path"/>
+    </xor>
+    <attribute-implied name="test" type="path"/>
+  </transform>
+  <transform name="admst:value-to" haschild="no">
+    <attribute name="select" type="path"/>
+    <attribute-implied name="string" type="text"/>
+    <attribute-implied name="path" type="path"/>
+    <attribute-implied name="test" type="path"/>
+    <attribute-obsolete name="value" new="string"/>
+  </transform>
+  <transform name="admst:text" haschild="no">
+    <attribute-implied name="format" type="text"/>
+    <attribute-implied name="path" type="path"/>
+    <attribute-implied name="test" type="path"/>
+    <attribute-implied name="select" type="path"/>
+  </transform>
+  <transform name="admst:new">
+    <attribute name="datatype" type="text"/>
+    <xor>
+      <attribute-list name="arguments" type="text"/>
+      <attribute-list name="inputs" type="path"/>
+    </xor>
+    <attribute-implied name="path" type="path"/>
+    <attribute-implied name="test" type="path"/>
+    <exclude name="select" type="path"/>
+  </transform>
+  <transform name="admst:push" haschild="no">
+    <attribute name="select" type="path"/>
+    <attribute name="into" type="path"/>
+    <attribute-implied name="onduplicate" type="text"/>
+    <attribute-implied name="oncompare" type="path"/>
+    <attribute-implied name="path" type="path"/>
+    <attribute-implied name="test" type="path"/>
+  </transform>
+  <transform name="admst:reset" haschild="no">
+    <attribute name="select" type="path"/>
+    <attribute-implied name="path" type="path"/>
+    <attribute-implied name="test" type="path"/>
+  </transform>
+  <transform name="admst:count">
+    <attribute name="select" type="path"/>
+    <attribute-implied name="path" type="path"/>
+    <attribute-implied name="test" type="path"/>
+  </transform>
+  <transform name="admst:reverse">
+    <attribute name="select" type="path"/>
+    <attribute-implied name="path" type="path"/>
+    <attribute-implied name="test" type="path"/>
+  </transform>
+  <transform name="admst:read">
+    <attribute name="file" type="text"/>
+    <attribute-implied name="path" type="path"/>
+    <attribute-implied name="test" type="path"/>
+    <exclude name="select" type="path"/>
+  </transform>
+  <transform name="admst:open">
+    <attribute name="file" type="text"/>
+    <attribute-implied name="path" type="path"/>
+    <attribute-implied name="test" type="path"/>
+    <exclude name="select" type="path"/>
+  </transform>
+  <transform name="admst:setenv" haschild="no">
+    <attribute name="name" type="text"/>
+    <attribute-implied name="path" type="path"/>
+    <attribute-implied name="string" type="text"/>
+    <attribute-implied name="test" type="path"/>
+    <exclude name="select" type="path"/>
+  </transform>
+  <transform name="admst:getenv" haschild="no">
+    <attribute name="name" type="text"/>
+    <attribute-implied name="path" type="path"/>
+    <attribute-implied name="test" type="path"/>
+    <exclude name="select" type="path"/>
+  </transform>
+  <transform name="admst:copy" haschild="no">
+    <attribute name="from" type="text"/>
+    <attribute name="to" type="text"/>
+    <attribute-implied name="path" type="path"/>
+    <attribute-implied name="test" type="path"/>
+    <exclude name="select" type="path"/>
+  </transform>
+  <transform name="admst:assert" haschild="no">
+    <attribute-implied name="format" type="text"/>
+    <attribute name="test" type="path"/>
+    <attribute-implied name="path" type="path"/>
+    <attribute-implied name="select" type="path"/>
+  </transform>
+  <transform name="admst:message" haschild="no">
+    <attribute name="format" type="text"/>
+    <attribute-implied name="path" type="path"/>
+    <attribute-implied name="test" type="path"/>
+    <attribute-implied name="select" type="path"/>
+  </transform>
+  <transform name="admst:warning" haschild="no">
+    <attribute name="format" type="text"/>
+    <attribute-implied name="path" type="path"/>
+    <attribute-implied name="test" type="path"/>
+    <attribute-implied name="select" type="path"/>
+  </transform>
+  <transform name="admst:error" haschild="no">
+    <attribute name="format" type="text"/>
+    <attribute-implied name="path" type="path"/>
+    <attribute-implied name="test" type="path"/>
+    <attribute-implied name="select" type="path"/>
+  </transform>
+  <transform name="admst:fatal" haschild="no">
+    <attribute name="format" type="text"/>
+    <attribute-implied name="path" type="path"/>
+    <attribute-implied name="test" type="path"/>
+    <attribute-implied name="select" type="path"/>
+  </transform>
+</admst>
diff --git a/admstpath.xml b/admstpath.xml
new file mode 100644
index 0000000..d8249da
--- /dev/null
+++ b/admstpath.xml
@@ -0,0 +1,612 @@
+<?xml version="1.0" ?>
+<?xml-stylesheet type="text/xsl" href="admstpathstyle.xsl"?>
+<!DOCTYPE admstpath SYSTEM "metaadmstpath.dtd">
+<!--
+       This XML file describes the basic data elements used by adms classes
+       its validity is checked by file metaadms.dtd
+       you can try using xmllint: xmllint admstpath.xml -noout -postvalid -noblanks
+       see http://xmlsoft.org/
+       Most of the code that builds adms is created automatically from this file
+       Note: XSL semantic admstpathstyle.xsl transforms the contents of this file to HTML format
+-->
+<admstpath>
+
+<lex>
+  <ignore value="*t&&isspace(*t)" id="space">space - ignored during parsing</ignore>
+  <regexp id="openE"><![CDATA[  else if(!strncmp(t,"(",1))
+  {
+    t+=1, mypparse->_tkid=tktk_openE;
+    paren++;
+  }
+]]></regexp>
+  <regexp id="closeE"><![CDATA[  else if(!strncmp(t,")",1))
+  {
+    if(PARENMATCH)
+    {
+      t+=1, mypparse->_tkid=tktk_closeE, adms_slist_pull(&parenidx);
+      adms_slist_pull(&globalctxt);
+    }
+    else
+      t+=1, mypparse->_tkid=tktk_closeE;
+    paren--;
+  }
+]]></regexp>
+  <regexp id="dollarvariable"><![CDATA[  else if(*t=='$'&&*(t+1)=='(')
+  {
+    t++;
+    t++;
+    paren++; adms_slist_push(&parenidx,(p_adms)(long)paren); SETTEXT
+    mypparse->_tkid=tktk_dollarvariable;
+  }
+]]></regexp>
+  <regexp id="kdollarvariable"><![CDATA[  else if(*t=='$')
+  {
+    t++;
+    while(isalnum(*t)||*t=='_') t++;
+    mypparse->_tkstart=tstart+1;
+    mypparse->_tklen=(int)(long)(t-(tstart+1));
+    mypparse->_tkid=tktk_kdollarvariable;
+  }
+]]></regexp>
+  <regexp id="arobavariable"><![CDATA[  else if(*t=='@'&&*(t+1)=='(')
+  {
+    t++;
+    t++;
+    paren++; adms_slist_push(&parenidx,(p_adms)(long)paren); SETTEXT
+    mypparse->_tkid=tktk_arobavariable;
+  }
+]]></regexp>
+  <regexp id="karobavariable"><![CDATA[  else if(*t=='@')
+  {
+    t++;
+    while(isalnum(*t)||*t=='_') t++;
+    mypparse->_tkstart=tstart+1;
+    mypparse->_tklen=(int)(long)(t-(tstart+1));
+    mypparse->_tkid=tktk_karobavariable;
+  }
+]]></regexp>
+  <regexp id="croixcroixvariable"><![CDATA[  else if(*t=='#'&&*(t+1)=='#'&&*(t+2)=='(')
+  {
+    t++;
+    t++;
+    t++;
+    paren++; adms_slist_push(&parenidx,(p_adms)(long)paren); SETTEXT
+    mypparse->_tkid=tktk_croixcroixvariable;
+  }
+]]></regexp>
+  <regexp id="kcroixcroixvariable"><![CDATA[  else if(*t=='#'&&*(t+1)=='#')
+  {
+    t++;
+    t++;
+    while(isalnum(*t)||*t=='_') t++;
+    mypparse->_tkstart=tstart+1;
+    mypparse->_tklen=(int)(long)(t-(tstart+1));
+    mypparse->_tkid=tktk_kcroixcroixvariable;
+  }
+]]></regexp>
+  <regexp id="croixvariable"><![CDATA[  else if(*t=='#'&&*(t+1)=='(')
+  {
+    t++;
+    t++;
+    paren++; adms_slist_push(&parenidx,(p_adms)(long)paren); SETTEXT
+    mypparse->_tkid=tktk_croixvariable;
+  }
+]]></regexp>
+  <regexp id="kcroixvariable"><![CDATA[  else if(*t=='#')
+  {
+    t++;
+    while(isalnum(*t)||*t=='_') t++;
+    mypparse->_tkstart=tstart+1;
+    mypparse->_tklen=(int)(long)(t-(tstart+1));
+    mypparse->_tkid=tktk_kcroixvariable;
+  }
+]]></regexp>
+  <regexp id="oquote"><![CDATA[  else if(*t=='\'')
+  {
+    SETTIC
+    t+=1, mypparse->_tkid=tktk_oquote;
+  }
+]]></regexp>
+  <constant value="!=" id="notequal">Boolean not-equal</constant>
+  <constant value="#*" id="croixstar">croixstar</constant>
+  <constant value=">" id="gt">Boolean gt</constant>
+  <constant value="<" id="lt">Boolean lt</constant>
+  <constant value="*" id="star">used to select all children</constant>
+  <constant value="++" id="incrp">Arithmetic increment</constant>
+  <constant value="--" id="incrm">Arithmetic decrement</constant>
+  <constant value="+" id="addp">Arithmetic addition</constant>
+  <constant value=":" id="column">Arithmetic divide</constant>
+  <constant value="," id="comma">comma</constant>
+  <constant value="|" id="bar">bar</constant>
+  <constant value="-" id="addm">Arithmetic substraction</constant>
+  <constant value=".." id="dotdot">selector of previous admst transform</constant>
+  <constant value="." id="dot">selector of current admst transform</constant>
+  <constant value="/" id="slash">separator between two admstpath items</constant>
+  <constant value="=" id="equal">Boolean equal</constant>
+  <constant value="[" id="openCond">open admstpath condition</constant>
+  <constant value="]" id="closeCond">close admstpath condition</constant>
+  <constant value="admst" id="admst">function: return admst element</constant>
+  <constant value="and" id="and">Boolean and</constant>
+  <constant value="count" id="count">function: return count of argument</constant>
+  <constant value="exists" id="exists">function: return 1 if argument is exists</constant>
+  <constant value="false" id="false">false() function</constant>
+  <constant value="id" id="id">function: generates unique id of argument</constant>
+  <constant value="index" id="index">function: return position if second argument inside first argument</constant>
+  <constant value="isdefault" id="isdefault">function: return 1 if argument has default value</constant>
+  <constant value="lower-case" id="lower_mcase">function: returns argument converted to upper-case string</constant>
+  <constant value="nilled" id="nilled">function: return 1 if argument is nilled</constant>
+  <constant value="not" id="not">inverse Boolean value of admstpath condition</constant>
+  <constant value="or" id="or">Boolean or</constant>
+  <constant value="position" id="position">function: return position of argument</constant>
+  <constant value="returned" id="returned">function: return value from admst:apply-templates </constant>
+  <constant value="reverse" id="reverse">function: reverse order</constant>
+  <constant value="sort" id="sort">function: sort in dictionary order</constant>
+  <constant value="text" id="text">function: prints first argument using format specified in second argument</constant>
+  <constant value="true" id="true">true() function</constant>
+  <constant value="uid" id="uid">function: return uid of element</constant>
+  <constant value="upper-case" id="upper_mcase">function: returns argument converted to upper-case string</constant>
+  <regexp id="ident"><![CDATA[  else if(isalpha(*t))
+  {
+    while(isalnum(*t)||*t=='_'||*t==':') t++;
+    mypparse->_tkid=tktk_ident;
+    mypparse->_tkstart=tstart;
+    mypparse->_tklen=(int)(long)(t-tstart);
+  }
+]]></regexp>
+  <regexp id="real integer">  else if(isdigit(*t))
+  {
+    while(isdigit(*t)) t++;
+    if(*t=='.')
+    {
+      t++;
+      while(isdigit(*t)) t++;
+      mypparse->_tkid=tktk_real;
+      mypparse->_tkstart=tstart;
+      mypparse->_tklen=(int)(long)(t-tstart);
+    }
+    else
+    {
+      mypparse->_tkid=tktk_integer;
+      mypparse->_tkstart=tstart;
+      mypparse->_tklen=(int)(long)(t-tstart);
+    }
+  }
+</regexp>
+</lex>
+
+<yacc>
+  <productionset id="root">
+    <production id="0">
+      <item linkto="pathroot_l"/>
+    </production>
+    <production id="1">
+      <item linkto="goto_atext"/>
+      <item linkto="atext"/>
+    </production>
+  </productionset>
+  <productionset id="pathroot_l" ret="path">
+    <production id="0">
+      <item linkto="pathroot"/>
+    </production>
+    <production id="1">
+      <item linkto="pathroot_l"/>
+      <item linkto="comma"/>
+      <item linkto="pathroot"/>
+    </production>
+  </productionset>
+  <productionset id="pathroot" ret="path">
+    <production id="0">
+      <item linkto="pathbar"/>
+    </production>
+  </productionset>
+  <productionset id="pathbar" ret="slist">
+   <production id="0">
+    <item linkto="pathadd"/>
+   </production>
+   <production id="1">
+    <item linkto="pathbar"/>
+    <item linkto="bar"/>
+    <item linkto="pathadd"/>
+   </production>
+  </productionset>
+  <productionset id="pathadd" ret="slist">
+   <production id="0">
+    <item linkto="pathmult"/>
+   </production>
+   <production id="1">
+    <item linkto="pathadd"/>
+    <item linkto="addp"/>
+    <item linkto="pathmult"/>
+   </production>
+   <production id="2">
+    <item linkto="pathadd"/>
+    <item linkto="addm"/>
+    <item linkto="pathmult"/>
+   </production>
+  </productionset>
+  <productionset id="pathmult" ret="slist">
+   <production id="0">
+    <item linkto="pathunary"/>
+   </production>
+   <production id="1">
+    <item linkto="pathmult"/>
+    <item linkto="star"/>
+    <item linkto="pathunary"/>
+   </production>
+   <production id="2">
+    <item linkto="pathmult"/>
+    <item linkto="column"/>
+    <item linkto="pathunary"/>
+   </production>
+  </productionset>
+  <productionset id="pathunary" ret="slist">
+   <production id="0">
+    <item linkto="pathincr"/>
+   </production>
+   <production id="1">
+    <item linkto="addp"/>
+    <item linkto="pathincr"/>
+   </production>
+   <production id="2">
+    <item linkto="addm"/>
+    <item linkto="pathincr"/>
+   </production>
+  </productionset>
+  <productionset id="pathincr" ret="slist">
+   <production id="0">
+    <item linkto="pathsep0"/>
+   </production>
+   <production id="1">
+    <item linkto="pathsep0"/>
+    <item linkto="incrp"/>
+   </production>
+   <production id="2">
+    <item linkto="pathsep0"/>
+    <item linkto="incrm"/>
+   </production>
+  </productionset>
+  <productionset id="pathsep0" ret="slist">
+   <production id="0">
+    <item linkto="pathsep"/>
+   </production>
+   <production id="1">
+    <item linkto="pathsep"/>
+    <item linkto="slash"/>
+   </production>
+   <production id="2">
+    <item linkto="slash"/>
+    <item linkto="pathsep"/>
+   </production>
+   <production id="3">
+    <item linkto="slash"/>
+    <item linkto="pathsep"/>
+    <item linkto="slash"/>
+   </production>
+  </productionset>
+  <productionset id="pathsep" ret="slist">
+   <production id="0">
+    <item linkto="locationcondition"/>
+   </production>
+   <production id="1">
+    <item linkto="pathsep"/>
+    <item linkto="slash"/>
+    <item linkto="locationcondition"/>
+   </production>
+  </productionset>
+  <productionset id="locationcondition" ret="path">
+   <production id="0">
+    <item linkto="location"/>
+    <item linkto="condition"/>
+   </production>
+   <production id="1">
+    <item linkto="condition"/>
+   </production>
+   <production id="2">
+    <item linkto="location"/>
+   </production>
+   <production id="3">
+    <item linkto="openE"/>
+    <item linkto="pathroot"/>
+    <item linkto="closeE"/>
+   </production>
+  </productionset>
+
+  <productionset id="identifier" ret="path">
+   <production id="0">
+    <item linkto="ident"/>
+   </production>
+  </productionset>
+  <productionset id="location" ret="path">
+   <production id="0" label="dot">
+    <item linkto="dot"/>
+   </production>
+   <production id="1" label="dotdot">
+    <item linkto="dotdot"/>
+   </production>
+   <production id="10" label="intp">
+    <item linkto="integer"/>
+   </production>
+   <production id="7" label="realp">
+    <item linkto="real"/>
+   </production>
+   <production id="3" label="ident">
+    <item linkto="ident"/>
+   </production>
+   <production id="3" label="ident.index">
+    <item linkto="index"/>
+   </production>
+   <production id="4" label="kdollar">
+    <item linkto="kdollarvariable"/>
+   </production>
+   <production id="5" label="karoba" >
+    <item linkto="karobavariable"/>
+   </production>
+   <production id="13" label="kcroix">
+    <item linkto="kcroixvariable"/>
+   </production>
+   <production id="12" label="kcroixcroix">
+    <item linkto="kcroixcroixvariable"/>
+   </production>
+   <production id="4" label="dollar" ret="var-$">
+    <item linkto="dollarvariable"/>
+    <item linkto="atext"/>
+    <item linkto="closeE"/>
+   </production>
+   <production id="5" label="aroba" ret="var-@">
+    <item linkto="arobavariable"/>
+    <item linkto="atext"/>
+    <item linkto="closeE"/>
+   </production>
+   <production id="13" label="croix" ret="var-#">
+    <item linkto="croixvariable"/>
+    <item linkto="atext"/>
+    <item linkto="closeE"/>
+   </production>
+   <production id="12" label="croixcroix" ret="var-##">
+    <item linkto="croixcroixvariable"/>
+    <item linkto="atext"/>
+    <item linkto="closeE"/>
+   </production>
+   <production id="9" label="q">
+    <item linkto="quotedstring"/>
+   </production>
+   <production id="2" label="function.ident">
+    <item linkto="identifier"/>
+    <item linkto="openE"/>
+    <item linkto="pathroot"/>
+    <item linkto="closeE"/>
+   </production>
+   <production id="20" label="function.uid">
+    <item linkto="uid"/>
+    <item linkto="openE"/>
+    <item linkto="pathroot"/>
+    <item linkto="closeE"/>
+   </production>
+   <production id="21" label="function.id">
+    <item linkto="id"/>
+    <item linkto="openE"/>
+    <item linkto="pathroot"/>
+    <item linkto="closeE"/>
+   </production>
+   <production id="22" label="function.sort">
+    <item linkto="sort"/>
+    <item linkto="openE"/>
+    <item linkto="pathroot"/>
+    <item linkto="closeE"/>
+   </production>
+   <production id="23" label="function.reverse">
+    <item linkto="reverse"/>
+    <item linkto="openE"/>
+    <item linkto="pathroot"/>
+    <item linkto="closeE"/>
+   </production>
+   <production id="24" label="function.uc">
+    <item linkto="upper_mcase"/>
+    <item linkto="openE"/>
+    <item linkto="pathroot"/>
+    <item linkto="closeE"/>
+   </production>
+   <production id="25" label="function.lc">
+    <item linkto="lower_mcase"/>
+    <item linkto="openE"/>
+    <item linkto="pathroot"/>
+    <item linkto="closeE"/>
+   </production>
+   <production id="27" label="function.count">
+    <item linkto="count"/>
+    <item linkto="openE"/>
+    <item linkto="pathroot"/>
+    <item linkto="closeE"/>
+   </production>
+   <production id="14" label="function.admst">
+    <item linkto="admst"/>
+    <item linkto="openE"/>
+    <item linkto="dot"/>
+    <item linkto="closeE"/>
+   </production>
+   <production id="19" label="function.index">
+    <item linkto="index"/>
+    <item linkto="openE"/>
+    <item linkto="pathroot"/>
+    <item linkto="comma"/>
+    <item linkto="pathroot"/>
+    <item linkto="closeE"/>
+   </production>
+   <production id="26" label="function.text">
+    <item linkto="text"/>
+    <item linkto="openE"/>
+    <item linkto="quotedstring"/>
+    <item linkto="closeE"/>
+   </production>
+   <production id="15" label="function.returned">
+    <item linkto="returned"/>
+    <item linkto="openE"/>
+    <item linkto="quotedstring"/>
+    <item linkto="closeE"/>
+   </production>
+   <production id="18" label="function.pos">
+    <item linkto="position"/>
+    <item linkto="openE"/>
+    <item linkto="dot"/>
+    <item linkto="closeE"/>
+   </production>
+   <production id="130" label="croixstar">
+    <item linkto="croixstar"/>
+   </production>
+   <production id="200" label="ticptr">
+    <item linkto="ticptr"/>
+   </production>
+  </productionset>
+  <productionset id="quotedstring" ret="text">
+   <production id="0">
+    <item linkto="oquote"/>
+    <item linkto="atext"/>
+    <item linkto="cquote"/>
+   </production>
+   <production id="1">
+    <item linkto="oquote"/>
+    <item linkto="cquote"/>
+   </production>
+  </productionset>
+  <productionset id="atext" ret="slist">
+   <production id="0">
+    <item linkto="atextitem"/>
+   </production>
+   <production id="1">
+    <item linkto="atext"/>
+    <item linkto="atextitem"/>
+   </production>
+  </productionset>
+  <productionset id="atextitem" ret="path">
+   <production id="0">
+    <item linkto="cr"/>
+   </production>
+   <production id="1">
+    <item linkto="lf"/>
+   </production>
+   <production id="2">
+    <item linkto="tab"/>
+   </production>
+   <production id="3">
+    <item linkto="anytext"/>
+   </production>
+   <production id="4">
+    <item linkto="percents"/>
+   </production>
+   <production id="5">
+    <item linkto="dollarvariable"/>
+    <item linkto="atext"/>
+    <item linkto="closeE"/>
+   </production>
+   <production id="6">
+    <item linkto="kdollarvariable"/>
+   </production>
+   <production id="7">
+    <item linkto="percent"/>
+    <item linkto="pathroot"/>
+    <item linkto="closeE"/>
+   </production>
+  </productionset>
+  <productionset id="condition" ret="path">
+   <production id="0">
+    <item linkto="openCond"/>
+    <item linkto="expression"/>
+    <item linkto="closeCond"/>
+   </production>
+  </productionset>
+  <productionset id="expression" ret="path">
+    <production id="0">
+      <item linkto="binaryor"/>
+    </production>
+    <production id="1">
+      <item linkto="pathroot"/>
+    </production>
+  </productionset>
+  <productionset id="binaryor" ret="path">
+    <production id="0">
+      <item linkto="binaryand"/>
+    </production>
+    <production id="1">
+      <item linkto="binaryor"/>
+      <item linkto="or"/>
+      <item linkto="binaryand"/>
+    </production>
+  </productionset>
+  <productionset id="binaryand" ret="path">
+    <production id="0">
+      <item linkto="unary"/>
+    </production>
+    <production id="1">
+      <item linkto="binaryand"/>
+      <item linkto="and"/>
+      <item linkto="unary"/>
+    </production>
+  </productionset>
+  <productionset id="unary" ret="path">
+    <production id="0">
+      <item linkto="atomic"/>
+    </production>
+    <production id="1">
+      <item linkto="not"/>
+      <item linkto="atomic"/>
+    </production>
+  </productionset>
+  <productionset id="atomic" ret="path">
+    <production label="groupage" id="3">
+      <item linkto="openE"/>
+      <item linkto="binaryor"/>
+      <item linkto="closeE"/>
+    </production>
+    <production label="eq" id="8">
+      <item linkto="pathroot"/>
+      <item linkto="equal"/>
+      <item linkto="pathroot"/>
+    </production>
+    <production label="ne" id="9">
+      <item linkto="pathroot"/>
+      <item linkto="notequal"/>
+      <item linkto="pathroot"/>
+    </production>
+    <production label="lt" id="10">
+      <item linkto="pathroot"/>
+      <item linkto="lt"/>
+      <item linkto="pathroot"/>
+    </production>
+    <production label="gt" id="11">
+      <item linkto="pathroot"/>
+      <item linkto="gt"/>
+      <item linkto="pathroot"/>
+    </production>
+    <production label="nilled" id="0">
+      <item linkto="nilled"/>
+      <item linkto="openE"/>
+      <item linkto="pathroot"/>
+      <item linkto="closeE"/>
+    </production>
+    <production label="exists" id="1">
+      <item linkto="exists"/>
+      <item linkto="openE"/>
+      <item linkto="pathroot"/>
+      <item linkto="closeE"/>
+    </production>
+    <production label="isdefault" id="2">
+      <item linkto="isdefault"/>
+      <item linkto="openE"/>
+      <item linkto="ident"/>
+      <item linkto="closeE"/>
+    </production>
+    <production label="true" id="5">
+      <item linkto="true"/>
+      <item linkto="openE"/>
+      <item linkto="closeE"/>
+    </production>
+    <production label="false" id="6">
+      <item linkto="false"/>
+      <item linkto="openE"/>
+      <item linkto="closeE"/>
+    </production>
+  </productionset>
+</yacc>
+
+</admstpath>
+
diff --git a/admstpathstyle.xsl b/admstpathstyle.xsl
new file mode 100644
index 0000000..3b905aa
--- /dev/null
+++ b/admstpathstyle.xsl
@@ -0,0 +1,304 @@
+<?xml version="1.0" encoding="ISO-8859-1"?>
+<!-- 
+       This xsl file defines the stylesheet of file
+       http://mot-adms/sourceforge.net/xml-files/adms.xml 
+-->
+<!--
+  $Id: admstpathstyle.xsl 1003 2008-05-15 17:25:30Z r29173 $
+-->
+<!--
+  $Log$
+  Revision 1.18  2006/09/20 09:26:35  r29173
+  changed construction of probe (test on mtj/moscap3 simkit fail)
+
+  Revision 1.17  2006/09/14 11:23:20  r29173
+  migration to www.antlr.org tools
+
+  Revision 1.16  2006/09/13 16:13:19  r29173
+  started migration to www.antlr.org tools
+
+  Revision 1.15  2005/12/08 10:11:20  r29173
+  fixed bad index in mytext array - replace indexes by names
+
+  Revision 1.14  2005/12/05 17:15:01  r29173
+  improved admst parser (no tokentext for constant terminals)
+
+  Revision 1.13  2005/11/30 13:58:17  r29173
+  added nodes maincode and headercode
+
+  Revision 1.12  2005/04/04 15:23:52  r29173
+  improved web presentation
+
+  Revision 1.11  2005/04/04 14:48:37  r29173
+  improved web presentation
+
+  Revision 1.10  2005/03/31 18:12:01  r29173
+  migration to adms-2.x.x: converted to adms2 syntax
+
+  Revision 1.9  2005/03/24 17:39:02  r29173
+  migration to adms-2.x.x: moved c-code from perl to xml
+
+  Revision 1.8  2005/03/24 17:03:27  r29173
+  migration to adms-2.x.x: fixed bugs in admstpath parser
+
+  Revision 1.7  2005/03/23 15:16:54  r29173
+  add button displaySemantic
+
+  Revision 1.6  2005/03/23 13:38:33  r29173
+  migration to adms-2.x.x: added xpath parser
+
+  Revision 1.5  2005/03/22 11:30:18  r29173
+  migration to adms-2.x.x: clean-up xml constructs
+
+  Revision 1.4  2005/03/17 11:46:04  r29173
+  migration to adms-2.x.x: cosmetics
+
+  Revision 1.3  2005/03/17 08:26:28  r29173
+  migration to adms-2.x.x: move all href from absolute to relative values
+
+  Revision 1.2  2005/03/16 16:35:32  r29173
+  migration to adms-2.x.x: fix spelling
+
+  Revision 1.1  2005/03/16 16:17:17  r29173
+  migration to adms-2.x.x: build prerequisites for admst path
+
+  Revision 1.5  2005/03/14 17:58:48  r29173
+  migration to adms-2.x.x: improved documentation
+
+  Revision 1.4  2005/03/14 17:34:20  r29173
+  migration to adms-2.x.x: start xpath coding; add documentation
+
+  Revision 1.3  2005/02/25 12:49:35  r29173
+  migration to adms-2.x.x: renamed admst transforms
+
+-->
+<xsl:stylesheet version="1.0" xmlns:xsl="http://www.w3.org/1999/XSL/Transform">
+<xsl:output method="html"/>
+<xsl:template match="/">
+<html xmlns:admstpath="http://mot-adms/sourceforge.net">
+  <head>
+    <meta http-equiv="Content-Type" content="text/html; charset=iso-8859-1" />
+    <title>admstpath.xml: The admst Path Syntax - Reference Document</title>
+    <meta name="generator" content="manual, see http://mot-adms.sourceforge.net/" />
+    <link rel="stylesheet" type="text/css" href="./adms.css" />
+    <script type="text/javascript">
+    <![CDATA[
+      function displaySemantic()
+      {
+         var Obj = document.getElementsByTagName("button");
+         for(var i=0;i<(Obj.length);i++)
+         {
+           if(Obj[i].className=="callbackVisible")
+             Obj[i].className="callbackHidden"
+           else if(Obj[i].className=="callbackHidden")
+             Obj[i].className="callbackVisible"
+         }
+      }
+      function showSemanticCCode(arg)
+      {
+         var myObj = document.getElementById(arg);
+         if(!myObj)
+         {
+           alert("code "+arg+" not found");
+           return;
+         }
+         var myString = new String(myObj.firstChild.data);
+         confirm(arg+"\n"+myString);
+      }
+    ]]>
+    </script>
+  </head>
+  <body>
+  <h1>Introduction</h1>
+  <p>
+  This section gives the details of the yacc grammar used to build the admst path parser.
+  The admst path is very similar to the xml xpath language.
+  In future releases of adms we plan to substitute the admst path by the xml xpath language.
+  Lot of development is on going on importing the xpath technology to adms.
+  </p>
+  <h1>Example</h1>
+  <p>
+  Some examples of valid admst paths
+  </p>
+  <table border="1">
+  <label></label>
+  <caption><p>admst path: examples</p></caption>
+  <thead>
+    <tr>
+      <td>admst path</td>
+      <td>description</td>
+    </tr>
+  </thead>
+  <tbody>
+  <tr>
+  <td><![CDATA[/module]]></td>
+  <td>select all modules</td>
+  </tr>
+  <tr>
+  <td><![CDATA[node[location='external']]]></td>
+  <td>select nodes the position of which is external - in other words select terminals</td>
+  </tr>
+  <tr>
+  <td><![CDATA[node[location='external' or name='gate']]]></td>
+  <td>select terminal the name of which is 'gate'</td>
+  </tr>
+  </tbody>
+  </table>
+  <h1>admst path: Grammar Definition</h1>
+  <p>
+  The admst path grammar description is very simple.
+  This is due to the limitations of the method applied to build the parser into adms
+  (predicative parser).
+  But it is good enough for most of the current applications that use adms. 
+  </p>
+  <button onClick="displaySemantic()">display semantic</button>
+  <p>
+  <xsl:for-each select="/admstpath/yacc/code">
+    <pre style="display:none;">
+      <xsl:attribute name="id"><xsl:value-of select="@id"/></xsl:attribute>
+      <xsl:value-of select="text()"/>
+    </pre>
+  </xsl:for-each>
+  <xsl:for-each select="/admstpath/regexp">
+    <pre style="display:none;">
+      <xsl:attribute name="id">
+        <xsl:value-of select="@id"/>
+      </xsl:attribute>
+      <xsl:value-of select="text()"/>
+    </pre>
+  </xsl:for-each>
+  <ul class="freegrammar_productionset">
+  <xsl:for-each select="/admstpath/yacc/productionset">
+  <li class="freegrammar_productionset">
+    <xsl:value-of select="@id"/>
+    <ul>
+    <xsl:for-each select="production">
+    <li class="productionset_production">
+      <span><xsl:value-of select="@id"/><xsl:text>. </xsl:text></span>
+      <xsl:for-each select="item">
+        <span class="freegrammar_productionset_production_item">
+        <xsl:variable name="id" select="@linkto"/>
+        <xsl:choose>
+          <xsl:when test="/admstpath/lex/constant[@id=$id]">
+            <a href="#{generate-id(/admstpath/lex/constant[@id=$id])}">
+            <span class="production_constant"><xsl:value-of select="/admstpath/lex/constant[@id=$id]/@value"/></span>
+            </a>
+          </xsl:when>
+          <xsl:when test="/admstpath/lex/regexp[contains(@id,$id)]">
+            <a href="#{generate-id(/admstpath/lex/regexp[contains(@id,$id)])}">
+              <span class="production_regexp"><xsl:value-of select="$id"/></span>
+            </a>
+          </xsl:when>
+          <xsl:otherwise>
+            <span class="production_productionset"><xsl:value-of select="$id"/></span>
+          </xsl:otherwise>
+        </xsl:choose>
+        <xsl:text> </xsl:text>
+        </span>
+        <xsl:for-each select="callback">
+          <button class="callbackVisible">
+            <xsl:attribute name="onClick">
+              showSemanticCCode('<xsl:value-of select="@id"/>')
+            </xsl:attribute>
+            <xsl:value-of select="@id"/>
+          </button>
+        </xsl:for-each>
+        <xsl:text> </xsl:text>
+      </xsl:for-each>
+    </li>
+    </xsl:for-each>
+    <xsl:for-each select="empty">
+      <li class="productionset_production">
+        <span class="production_empty">Ø</span>
+        <xsl:text> </xsl:text>
+        <xsl:for-each select="callback">
+          <button class="callbackVisible">
+            <xsl:attribute name="onClick">
+              showSemanticCCode('<xsl:value-of select="@id"/>')
+            </xsl:attribute>
+            <xsl:value-of select="@id"/>
+          </button>
+        </xsl:for-each>
+      </li>
+    </xsl:for-each>
+    </ul>
+  </li>
+  </xsl:for-each>
+  </ul>
+  </p>
+  <h1>admst path: lexical terminals</h1>
+  <table-wrap id="adms.xml.table">
+  <label></label>
+  <table border="1">
+  <caption><p>admst path: lexical terminals</p></caption>
+  <thead>
+    <tr>
+      <td>terminal</td>
+      <td>regexp</td>
+      <td>description</td>
+    </tr>
+  </thead>
+  <tbody>
+  <xsl:for-each select="/admstpath/lex/constant">
+    <tr>
+      <td>
+        <a name="{generate-id(.)}"><xsl:value-of select="@id"/></a>
+      </td>
+      <td style="text-align: center;">
+        <xsl:variable name="regexp" select="@value"/>
+        <xsl:if test="/admstpath/regexp[@id=$regexp]">
+          <span>
+            <xsl:attribute name="onClick">showSemanticCCode('<xsl:value-of select="@value"/>')</xsl:attribute>
+            <xsl:attribute name="style">color:blue;</xsl:attribute>
+            <xsl:value-of select="$regexp"/>
+          </span>
+        </xsl:if>
+        <xsl:if test="not(/admstpath/regexp[@id=$regexp])">
+          <xsl:value-of select="$regexp"/>
+        </xsl:if>
+      </td>
+      <td><xsl:value-of select="text()"/></td>
+    </tr>
+  </xsl:for-each>
+  <xsl:for-each select="/admstpath/lex/regexp">
+    <tr>
+      <td>
+        <a name="{generate-id(.)}"><xsl:value-of select="@id"/></a>
+      </td>
+      <td style="text-align: center;">
+        <xsl:variable name="regexp" select="@value"/>
+        <xsl:if test="/admstpath/regexp[@id=$regexp]">
+          <span>
+            <xsl:attribute name="onClick">showSemanticCCode('<xsl:value-of select="@value"/>')</xsl:attribute>
+            <xsl:attribute name="style">color:blue;</xsl:attribute>
+            <xsl:value-of select="$regexp"/>
+          </span>
+        </xsl:if>
+        <xsl:if test="not(/admstpath/regexp[@id=$regexp])">
+          <xsl:value-of select="$regexp"/>
+        </xsl:if>
+      </td>
+      <td><xsl:value-of select="text()"/></td>
+    </tr>
+  </xsl:for-each>
+  </tbody>
+  </table>
+  </table-wrap>
+  <hr/>
+  <p>
+  This page has been automatically created by applying the xsl transform
+  <a href="./admstpathstyle.xsl">admstpathstyle.xsl</a>
+  to the xml data file
+  <a href="./admstpath.xml">admstpath.xml</a>.
+  <br/>
+  The rendering of the page is specified by the css stylesheet
+  <a href="./adms.css">adms.css</a>.
+  You can get the full contents of data file 
+  <a href="./adms.xml">admstpath.xml</a>
+  by viewing the source of the document from your web browser.
+  Please address any comments to <a href="mailto:r29173 at users.sourceforge.net">r29173</a>.
+  </p>
+  </body>
+</html>
+</xsl:template>
+</xsl:stylesheet>
diff --git a/admststyle.xsl b/admststyle.xsl
new file mode 100644
index 0000000..b761a90
--- /dev/null
+++ b/admststyle.xsl
@@ -0,0 +1,269 @@
+<?xml version="1.0" encoding="ISO-8859-1"?>
+<!-- 
+       This xsl file defines the stylesheet of file
+       http://mot-adms/sourceforge.net/xml-files/admst.xml 
+-->
+<!--
+  $Id: admststyle.xsl 1053 2008-08-07 16:30:07Z r29173 $
+-->
+<!--
+  $Log$
+  Revision 1.10  2005/05/10 08:31:39  r29173
+  improved documentation
+
+  Revision 1.9  2005/04/04 14:48:37  r29173
+  improved web presentation
+
+  Revision 1.8  2005/03/24 17:03:27  r29173
+  migration to adms-2.x.x: fixed bugs in admstpath parser
+
+  Revision 1.7  2005/03/22 11:30:18  r29173
+  migration to adms-2.x.x: clean-up xml constructs
+
+  Revision 1.6  2005/03/17 08:26:28  r29173
+  migration to adms-2.x.x: move all href from absolute to relative values
+
+  Revision 1.5  2005/03/14 17:34:20  r29173
+  migration to adms-2.x.x: start xpath coding; add documentation
+
+  Revision 1.4  2005/02/28 09:22:12  r29173
+  migration to adms-2.x.x: admst:size renamed admst:count
+
+  Revision 1.3  2005/02/28 08:18:01  r29173
+  migration to adms-2.x.x: table created
+
+  Revision 1.2  2005/02/28 08:03:29  r29173
+  migration to adms-2.x.x: admst:version required
+
+  Revision 1.1  2005/02/25 11:46:17  r29173
+  migration to adms-2.x.x: defines admst namespace
+
+<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.1//EN" "http://www.w3.org/TR/xhtml11/DTD/xhtml11.dtd">
+-->
+<xsl:stylesheet version="1.0" xmlns:xsl="http://www.w3.org/1999/XSL/Transform">
+<xsl:preserve-space elements="*"/>
+<xsl:output method="html"/>
+<xsl:template match="/">
+<html xmlns:admst="http://mot-adms/sourceforge.net">
+  <head>
+    <meta http-equiv="Content-Type" content="text/html; charset=iso-8859-1" />
+    <title>admst.xsl: The admst Language - Reference Document</title>
+    <meta name="generator" content="manual, see http://mot-adms.sourceforge.net/" />
+    <link rel="stylesheet" type="text/css" href="./adms.css" />
+  </head>
+  <body>
+  <h1 class="title">Reference Manual of admst-2 Language</h1>
+  <p>
+  <span class="freescale">A Freescale.com !n!t!at!ve</span>
+  <span class="sourceforge">Supported by www.sourceforge.net</span>
+  </p>
+  <h1>Introduction</h1>
+  <p>
+  This document is the reference document of the admst language.
+  admst is a subset of the xml language. Its DTD can be found at 
+  <a href="./admst.dtd">admst.dtd</a>.
+  admst is used by adms to transform verilog-ams source code into any kind of outputs
+  (html documents, C code for spice simulators.) The primary application of admst is to create
+  C code generators that generate from verilog-ams language ready-to-compile C code for some simulator-specific API.
+  However its use can be extended to other applications like the automatic generation of html documentation. Most of the syntax used by admst has been borrowed from the xsl language.<br/>
+  The command below shows how adms interprets admst scripts (myadmst.xml is an admst script and myverilogams.va 
+  is a verilog-ams file):
+  </p>
+  <span class="shell_code">admsXml myverilogams.va -e myadmst.xml</span>
+  <p>
+  admsXml interprets the admst intructions found in file myadmst.xml and apply the instructions
+  to the contents of the verilog-ams file myverilogams.va. It will produce some output files
+  according to the admst transforms specified into myadmst.xml.
+  </p>
+  <h1>Example of admst script</h1>
+  <pre class="admst_code">
+  <![CDATA[
+  1. <?xml version="1.0" encoding="ISO-8859-1"?>
+  2. <!DOCTYPE admst PUBLIC "-//adms//DTD admst 2.0//-" "http://mot-adms.sourceforge.net/xml-files/adms/admst.dtd">
+  3. <admst version="1.48.0" xmlns:admst="http://mot-adms.sourceforge.net/adms/admst.xml">
+  4.  <admst:getenv name="HOME"/>
+  5.  <admst:text format="HOME is %s\n"/>
+  6. </admst>]]>
+  </pre>
+  <ul>
+  <li>
+    Line 1. is required.
+     It is not used by admsXml but it helps xml tools to identify the contents of the admst file.
+  </li>
+  <li>
+    Line 2. is required.
+     It defines a link to the DTD of the admst language. The admst DTD is similar to a yacc grammar.
+     It specifies the syntax of the admst language. 
+     It is not used by adms.
+     If program xmllint is installed on your system then the following command can check the validity of script
+     myadmst.xml against DTD <a href="./admst.dtd">admst.dtd</a>:
+  <p><span class="shell_code">
+    xmllint admst.xml -noout -postvalid -noblanks
+  </span></p>
+  </li>
+  <li>
+    Line 3. and Line 6. are required.
+      They delimit the declaration of the admst transforms.
+  </li>
+  <li>
+    Line 4. illustrates the use of admst transform admst:getenv.
+    admst:getenv has one attribute called <i>name</i>. Transform
+    admst:getenv will look for the value of shell environement variable
+    <i>HOME</i> and pushes its value to a internal stack created by admsXml.
+  </li>
+  <li>
+    Line 5. illustrates the use of admst transform admst:text.
+    admst:text has one attribute called <i>format</i>. Transform
+    admst:text will print the text specified into attribute <i>format</i>. 
+    Each occurence of <i>%s</i> will be replaced by the top value of the internal
+    stack created by admsXml. 
+  </li>
+  </ul>
+  <h1>List of admst Transforms</h1>
+  <p>
+   The list below provides a brief description of all possible admst transforms.
+   Each admst transform has a set of attributes that modify or refine its behavior.
+   An attribute is made of a name and a value: attrName="attrValue".
+   The container of value attrValue can either be a scalar or a list.
+   The data type of value attrValue can either be a basic type (integer, real, string, enumeration) or
+   a reference to an adms element (module, node, branch, ...).
+   adms uses attribute properties (datatypefamily, datatypename, size) to check dynamically the validity of attrValue.
+   A star ("*") means that attrValue can be any adms element or can be of any type.
+  </p>
+  <p>
+  Examples:
+  <ul>
+    <li>attribute <i>version</i> of admst transform <i>admst</i> can only be a scalar string.</li>
+    <li>attribute <i>select</i> of admst transform <i>admst:for-each</i> can only be a list of any adms elements.</li>
+  </ul>
+  </p>
+  <table-wrap id="admst.xml.table">
+  <label></label>
+  <table border="1">
+  <caption><p>admst transforms</p></caption>
+  <thead>
+    <tr>
+      <td rowspan="2">name</td>
+      <td colspan="4" style="text-align: center;">attributes</td>
+    </tr>
+    <tr>
+      <td rowspan="2">name</td>
+      <td>type</td>
+      <td>size</td>
+      <td>required</td>
+    </tr>
+  </thead>
+  <tbody>
+  <xsl:for-each select="admst/transform">
+    <xsl:sort select="@name"/>
+    <xsl:variable name="tname" select="@name"/>
+    <xsl:variable name="size"
+      select="count(attribute|attribute-implied|attribute-obsolete|attribute-warning|attribute-list|*/attribute|*/attribute-list)"/>
+    <xsl:for-each select="attribute|attribute-implied|attribute-obsolete|attribute-warning|attribute-list|*/attribute|*/attribute-list">
+      <tr>
+        <xsl:if test="position()=1">
+          <td>
+            <xsl:attribute name="rowspan"><xsl:value-of select="$size" /></xsl:attribute> 
+            <a>
+              <xsl:attribute name="href">#howtouse:<xsl:value-of select="$tname"/></xsl:attribute> 
+              <xsl:value-of select="$tname"/>
+            </a>
+          </td>
+        </xsl:if>
+        <td><xsl:value-of select="@name"/></td>
+        <td>
+          <xsl:choose>
+            <xsl:when test="name(.)='attribute-obsolete'">
+              <xsl:choose>
+                <xsl:when test="@new">obsolete - see <xsl:value-of select="@new"/></xsl:when>
+                <xsl:otherwise>obsolete - ignored</xsl:otherwise>
+              </xsl:choose>
+            </xsl:when>
+            <xsl:otherwise><xsl:value-of select="@type"/></xsl:otherwise>
+          </xsl:choose>
+        </td>
+        <td>
+          <xsl:choose>
+            <xsl:when test="name(.)='attribute-list'">list</xsl:when>
+            <xsl:otherwise>scalar</xsl:otherwise>
+          </xsl:choose>
+        </td>
+        <td>
+          <xsl:choose>
+            <xsl:when test="name(.)='attribute-warning'">warn</xsl:when>
+            <xsl:when test="name(.)='attribute'">yes</xsl:when>
+            <xsl:otherwise>no</xsl:otherwise>
+          </xsl:choose>
+        </td>
+      </tr>
+    </xsl:for-each>
+  </xsl:for-each>
+  </tbody>
+  </table>
+  </table-wrap>
+  <!--xsl:for-each select="admst/transform">
+    <xsl:sort select="@name"/>
+    <h1><a>
+      <xsl:attribute name="id">howtouse:<xsl:value-of select="@name"/>
+      </xsl:attribute> 
+      <xsl:value-of select="@name"/>
+    </a></h1>
+    <h2>Syntax</h2>
+    <p>
+    <span class="admst_transform">
+      <xsl:text><</xsl:text>
+      admst:<xsl:value-of select="@name"/>
+      <xsl:for-each select="attribute">
+        <xsl:sort select="@name"/>
+        <xsl:text> </xsl:text>
+        <xsl:if test="@required='no'">
+          <xsl:text>[</xsl:text>
+        </xsl:if>
+        <xsl:value-of select="@name"/>
+        <xsl:text>="..."</xsl:text>
+        <xsl:if test="@required='no'">
+          <xsl:text>]</xsl:text>
+        </xsl:if>
+      </xsl:for-each>
+      <xsl:text>/></xsl:text>
+    </span>
+      <xsl:value-of select="syntax/text()"/>
+    </p>
+    <h2>Description</h2>
+    <p>
+      <p><xsl:value-of select="description/text()"/></p>
+      <ul>
+      <xsl:if test="not(count(attribute))">    
+        <li>No attribute</li>
+      </xsl:if>
+      <xsl:for-each select="attribute">
+        <li>
+        <span class="admst_attribute"><xsl:value-of select="@name"/></span>:
+        <xsl:value-of select="description/text()"/>
+        </li>
+      </xsl:for-each>
+      </ul>
+    </p>
+    <h2>Example</h2>
+    <p>
+      <xsl:value-of select="example/text()"/>
+    </p>
+  </xsl:for-each-->
+  <hr/>
+  <p>
+  This page has been automatically created by applying the xsl transform
+  <a href="./admststyle.xsl">admststyle.xsl</a>
+  to the xml data file
+  <a href="./admst.xml">admst.xml</a>.
+  <br/>
+  The rendering of the page is specified by the css stylesheet
+  <a href="./adms.css">adms.css</a>.
+  You can get the full contents of data file 
+  <a href="./admst.xml">admst.xml</a>
+  by viewing the source of the document from your web browser.
+  Please address any comments to <a href="mailto:r29173 at users.sourceforge.net">r29173</a>.
+  </p>
+  </body>
+</html>
+</xsl:template>
+</xsl:stylesheet>
diff --git a/auxconf/config.guess b/auxconf/config.guess
new file mode 100755
index 0000000..dc84c68
--- /dev/null
+++ b/auxconf/config.guess
@@ -0,0 +1,1501 @@
+#! /bin/sh
+# Attempt to guess a canonical system name.
+#   Copyright (C) 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999,
+#   2000, 2001, 2002, 2003, 2004, 2005, 2006, 2007, 2008, 2009
+#   Free Software Foundation, Inc.
+
+timestamp='2009-11-20'
+
+# This file is free software; you can redistribute it and/or modify it
+# under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 2 of the License, or
+# (at your option) any later version.
+#
+# This program is distributed in the hope that it will be useful, but
+# WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
+# General Public License for more details.
+#
+# You should have received a copy of the GNU General Public License
+# along with this program; if not, write to the Free Software
+# Foundation, Inc., 51 Franklin Street - Fifth Floor, Boston, MA
+# 02110-1301, USA.
+#
+# As a special exception to the GNU General Public License, if you
+# distribute this file as part of a program that contains a
+# configuration script generated by Autoconf, you may include it under
+# the same distribution terms that you use for the rest of that program.
+
+
+# Originally written by Per Bothner.  Please send patches (context
+# diff format) to <config-patches at gnu.org> and include a ChangeLog
+# entry.
+#
+# This script attempts to guess a canonical system name similar to
+# config.sub.  If it succeeds, it prints the system name on stdout, and
+# exits with 0.  Otherwise, it exits with 1.
+#
+# You can get the latest version of this script from:
+# http://git.savannah.gnu.org/gitweb/?p=config.git;a=blob_plain;f=config.guess;hb=HEAD
+
+me=`echo "$0" | sed -e 's,.*/,,'`
+
+usage="\
+Usage: $0 [OPTION]
+
+Output the configuration name of the system \`$me' is run on.
+
+Operation modes:
+  -h, --help         print this help, then exit
+  -t, --time-stamp   print date of last modification, then exit
+  -v, --version      print version number, then exit
+
+Report bugs and patches to <config-patches at gnu.org>."
+
+version="\
+GNU config.guess ($timestamp)
+
+Originally written by Per Bothner.
+Copyright (C) 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001,
+2002, 2003, 2004, 2005, 2006, 2007, 2008 Free Software Foundation, Inc.
+
+This is free software; see the source for copying conditions.  There is NO
+warranty; not even for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE."
+
+help="
+Try \`$me --help' for more information."
+
+# Parse command line
+while test $# -gt 0 ; do
+  case $1 in
+    --time-stamp | --time* | -t )
+       echo "$timestamp" ; exit ;;
+    --version | -v )
+       echo "$version" ; exit ;;
+    --help | --h* | -h )
+       echo "$usage"; exit ;;
+    -- )     # Stop option processing
+       shift; break ;;
+    - )	# Use stdin as input.
+       break ;;
+    -* )
+       echo "$me: invalid option $1$help" >&2
+       exit 1 ;;
+    * )
+       break ;;
+  esac
+done
+
+if test $# != 0; then
+  echo "$me: too many arguments$help" >&2
+  exit 1
+fi
+
+trap 'exit 1' 1 2 15
+
+# CC_FOR_BUILD -- compiler used by this script. Note that the use of a
+# compiler to aid in system detection is discouraged as it requires
+# temporary files to be created and, as you can see below, it is a
+# headache to deal with in a portable fashion.
+
+# Historically, `CC_FOR_BUILD' used to be named `HOST_CC'. We still
+# use `HOST_CC' if defined, but it is deprecated.
+
+# Portable tmp directory creation inspired by the Autoconf team.
+
+set_cc_for_build='
+trap "exitcode=\$?; (rm -f \$tmpfiles 2>/dev/null; rmdir \$tmp 2>/dev/null) && exit \$exitcode" 0 ;
+trap "rm -f \$tmpfiles 2>/dev/null; rmdir \$tmp 2>/dev/null; exit 1" 1 2 13 15 ;
+: ${TMPDIR=/tmp} ;
+ { tmp=`(umask 077 && mktemp -d "$TMPDIR/cgXXXXXX") 2>/dev/null` && test -n "$tmp" && test -d "$tmp" ; } ||
+ { test -n "$RANDOM" && tmp=$TMPDIR/cg$$-$RANDOM && (umask 077 && mkdir $tmp) ; } ||
+ { tmp=$TMPDIR/cg-$$ && (umask 077 && mkdir $tmp) && echo "Warning: creating insecure temp directory" >&2 ; } ||
+ { echo "$me: cannot create a temporary directory in $TMPDIR" >&2 ; exit 1 ; } ;
+dummy=$tmp/dummy ;
+tmpfiles="$dummy.c $dummy.o $dummy.rel $dummy" ;
+case $CC_FOR_BUILD,$HOST_CC,$CC in
+ ,,)    echo "int x;" > $dummy.c ;
+	for c in cc gcc c89 c99 ; do
+	  if ($c -c -o $dummy.o $dummy.c) >/dev/null 2>&1 ; then
+	     CC_FOR_BUILD="$c"; break ;
+	  fi ;
+	done ;
+	if test x"$CC_FOR_BUILD" = x ; then
+	  CC_FOR_BUILD=no_compiler_found ;
+	fi
+	;;
+ ,,*)   CC_FOR_BUILD=$CC ;;
+ ,*,*)  CC_FOR_BUILD=$HOST_CC ;;
+esac ; set_cc_for_build= ;'
+
+# This is needed to find uname on a Pyramid OSx when run in the BSD universe.
+# (ghazi at noc.rutgers.edu 1994-08-24)
+if (test -f /.attbin/uname) >/dev/null 2>&1 ; then
+	PATH=$PATH:/.attbin ; export PATH
+fi
+
+UNAME_MACHINE=`(uname -m) 2>/dev/null` || UNAME_MACHINE=unknown
+UNAME_RELEASE=`(uname -r) 2>/dev/null` || UNAME_RELEASE=unknown
+UNAME_SYSTEM=`(uname -s) 2>/dev/null`  || UNAME_SYSTEM=unknown
+UNAME_VERSION=`(uname -v) 2>/dev/null` || UNAME_VERSION=unknown
+
+# Note: order is significant - the case branches are not exclusive.
+
+case "${UNAME_MACHINE}:${UNAME_SYSTEM}:${UNAME_RELEASE}:${UNAME_VERSION}" in
+    *:NetBSD:*:*)
+	# NetBSD (nbsd) targets should (where applicable) match one or
+	# more of the tupples: *-*-netbsdelf*, *-*-netbsdaout*,
+	# *-*-netbsdecoff* and *-*-netbsd*.  For targets that recently
+	# switched to ELF, *-*-netbsd* would select the old
+	# object file format.  This provides both forward
+	# compatibility and a consistent mechanism for selecting the
+	# object file format.
+	#
+	# Note: NetBSD doesn't particularly care about the vendor
+	# portion of the name.  We always set it to "unknown".
+	sysctl="sysctl -n hw.machine_arch"
+	UNAME_MACHINE_ARCH=`(/sbin/$sysctl 2>/dev/null || \
+	    /usr/sbin/$sysctl 2>/dev/null || echo unknown)`
+	case "${UNAME_MACHINE_ARCH}" in
+	    armeb) machine=armeb-unknown ;;
+	    arm*) machine=arm-unknown ;;
+	    sh3el) machine=shl-unknown ;;
+	    sh3eb) machine=sh-unknown ;;
+	    sh5el) machine=sh5le-unknown ;;
+	    *) machine=${UNAME_MACHINE_ARCH}-unknown ;;
+	esac
+	# The Operating System including object format, if it has switched
+	# to ELF recently, or will in the future.
+	case "${UNAME_MACHINE_ARCH}" in
+	    arm*|i386|m68k|ns32k|sh3*|sparc|vax)
+		eval $set_cc_for_build
+		if echo __ELF__ | $CC_FOR_BUILD -E - 2>/dev/null \
+			| grep -q __ELF__
+		then
+		    # Once all utilities can be ECOFF (netbsdecoff) or a.out (netbsdaout).
+		    # Return netbsd for either.  FIX?
+		    os=netbsd
+		else
+		    os=netbsdelf
+		fi
+		;;
+	    *)
+	        os=netbsd
+		;;
+	esac
+	# The OS release
+	# Debian GNU/NetBSD machines have a different userland, and
+	# thus, need a distinct triplet. However, they do not need
+	# kernel version information, so it can be replaced with a
+	# suitable tag, in the style of linux-gnu.
+	case "${UNAME_VERSION}" in
+	    Debian*)
+		release='-gnu'
+		;;
+	    *)
+		release=`echo ${UNAME_RELEASE}|sed -e 's/[-_].*/\./'`
+		;;
+	esac
+	# Since CPU_TYPE-MANUFACTURER-KERNEL-OPERATING_SYSTEM:
+	# contains redundant information, the shorter form:
+	# CPU_TYPE-MANUFACTURER-OPERATING_SYSTEM is used.
+	echo "${machine}-${os}${release}"
+	exit ;;
+    *:OpenBSD:*:*)
+	UNAME_MACHINE_ARCH=`arch | sed 's/OpenBSD.//'`
+	echo ${UNAME_MACHINE_ARCH}-unknown-openbsd${UNAME_RELEASE}
+	exit ;;
+    *:ekkoBSD:*:*)
+	echo ${UNAME_MACHINE}-unknown-ekkobsd${UNAME_RELEASE}
+	exit ;;
+    *:SolidBSD:*:*)
+	echo ${UNAME_MACHINE}-unknown-solidbsd${UNAME_RELEASE}
+	exit ;;
+    macppc:MirBSD:*:*)
+	echo powerpc-unknown-mirbsd${UNAME_RELEASE}
+	exit ;;
+    *:MirBSD:*:*)
+	echo ${UNAME_MACHINE}-unknown-mirbsd${UNAME_RELEASE}
+	exit ;;
+    alpha:OSF1:*:*)
+	case $UNAME_RELEASE in
+	*4.0)
+		UNAME_RELEASE=`/usr/sbin/sizer -v | awk '{print $3}'`
+		;;
+	*5.*)
+	        UNAME_RELEASE=`/usr/sbin/sizer -v | awk '{print $4}'`
+		;;
+	esac
+	# According to Compaq, /usr/sbin/psrinfo has been available on
+	# OSF/1 and Tru64 systems produced since 1995.  I hope that
+	# covers most systems running today.  This code pipes the CPU
+	# types through head -n 1, so we only detect the type of CPU 0.
+	ALPHA_CPU_TYPE=`/usr/sbin/psrinfo -v | sed -n -e 's/^  The alpha \(.*\) processor.*$/\1/p' | head -n 1`
+	case "$ALPHA_CPU_TYPE" in
+	    "EV4 (21064)")
+		UNAME_MACHINE="alpha" ;;
+	    "EV4.5 (21064)")
+		UNAME_MACHINE="alpha" ;;
+	    "LCA4 (21066/21068)")
+		UNAME_MACHINE="alpha" ;;
+	    "EV5 (21164)")
+		UNAME_MACHINE="alphaev5" ;;
+	    "EV5.6 (21164A)")
+		UNAME_MACHINE="alphaev56" ;;
+	    "EV5.6 (21164PC)")
+		UNAME_MACHINE="alphapca56" ;;
+	    "EV5.7 (21164PC)")
+		UNAME_MACHINE="alphapca57" ;;
+	    "EV6 (21264)")
+		UNAME_MACHINE="alphaev6" ;;
+	    "EV6.7 (21264A)")
+		UNAME_MACHINE="alphaev67" ;;
+	    "EV6.8CB (21264C)")
+		UNAME_MACHINE="alphaev68" ;;
+	    "EV6.8AL (21264B)")
+		UNAME_MACHINE="alphaev68" ;;
+	    "EV6.8CX (21264D)")
+		UNAME_MACHINE="alphaev68" ;;
+	    "EV6.9A (21264/EV69A)")
+		UNAME_MACHINE="alphaev69" ;;
+	    "EV7 (21364)")
+		UNAME_MACHINE="alphaev7" ;;
+	    "EV7.9 (21364A)")
+		UNAME_MACHINE="alphaev79" ;;
+	esac
+	# A Pn.n version is a patched version.
+	# A Vn.n version is a released version.
+	# A Tn.n version is a released field test version.
+	# A Xn.n version is an unreleased experimental baselevel.
+	# 1.2 uses "1.2" for uname -r.
+	echo ${UNAME_MACHINE}-dec-osf`echo ${UNAME_RELEASE} | sed -e 's/^[PVTX]//' | tr 'ABCDEFGHIJKLMNOPQRSTUVWXYZ' 'abcdefghijklmnopqrstuvwxyz'`
+	exit ;;
+    Alpha\ *:Windows_NT*:*)
+	# How do we know it's Interix rather than the generic POSIX subsystem?
+	# Should we change UNAME_MACHINE based on the output of uname instead
+	# of the specific Alpha model?
+	echo alpha-pc-interix
+	exit ;;
+    21064:Windows_NT:50:3)
+	echo alpha-dec-winnt3.5
+	exit ;;
+    Amiga*:UNIX_System_V:4.0:*)
+	echo m68k-unknown-sysv4
+	exit ;;
+    *:[Aa]miga[Oo][Ss]:*:*)
+	echo ${UNAME_MACHINE}-unknown-amigaos
+	exit ;;
+    *:[Mm]orph[Oo][Ss]:*:*)
+	echo ${UNAME_MACHINE}-unknown-morphos
+	exit ;;
+    *:OS/390:*:*)
+	echo i370-ibm-openedition
+	exit ;;
+    *:z/VM:*:*)
+	echo s390-ibm-zvmoe
+	exit ;;
+    *:OS400:*:*)
+        echo powerpc-ibm-os400
+	exit ;;
+    arm:RISC*:1.[012]*:*|arm:riscix:1.[012]*:*)
+	echo arm-acorn-riscix${UNAME_RELEASE}
+	exit ;;
+    arm:riscos:*:*|arm:RISCOS:*:*)
+	echo arm-unknown-riscos
+	exit ;;
+    SR2?01:HI-UX/MPP:*:* | SR8000:HI-UX/MPP:*:*)
+	echo hppa1.1-hitachi-hiuxmpp
+	exit ;;
+    Pyramid*:OSx*:*:* | MIS*:OSx*:*:* | MIS*:SMP_DC-OSx*:*:*)
+	# akee at wpdis03.wpafb.af.mil (Earle F. Ake) contributed MIS and NILE.
+	if test "`(/bin/universe) 2>/dev/null`" = att ; then
+		echo pyramid-pyramid-sysv3
+	else
+		echo pyramid-pyramid-bsd
+	fi
+	exit ;;
+    NILE*:*:*:dcosx)
+	echo pyramid-pyramid-svr4
+	exit ;;
+    DRS?6000:unix:4.0:6*)
+	echo sparc-icl-nx6
+	exit ;;
+    DRS?6000:UNIX_SV:4.2*:7* | DRS?6000:isis:4.2*:7*)
+	case `/usr/bin/uname -p` in
+	    sparc) echo sparc-icl-nx7; exit ;;
+	esac ;;
+    s390x:SunOS:*:*)
+	echo ${UNAME_MACHINE}-ibm-solaris2`echo ${UNAME_RELEASE}|sed -e 's/[^.]*//'`
+	exit ;;
+    sun4H:SunOS:5.*:*)
+	echo sparc-hal-solaris2`echo ${UNAME_RELEASE}|sed -e 's/[^.]*//'`
+	exit ;;
+    sun4*:SunOS:5.*:* | tadpole*:SunOS:5.*:*)
+	echo sparc-sun-solaris2`echo ${UNAME_RELEASE}|sed -e 's/[^.]*//'`
+	exit ;;
+    i86pc:AuroraUX:5.*:* | i86xen:AuroraUX:5.*:*)
+	echo i386-pc-auroraux${UNAME_RELEASE}
+	exit ;;
+    i86pc:SunOS:5.*:* | i86xen:SunOS:5.*:*)
+	eval $set_cc_for_build
+	SUN_ARCH="i386"
+	# If there is a compiler, see if it is configured for 64-bit objects.
+	# Note that the Sun cc does not turn __LP64__ into 1 like gcc does.
+	# This test works for both compilers.
+	if [ "$CC_FOR_BUILD" != 'no_compiler_found' ]; then
+	    if (echo '#ifdef __amd64'; echo IS_64BIT_ARCH; echo '#endif') | \
+		(CCOPTS= $CC_FOR_BUILD -E - 2>/dev/null) | \
+		grep IS_64BIT_ARCH >/dev/null
+	    then
+		SUN_ARCH="x86_64"
+	    fi
+	fi
+	echo ${SUN_ARCH}-pc-solaris2`echo ${UNAME_RELEASE}|sed -e 's/[^.]*//'`
+	exit ;;
+    sun4*:SunOS:6*:*)
+	# According to config.sub, this is the proper way to canonicalize
+	# SunOS6.  Hard to guess exactly what SunOS6 will be like, but
+	# it's likely to be more like Solaris than SunOS4.
+	echo sparc-sun-solaris3`echo ${UNAME_RELEASE}|sed -e 's/[^.]*//'`
+	exit ;;
+    sun4*:SunOS:*:*)
+	case "`/usr/bin/arch -k`" in
+	    Series*|S4*)
+		UNAME_RELEASE=`uname -v`
+		;;
+	esac
+	# Japanese Language versions have a version number like `4.1.3-JL'.
+	echo sparc-sun-sunos`echo ${UNAME_RELEASE}|sed -e 's/-/_/'`
+	exit ;;
+    sun3*:SunOS:*:*)
+	echo m68k-sun-sunos${UNAME_RELEASE}
+	exit ;;
+    sun*:*:4.2BSD:*)
+	UNAME_RELEASE=`(sed 1q /etc/motd | awk '{print substr($5,1,3)}') 2>/dev/null`
+	test "x${UNAME_RELEASE}" = "x" && UNAME_RELEASE=3
+	case "`/bin/arch`" in
+	    sun3)
+		echo m68k-sun-sunos${UNAME_RELEASE}
+		;;
+	    sun4)
+		echo sparc-sun-sunos${UNAME_RELEASE}
+		;;
+	esac
+	exit ;;
+    aushp:SunOS:*:*)
+	echo sparc-auspex-sunos${UNAME_RELEASE}
+	exit ;;
+    # The situation for MiNT is a little confusing.  The machine name
+    # can be virtually everything (everything which is not
+    # "atarist" or "atariste" at least should have a processor
+    # > m68000).  The system name ranges from "MiNT" over "FreeMiNT"
+    # to the lowercase version "mint" (or "freemint").  Finally
+    # the system name "TOS" denotes a system which is actually not
+    # MiNT.  But MiNT is downward compatible to TOS, so this should
+    # be no problem.
+    atarist[e]:*MiNT:*:* | atarist[e]:*mint:*:* | atarist[e]:*TOS:*:*)
+        echo m68k-atari-mint${UNAME_RELEASE}
+	exit ;;
+    atari*:*MiNT:*:* | atari*:*mint:*:* | atarist[e]:*TOS:*:*)
+	echo m68k-atari-mint${UNAME_RELEASE}
+        exit ;;
+    *falcon*:*MiNT:*:* | *falcon*:*mint:*:* | *falcon*:*TOS:*:*)
+        echo m68k-atari-mint${UNAME_RELEASE}
+	exit ;;
+    milan*:*MiNT:*:* | milan*:*mint:*:* | *milan*:*TOS:*:*)
+        echo m68k-milan-mint${UNAME_RELEASE}
+        exit ;;
+    hades*:*MiNT:*:* | hades*:*mint:*:* | *hades*:*TOS:*:*)
+        echo m68k-hades-mint${UNAME_RELEASE}
+        exit ;;
+    *:*MiNT:*:* | *:*mint:*:* | *:*TOS:*:*)
+        echo m68k-unknown-mint${UNAME_RELEASE}
+        exit ;;
+    m68k:machten:*:*)
+	echo m68k-apple-machten${UNAME_RELEASE}
+	exit ;;
+    powerpc:machten:*:*)
+	echo powerpc-apple-machten${UNAME_RELEASE}
+	exit ;;
+    RISC*:Mach:*:*)
+	echo mips-dec-mach_bsd4.3
+	exit ;;
+    RISC*:ULTRIX:*:*)
+	echo mips-dec-ultrix${UNAME_RELEASE}
+	exit ;;
+    VAX*:ULTRIX*:*:*)
+	echo vax-dec-ultrix${UNAME_RELEASE}
+	exit ;;
+    2020:CLIX:*:* | 2430:CLIX:*:*)
+	echo clipper-intergraph-clix${UNAME_RELEASE}
+	exit ;;
+    mips:*:*:UMIPS | mips:*:*:RISCos)
+	eval $set_cc_for_build
+	sed 's/^	//' << EOF >$dummy.c
+#ifdef __cplusplus
+#include <stdio.h>  /* for printf() prototype */
+	int main (int argc, char *argv[]) {
+#else
+	int main (argc, argv) int argc; char *argv[]; {
+#endif
+	#if defined (host_mips) && defined (MIPSEB)
+	#if defined (SYSTYPE_SYSV)
+	  printf ("mips-mips-riscos%ssysv\n", argv[1]); exit (0);
+	#endif
+	#if defined (SYSTYPE_SVR4)
+	  printf ("mips-mips-riscos%ssvr4\n", argv[1]); exit (0);
+	#endif
+	#if defined (SYSTYPE_BSD43) || defined(SYSTYPE_BSD)
+	  printf ("mips-mips-riscos%sbsd\n", argv[1]); exit (0);
+	#endif
+	#endif
+	  exit (-1);
+	}
+EOF
+	$CC_FOR_BUILD -o $dummy $dummy.c &&
+	  dummyarg=`echo "${UNAME_RELEASE}" | sed -n 's/\([0-9]*\).*/\1/p'` &&
+	  SYSTEM_NAME=`$dummy $dummyarg` &&
+	    { echo "$SYSTEM_NAME"; exit; }
+	echo mips-mips-riscos${UNAME_RELEASE}
+	exit ;;
+    Motorola:PowerMAX_OS:*:*)
+	echo powerpc-motorola-powermax
+	exit ;;
+    Motorola:*:4.3:PL8-*)
+	echo powerpc-harris-powermax
+	exit ;;
+    Night_Hawk:*:*:PowerMAX_OS | Synergy:PowerMAX_OS:*:*)
+	echo powerpc-harris-powermax
+	exit ;;
+    Night_Hawk:Power_UNIX:*:*)
+	echo powerpc-harris-powerunix
+	exit ;;
+    m88k:CX/UX:7*:*)
+	echo m88k-harris-cxux7
+	exit ;;
+    m88k:*:4*:R4*)
+	echo m88k-motorola-sysv4
+	exit ;;
+    m88k:*:3*:R3*)
+	echo m88k-motorola-sysv3
+	exit ;;
+    AViiON:dgux:*:*)
+        # DG/UX returns AViiON for all architectures
+        UNAME_PROCESSOR=`/usr/bin/uname -p`
+	if [ $UNAME_PROCESSOR = mc88100 ] || [ $UNAME_PROCESSOR = mc88110 ]
+	then
+	    if [ ${TARGET_BINARY_INTERFACE}x = m88kdguxelfx ] || \
+	       [ ${TARGET_BINARY_INTERFACE}x = x ]
+	    then
+		echo m88k-dg-dgux${UNAME_RELEASE}
+	    else
+		echo m88k-dg-dguxbcs${UNAME_RELEASE}
+	    fi
+	else
+	    echo i586-dg-dgux${UNAME_RELEASE}
+	fi
+ 	exit ;;
+    M88*:DolphinOS:*:*)	# DolphinOS (SVR3)
+	echo m88k-dolphin-sysv3
+	exit ;;
+    M88*:*:R3*:*)
+	# Delta 88k system running SVR3
+	echo m88k-motorola-sysv3
+	exit ;;
+    XD88*:*:*:*) # Tektronix XD88 system running UTekV (SVR3)
+	echo m88k-tektronix-sysv3
+	exit ;;
+    Tek43[0-9][0-9]:UTek:*:*) # Tektronix 4300 system running UTek (BSD)
+	echo m68k-tektronix-bsd
+	exit ;;
+    *:IRIX*:*:*)
+	echo mips-sgi-irix`echo ${UNAME_RELEASE}|sed -e 's/-/_/g'`
+	exit ;;
+    ????????:AIX?:[12].1:2)   # AIX 2.2.1 or AIX 2.1.1 is RT/PC AIX.
+	echo romp-ibm-aix     # uname -m gives an 8 hex-code CPU id
+	exit ;;               # Note that: echo "'`uname -s`'" gives 'AIX '
+    i*86:AIX:*:*)
+	echo i386-ibm-aix
+	exit ;;
+    ia64:AIX:*:*)
+	if [ -x /usr/bin/oslevel ] ; then
+		IBM_REV=`/usr/bin/oslevel`
+	else
+		IBM_REV=${UNAME_VERSION}.${UNAME_RELEASE}
+	fi
+	echo ${UNAME_MACHINE}-ibm-aix${IBM_REV}
+	exit ;;
+    *:AIX:2:3)
+	if grep bos325 /usr/include/stdio.h >/dev/null 2>&1; then
+		eval $set_cc_for_build
+		sed 's/^		//' << EOF >$dummy.c
+		#include <sys/systemcfg.h>
+
+		main()
+			{
+			if (!__power_pc())
+				exit(1);
+			puts("powerpc-ibm-aix3.2.5");
+			exit(0);
+			}
+EOF
+		if $CC_FOR_BUILD -o $dummy $dummy.c && SYSTEM_NAME=`$dummy`
+		then
+			echo "$SYSTEM_NAME"
+		else
+			echo rs6000-ibm-aix3.2.5
+		fi
+	elif grep bos324 /usr/include/stdio.h >/dev/null 2>&1; then
+		echo rs6000-ibm-aix3.2.4
+	else
+		echo rs6000-ibm-aix3.2
+	fi
+	exit ;;
+    *:AIX:*:[456])
+	IBM_CPU_ID=`/usr/sbin/lsdev -C -c processor -S available | sed 1q | awk '{ print $1 }'`
+	if /usr/sbin/lsattr -El ${IBM_CPU_ID} | grep ' POWER' >/dev/null 2>&1; then
+		IBM_ARCH=rs6000
+	else
+		IBM_ARCH=powerpc
+	fi
+	if [ -x /usr/bin/oslevel ] ; then
+		IBM_REV=`/usr/bin/oslevel`
+	else
+		IBM_REV=${UNAME_VERSION}.${UNAME_RELEASE}
+	fi
+	echo ${IBM_ARCH}-ibm-aix${IBM_REV}
+	exit ;;
+    *:AIX:*:*)
+	echo rs6000-ibm-aix
+	exit ;;
+    ibmrt:4.4BSD:*|romp-ibm:BSD:*)
+	echo romp-ibm-bsd4.4
+	exit ;;
+    ibmrt:*BSD:*|romp-ibm:BSD:*)            # covers RT/PC BSD and
+	echo romp-ibm-bsd${UNAME_RELEASE}   # 4.3 with uname added to
+	exit ;;                             # report: romp-ibm BSD 4.3
+    *:BOSX:*:*)
+	echo rs6000-bull-bosx
+	exit ;;
+    DPX/2?00:B.O.S.:*:*)
+	echo m68k-bull-sysv3
+	exit ;;
+    9000/[34]??:4.3bsd:1.*:*)
+	echo m68k-hp-bsd
+	exit ;;
+    hp300:4.4BSD:*:* | 9000/[34]??:4.3bsd:2.*:*)
+	echo m68k-hp-bsd4.4
+	exit ;;
+    9000/[34678]??:HP-UX:*:*)
+	HPUX_REV=`echo ${UNAME_RELEASE}|sed -e 's/[^.]*.[0B]*//'`
+	case "${UNAME_MACHINE}" in
+	    9000/31? )            HP_ARCH=m68000 ;;
+	    9000/[34]?? )         HP_ARCH=m68k ;;
+	    9000/[678][0-9][0-9])
+		if [ -x /usr/bin/getconf ]; then
+		    sc_cpu_version=`/usr/bin/getconf SC_CPU_VERSION 2>/dev/null`
+                    sc_kernel_bits=`/usr/bin/getconf SC_KERNEL_BITS 2>/dev/null`
+                    case "${sc_cpu_version}" in
+                      523) HP_ARCH="hppa1.0" ;; # CPU_PA_RISC1_0
+                      528) HP_ARCH="hppa1.1" ;; # CPU_PA_RISC1_1
+                      532)                      # CPU_PA_RISC2_0
+                        case "${sc_kernel_bits}" in
+                          32) HP_ARCH="hppa2.0n" ;;
+                          64) HP_ARCH="hppa2.0w" ;;
+			  '') HP_ARCH="hppa2.0" ;;   # HP-UX 10.20
+                        esac ;;
+                    esac
+		fi
+		if [ "${HP_ARCH}" = "" ]; then
+		    eval $set_cc_for_build
+		    sed 's/^              //' << EOF >$dummy.c
+
+              #define _HPUX_SOURCE
+              #include <stdlib.h>
+              #include <unistd.h>
+
+              int main ()
+              {
+              #if defined(_SC_KERNEL_BITS)
+                  long bits = sysconf(_SC_KERNEL_BITS);
+              #endif
+                  long cpu  = sysconf (_SC_CPU_VERSION);
+
+                  switch (cpu)
+              	{
+              	case CPU_PA_RISC1_0: puts ("hppa1.0"); break;
+              	case CPU_PA_RISC1_1: puts ("hppa1.1"); break;
+              	case CPU_PA_RISC2_0:
+              #if defined(_SC_KERNEL_BITS)
+              	    switch (bits)
+              		{
+              		case 64: puts ("hppa2.0w"); break;
+              		case 32: puts ("hppa2.0n"); break;
+              		default: puts ("hppa2.0"); break;
+              		} break;
+              #else  /* !defined(_SC_KERNEL_BITS) */
+              	    puts ("hppa2.0"); break;
+              #endif
+              	default: puts ("hppa1.0"); break;
+              	}
+                  exit (0);
+              }
+EOF
+		    (CCOPTS= $CC_FOR_BUILD -o $dummy $dummy.c 2>/dev/null) && HP_ARCH=`$dummy`
+		    test -z "$HP_ARCH" && HP_ARCH=hppa
+		fi ;;
+	esac
+	if [ ${HP_ARCH} = "hppa2.0w" ]
+	then
+	    eval $set_cc_for_build
+
+	    # hppa2.0w-hp-hpux* has a 64-bit kernel and a compiler generating
+	    # 32-bit code.  hppa64-hp-hpux* has the same kernel and a compiler
+	    # generating 64-bit code.  GNU and HP use different nomenclature:
+	    #
+	    # $ CC_FOR_BUILD=cc ./config.guess
+	    # => hppa2.0w-hp-hpux11.23
+	    # $ CC_FOR_BUILD="cc +DA2.0w" ./config.guess
+	    # => hppa64-hp-hpux11.23
+
+	    if echo __LP64__ | (CCOPTS= $CC_FOR_BUILD -E - 2>/dev/null) |
+		grep -q __LP64__
+	    then
+		HP_ARCH="hppa2.0w"
+	    else
+		HP_ARCH="hppa64"
+	    fi
+	fi
+	echo ${HP_ARCH}-hp-hpux${HPUX_REV}
+	exit ;;
+    ia64:HP-UX:*:*)
+	HPUX_REV=`echo ${UNAME_RELEASE}|sed -e 's/[^.]*.[0B]*//'`
+	echo ia64-hp-hpux${HPUX_REV}
+	exit ;;
+    3050*:HI-UX:*:*)
+	eval $set_cc_for_build
+	sed 's/^	//' << EOF >$dummy.c
+	#include <unistd.h>
+	int
+	main ()
+	{
+	  long cpu = sysconf (_SC_CPU_VERSION);
+	  /* The order matters, because CPU_IS_HP_MC68K erroneously returns
+	     true for CPU_PA_RISC1_0.  CPU_IS_PA_RISC returns correct
+	     results, however.  */
+	  if (CPU_IS_PA_RISC (cpu))
+	    {
+	      switch (cpu)
+		{
+		  case CPU_PA_RISC1_0: puts ("hppa1.0-hitachi-hiuxwe2"); break;
+		  case CPU_PA_RISC1_1: puts ("hppa1.1-hitachi-hiuxwe2"); break;
+		  case CPU_PA_RISC2_0: puts ("hppa2.0-hitachi-hiuxwe2"); break;
+		  default: puts ("hppa-hitachi-hiuxwe2"); break;
+		}
+	    }
+	  else if (CPU_IS_HP_MC68K (cpu))
+	    puts ("m68k-hitachi-hiuxwe2");
+	  else puts ("unknown-hitachi-hiuxwe2");
+	  exit (0);
+	}
+EOF
+	$CC_FOR_BUILD -o $dummy $dummy.c && SYSTEM_NAME=`$dummy` &&
+		{ echo "$SYSTEM_NAME"; exit; }
+	echo unknown-hitachi-hiuxwe2
+	exit ;;
+    9000/7??:4.3bsd:*:* | 9000/8?[79]:4.3bsd:*:* )
+	echo hppa1.1-hp-bsd
+	exit ;;
+    9000/8??:4.3bsd:*:*)
+	echo hppa1.0-hp-bsd
+	exit ;;
+    *9??*:MPE/iX:*:* | *3000*:MPE/iX:*:*)
+	echo hppa1.0-hp-mpeix
+	exit ;;
+    hp7??:OSF1:*:* | hp8?[79]:OSF1:*:* )
+	echo hppa1.1-hp-osf
+	exit ;;
+    hp8??:OSF1:*:*)
+	echo hppa1.0-hp-osf
+	exit ;;
+    i*86:OSF1:*:*)
+	if [ -x /usr/sbin/sysversion ] ; then
+	    echo ${UNAME_MACHINE}-unknown-osf1mk
+	else
+	    echo ${UNAME_MACHINE}-unknown-osf1
+	fi
+	exit ;;
+    parisc*:Lites*:*:*)
+	echo hppa1.1-hp-lites
+	exit ;;
+    C1*:ConvexOS:*:* | convex:ConvexOS:C1*:*)
+	echo c1-convex-bsd
+        exit ;;
+    C2*:ConvexOS:*:* | convex:ConvexOS:C2*:*)
+	if getsysinfo -f scalar_acc
+	then echo c32-convex-bsd
+	else echo c2-convex-bsd
+	fi
+        exit ;;
+    C34*:ConvexOS:*:* | convex:ConvexOS:C34*:*)
+	echo c34-convex-bsd
+        exit ;;
+    C38*:ConvexOS:*:* | convex:ConvexOS:C38*:*)
+	echo c38-convex-bsd
+        exit ;;
+    C4*:ConvexOS:*:* | convex:ConvexOS:C4*:*)
+	echo c4-convex-bsd
+        exit ;;
+    CRAY*Y-MP:*:*:*)
+	echo ymp-cray-unicos${UNAME_RELEASE} | sed -e 's/\.[^.]*$/.X/'
+	exit ;;
+    CRAY*[A-Z]90:*:*:*)
+	echo ${UNAME_MACHINE}-cray-unicos${UNAME_RELEASE} \
+	| sed -e 's/CRAY.*\([A-Z]90\)/\1/' \
+	      -e y/ABCDEFGHIJKLMNOPQRSTUVWXYZ/abcdefghijklmnopqrstuvwxyz/ \
+	      -e 's/\.[^.]*$/.X/'
+	exit ;;
+    CRAY*TS:*:*:*)
+	echo t90-cray-unicos${UNAME_RELEASE} | sed -e 's/\.[^.]*$/.X/'
+	exit ;;
+    CRAY*T3E:*:*:*)
+	echo alphaev5-cray-unicosmk${UNAME_RELEASE} | sed -e 's/\.[^.]*$/.X/'
+	exit ;;
+    CRAY*SV1:*:*:*)
+	echo sv1-cray-unicos${UNAME_RELEASE} | sed -e 's/\.[^.]*$/.X/'
+	exit ;;
+    *:UNICOS/mp:*:*)
+	echo craynv-cray-unicosmp${UNAME_RELEASE} | sed -e 's/\.[^.]*$/.X/'
+	exit ;;
+    F30[01]:UNIX_System_V:*:* | F700:UNIX_System_V:*:*)
+	FUJITSU_PROC=`uname -m | tr 'ABCDEFGHIJKLMNOPQRSTUVWXYZ' 'abcdefghijklmnopqrstuvwxyz'`
+        FUJITSU_SYS=`uname -p | tr 'ABCDEFGHIJKLMNOPQRSTUVWXYZ' 'abcdefghijklmnopqrstuvwxyz' | sed -e 's/\///'`
+        FUJITSU_REL=`echo ${UNAME_RELEASE} | sed -e 's/ /_/'`
+        echo "${FUJITSU_PROC}-fujitsu-${FUJITSU_SYS}${FUJITSU_REL}"
+        exit ;;
+    5000:UNIX_System_V:4.*:*)
+        FUJITSU_SYS=`uname -p | tr 'ABCDEFGHIJKLMNOPQRSTUVWXYZ' 'abcdefghijklmnopqrstuvwxyz' | sed -e 's/\///'`
+        FUJITSU_REL=`echo ${UNAME_RELEASE} | tr 'ABCDEFGHIJKLMNOPQRSTUVWXYZ' 'abcdefghijklmnopqrstuvwxyz' | sed -e 's/ /_/'`
+        echo "sparc-fujitsu-${FUJITSU_SYS}${FUJITSU_REL}"
+	exit ;;
+    i*86:BSD/386:*:* | i*86:BSD/OS:*:* | *:Ascend\ Embedded/OS:*:*)
+	echo ${UNAME_MACHINE}-pc-bsdi${UNAME_RELEASE}
+	exit ;;
+    sparc*:BSD/OS:*:*)
+	echo sparc-unknown-bsdi${UNAME_RELEASE}
+	exit ;;
+    *:BSD/OS:*:*)
+	echo ${UNAME_MACHINE}-unknown-bsdi${UNAME_RELEASE}
+	exit ;;
+    *:FreeBSD:*:*)
+	case ${UNAME_MACHINE} in
+	    pc98)
+		echo i386-unknown-freebsd`echo ${UNAME_RELEASE}|sed -e 's/[-(].*//'` ;;
+	    amd64)
+		echo x86_64-unknown-freebsd`echo ${UNAME_RELEASE}|sed -e 's/[-(].*//'` ;;
+	    *)
+		echo ${UNAME_MACHINE}-unknown-freebsd`echo ${UNAME_RELEASE}|sed -e 's/[-(].*//'` ;;
+	esac
+	exit ;;
+    i*:CYGWIN*:*)
+	echo ${UNAME_MACHINE}-pc-cygwin
+	exit ;;
+    *:MINGW*:*)
+	echo ${UNAME_MACHINE}-pc-mingw32
+	exit ;;
+    i*:windows32*:*)
+    	# uname -m includes "-pc" on this system.
+    	echo ${UNAME_MACHINE}-mingw32
+	exit ;;
+    i*:PW*:*)
+	echo ${UNAME_MACHINE}-pc-pw32
+	exit ;;
+    *:Interix*:*)
+    	case ${UNAME_MACHINE} in
+	    x86)
+		echo i586-pc-interix${UNAME_RELEASE}
+		exit ;;
+	    authenticamd | genuineintel | EM64T)
+		echo x86_64-unknown-interix${UNAME_RELEASE}
+		exit ;;
+	    IA64)
+		echo ia64-unknown-interix${UNAME_RELEASE}
+		exit ;;
+	esac ;;
+    [345]86:Windows_95:* | [345]86:Windows_98:* | [345]86:Windows_NT:*)
+	echo i${UNAME_MACHINE}-pc-mks
+	exit ;;
+    8664:Windows_NT:*)
+	echo x86_64-pc-mks
+	exit ;;
+    i*:Windows_NT*:* | Pentium*:Windows_NT*:*)
+	# How do we know it's Interix rather than the generic POSIX subsystem?
+	# It also conflicts with pre-2.0 versions of AT&T UWIN. Should we
+	# UNAME_MACHINE based on the output of uname instead of i386?
+	echo i586-pc-interix
+	exit ;;
+    i*:UWIN*:*)
+	echo ${UNAME_MACHINE}-pc-uwin
+	exit ;;
+    amd64:CYGWIN*:*:* | x86_64:CYGWIN*:*:*)
+	echo x86_64-unknown-cygwin
+	exit ;;
+    p*:CYGWIN*:*)
+	echo powerpcle-unknown-cygwin
+	exit ;;
+    prep*:SunOS:5.*:*)
+	echo powerpcle-unknown-solaris2`echo ${UNAME_RELEASE}|sed -e 's/[^.]*//'`
+	exit ;;
+    *:GNU:*:*)
+	# the GNU system
+	echo `echo ${UNAME_MACHINE}|sed -e 's,[-/].*$,,'`-unknown-gnu`echo ${UNAME_RELEASE}|sed -e 's,/.*$,,'`
+	exit ;;
+    *:GNU/*:*:*)
+	# other systems with GNU libc and userland
+	echo ${UNAME_MACHINE}-unknown-`echo ${UNAME_SYSTEM} | sed 's,^[^/]*/,,' | tr '[A-Z]' '[a-z]'``echo ${UNAME_RELEASE}|sed -e 's/[-(].*//'`-gnu
+	exit ;;
+    i*86:Minix:*:*)
+	echo ${UNAME_MACHINE}-pc-minix
+	exit ;;
+    alpha:Linux:*:*)
+	case `sed -n '/^cpu model/s/^.*: \(.*\)/\1/p' < /proc/cpuinfo` in
+	  EV5)   UNAME_MACHINE=alphaev5 ;;
+	  EV56)  UNAME_MACHINE=alphaev56 ;;
+	  PCA56) UNAME_MACHINE=alphapca56 ;;
+	  PCA57) UNAME_MACHINE=alphapca56 ;;
+	  EV6)   UNAME_MACHINE=alphaev6 ;;
+	  EV67)  UNAME_MACHINE=alphaev67 ;;
+	  EV68*) UNAME_MACHINE=alphaev68 ;;
+        esac
+	objdump --private-headers /bin/sh | grep -q ld.so.1
+	if test "$?" = 0 ; then LIBC="libc1" ; else LIBC="" ; fi
+	echo ${UNAME_MACHINE}-unknown-linux-gnu${LIBC}
+	exit ;;
+    arm*:Linux:*:*)
+	eval $set_cc_for_build
+	if echo __ARM_EABI__ | $CC_FOR_BUILD -E - 2>/dev/null \
+	    | grep -q __ARM_EABI__
+	then
+	    echo ${UNAME_MACHINE}-unknown-linux-gnu
+	else
+	    echo ${UNAME_MACHINE}-unknown-linux-gnueabi
+	fi
+	exit ;;
+    avr32*:Linux:*:*)
+	echo ${UNAME_MACHINE}-unknown-linux-gnu
+	exit ;;
+    cris:Linux:*:*)
+	echo cris-axis-linux-gnu
+	exit ;;
+    crisv32:Linux:*:*)
+	echo crisv32-axis-linux-gnu
+	exit ;;
+    frv:Linux:*:*)
+    	echo frv-unknown-linux-gnu
+	exit ;;
+    i*86:Linux:*:*)
+	LIBC=gnu
+	eval $set_cc_for_build
+	sed 's/^	//' << EOF >$dummy.c
+	#ifdef __dietlibc__
+	LIBC=dietlibc
+	#endif
+EOF
+	eval `$CC_FOR_BUILD -E $dummy.c 2>/dev/null | grep '^LIBC'`
+	echo "${UNAME_MACHINE}-pc-linux-${LIBC}"
+	exit ;;
+    ia64:Linux:*:*)
+	echo ${UNAME_MACHINE}-unknown-linux-gnu
+	exit ;;
+    m32r*:Linux:*:*)
+	echo ${UNAME_MACHINE}-unknown-linux-gnu
+	exit ;;
+    m68*:Linux:*:*)
+	echo ${UNAME_MACHINE}-unknown-linux-gnu
+	exit ;;
+    mips:Linux:*:* | mips64:Linux:*:*)
+	eval $set_cc_for_build
+	sed 's/^	//' << EOF >$dummy.c
+	#undef CPU
+	#undef ${UNAME_MACHINE}
+	#undef ${UNAME_MACHINE}el
+	#if defined(__MIPSEL__) || defined(__MIPSEL) || defined(_MIPSEL) || defined(MIPSEL)
+	CPU=${UNAME_MACHINE}el
+	#else
+	#if defined(__MIPSEB__) || defined(__MIPSEB) || defined(_MIPSEB) || defined(MIPSEB)
+	CPU=${UNAME_MACHINE}
+	#else
+	CPU=
+	#endif
+	#endif
+EOF
+	eval `$CC_FOR_BUILD -E $dummy.c 2>/dev/null | grep '^CPU'`
+	test x"${CPU}" != x && { echo "${CPU}-unknown-linux-gnu"; exit; }
+	;;
+    or32:Linux:*:*)
+	echo or32-unknown-linux-gnu
+	exit ;;
+    padre:Linux:*:*)
+	echo sparc-unknown-linux-gnu
+	exit ;;
+    parisc64:Linux:*:* | hppa64:Linux:*:*)
+	echo hppa64-unknown-linux-gnu
+	exit ;;
+    parisc:Linux:*:* | hppa:Linux:*:*)
+	# Look for CPU level
+	case `grep '^cpu[^a-z]*:' /proc/cpuinfo 2>/dev/null | cut -d' ' -f2` in
+	  PA7*) echo hppa1.1-unknown-linux-gnu ;;
+	  PA8*) echo hppa2.0-unknown-linux-gnu ;;
+	  *)    echo hppa-unknown-linux-gnu ;;
+	esac
+	exit ;;
+    ppc64:Linux:*:*)
+	echo powerpc64-unknown-linux-gnu
+	exit ;;
+    ppc:Linux:*:*)
+	echo powerpc-unknown-linux-gnu
+	exit ;;
+    s390:Linux:*:* | s390x:Linux:*:*)
+	echo ${UNAME_MACHINE}-ibm-linux
+	exit ;;
+    sh64*:Linux:*:*)
+    	echo ${UNAME_MACHINE}-unknown-linux-gnu
+	exit ;;
+    sh*:Linux:*:*)
+	echo ${UNAME_MACHINE}-unknown-linux-gnu
+	exit ;;
+    sparc:Linux:*:* | sparc64:Linux:*:*)
+	echo ${UNAME_MACHINE}-unknown-linux-gnu
+	exit ;;
+    vax:Linux:*:*)
+	echo ${UNAME_MACHINE}-dec-linux-gnu
+	exit ;;
+    x86_64:Linux:*:*)
+	echo x86_64-unknown-linux-gnu
+	exit ;;
+    xtensa*:Linux:*:*)
+    	echo ${UNAME_MACHINE}-unknown-linux-gnu
+	exit ;;
+    i*86:DYNIX/ptx:4*:*)
+	# ptx 4.0 does uname -s correctly, with DYNIX/ptx in there.
+	# earlier versions are messed up and put the nodename in both
+	# sysname and nodename.
+	echo i386-sequent-sysv4
+	exit ;;
+    i*86:UNIX_SV:4.2MP:2.*)
+        # Unixware is an offshoot of SVR4, but it has its own version
+        # number series starting with 2...
+        # I am not positive that other SVR4 systems won't match this,
+	# I just have to hope.  -- rms.
+        # Use sysv4.2uw... so that sysv4* matches it.
+	echo ${UNAME_MACHINE}-pc-sysv4.2uw${UNAME_VERSION}
+	exit ;;
+    i*86:OS/2:*:*)
+	# If we were able to find `uname', then EMX Unix compatibility
+	# is probably installed.
+	echo ${UNAME_MACHINE}-pc-os2-emx
+	exit ;;
+    i*86:XTS-300:*:STOP)
+	echo ${UNAME_MACHINE}-unknown-stop
+	exit ;;
+    i*86:atheos:*:*)
+	echo ${UNAME_MACHINE}-unknown-atheos
+	exit ;;
+    i*86:syllable:*:*)
+	echo ${UNAME_MACHINE}-pc-syllable
+	exit ;;
+    i*86:LynxOS:2.*:* | i*86:LynxOS:3.[01]*:* | i*86:LynxOS:4.[02]*:*)
+	echo i386-unknown-lynxos${UNAME_RELEASE}
+	exit ;;
+    i*86:*DOS:*:*)
+	echo ${UNAME_MACHINE}-pc-msdosdjgpp
+	exit ;;
+    i*86:*:4.*:* | i*86:SYSTEM_V:4.*:*)
+	UNAME_REL=`echo ${UNAME_RELEASE} | sed 's/\/MP$//'`
+	if grep Novell /usr/include/link.h >/dev/null 2>/dev/null; then
+		echo ${UNAME_MACHINE}-univel-sysv${UNAME_REL}
+	else
+		echo ${UNAME_MACHINE}-pc-sysv${UNAME_REL}
+	fi
+	exit ;;
+    i*86:*:5:[678]*)
+    	# UnixWare 7.x, OpenUNIX and OpenServer 6.
+	case `/bin/uname -X | grep "^Machine"` in
+	    *486*)	     UNAME_MACHINE=i486 ;;
+	    *Pentium)	     UNAME_MACHINE=i586 ;;
+	    *Pent*|*Celeron) UNAME_MACHINE=i686 ;;
+	esac
+	echo ${UNAME_MACHINE}-unknown-sysv${UNAME_RELEASE}${UNAME_SYSTEM}${UNAME_VERSION}
+	exit ;;
+    i*86:*:3.2:*)
+	if test -f /usr/options/cb.name; then
+		UNAME_REL=`sed -n 's/.*Version //p' </usr/options/cb.name`
+		echo ${UNAME_MACHINE}-pc-isc$UNAME_REL
+	elif /bin/uname -X 2>/dev/null >/dev/null ; then
+		UNAME_REL=`(/bin/uname -X|grep Release|sed -e 's/.*= //')`
+		(/bin/uname -X|grep i80486 >/dev/null) && UNAME_MACHINE=i486
+		(/bin/uname -X|grep '^Machine.*Pentium' >/dev/null) \
+			&& UNAME_MACHINE=i586
+		(/bin/uname -X|grep '^Machine.*Pent *II' >/dev/null) \
+			&& UNAME_MACHINE=i686
+		(/bin/uname -X|grep '^Machine.*Pentium Pro' >/dev/null) \
+			&& UNAME_MACHINE=i686
+		echo ${UNAME_MACHINE}-pc-sco$UNAME_REL
+	else
+		echo ${UNAME_MACHINE}-pc-sysv32
+	fi
+	exit ;;
+    pc:*:*:*)
+	# Left here for compatibility:
+        # uname -m prints for DJGPP always 'pc', but it prints nothing about
+        # the processor, so we play safe by assuming i586.
+	# Note: whatever this is, it MUST be the same as what config.sub
+	# prints for the "djgpp" host, or else GDB configury will decide that
+	# this is a cross-build.
+	echo i586-pc-msdosdjgpp
+        exit ;;
+    Intel:Mach:3*:*)
+	echo i386-pc-mach3
+	exit ;;
+    paragon:*:*:*)
+	echo i860-intel-osf1
+	exit ;;
+    i860:*:4.*:*) # i860-SVR4
+	if grep Stardent /usr/include/sys/uadmin.h >/dev/null 2>&1 ; then
+	  echo i860-stardent-sysv${UNAME_RELEASE} # Stardent Vistra i860-SVR4
+	else # Add other i860-SVR4 vendors below as they are discovered.
+	  echo i860-unknown-sysv${UNAME_RELEASE}  # Unknown i860-SVR4
+	fi
+	exit ;;
+    mini*:CTIX:SYS*5:*)
+	# "miniframe"
+	echo m68010-convergent-sysv
+	exit ;;
+    mc68k:UNIX:SYSTEM5:3.51m)
+	echo m68k-convergent-sysv
+	exit ;;
+    M680?0:D-NIX:5.3:*)
+	echo m68k-diab-dnix
+	exit ;;
+    M68*:*:R3V[5678]*:*)
+	test -r /sysV68 && { echo 'm68k-motorola-sysv'; exit; } ;;
+    3[345]??:*:4.0:3.0 | 3[34]??A:*:4.0:3.0 | 3[34]??,*:*:4.0:3.0 | 3[34]??/*:*:4.0:3.0 | 4400:*:4.0:3.0 | 4850:*:4.0:3.0 | SKA40:*:4.0:3.0 | SDS2:*:4.0:3.0 | SHG2:*:4.0:3.0 | S7501*:*:4.0:3.0)
+	OS_REL=''
+	test -r /etc/.relid \
+	&& OS_REL=.`sed -n 's/[^ ]* [^ ]* \([0-9][0-9]\).*/\1/p' < /etc/.relid`
+	/bin/uname -p 2>/dev/null | grep 86 >/dev/null \
+	  && { echo i486-ncr-sysv4.3${OS_REL}; exit; }
+	/bin/uname -p 2>/dev/null | /bin/grep entium >/dev/null \
+	  && { echo i586-ncr-sysv4.3${OS_REL}; exit; } ;;
+    3[34]??:*:4.0:* | 3[34]??,*:*:4.0:*)
+        /bin/uname -p 2>/dev/null | grep 86 >/dev/null \
+          && { echo i486-ncr-sysv4; exit; } ;;
+    NCR*:*:4.2:* | MPRAS*:*:4.2:*)
+	OS_REL='.3'
+	test -r /etc/.relid \
+	    && OS_REL=.`sed -n 's/[^ ]* [^ ]* \([0-9][0-9]\).*/\1/p' < /etc/.relid`
+	/bin/uname -p 2>/dev/null | grep 86 >/dev/null \
+	    && { echo i486-ncr-sysv4.3${OS_REL}; exit; }
+	/bin/uname -p 2>/dev/null | /bin/grep entium >/dev/null \
+	    && { echo i586-ncr-sysv4.3${OS_REL}; exit; }
+	/bin/uname -p 2>/dev/null | /bin/grep pteron >/dev/null \
+	    && { echo i586-ncr-sysv4.3${OS_REL}; exit; } ;;
+    m68*:LynxOS:2.*:* | m68*:LynxOS:3.0*:*)
+	echo m68k-unknown-lynxos${UNAME_RELEASE}
+	exit ;;
+    mc68030:UNIX_System_V:4.*:*)
+	echo m68k-atari-sysv4
+	exit ;;
+    TSUNAMI:LynxOS:2.*:*)
+	echo sparc-unknown-lynxos${UNAME_RELEASE}
+	exit ;;
+    rs6000:LynxOS:2.*:*)
+	echo rs6000-unknown-lynxos${UNAME_RELEASE}
+	exit ;;
+    PowerPC:LynxOS:2.*:* | PowerPC:LynxOS:3.[01]*:* | PowerPC:LynxOS:4.[02]*:*)
+	echo powerpc-unknown-lynxos${UNAME_RELEASE}
+	exit ;;
+    SM[BE]S:UNIX_SV:*:*)
+	echo mips-dde-sysv${UNAME_RELEASE}
+	exit ;;
+    RM*:ReliantUNIX-*:*:*)
+	echo mips-sni-sysv4
+	exit ;;
+    RM*:SINIX-*:*:*)
+	echo mips-sni-sysv4
+	exit ;;
+    *:SINIX-*:*:*)
+	if uname -p 2>/dev/null >/dev/null ; then
+		UNAME_MACHINE=`(uname -p) 2>/dev/null`
+		echo ${UNAME_MACHINE}-sni-sysv4
+	else
+		echo ns32k-sni-sysv
+	fi
+	exit ;;
+    PENTIUM:*:4.0*:*) # Unisys `ClearPath HMP IX 4000' SVR4/MP effort
+                      # says <Richard.M.Bartel at ccMail.Census.GOV>
+        echo i586-unisys-sysv4
+        exit ;;
+    *:UNIX_System_V:4*:FTX*)
+	# From Gerald Hewes <hewes at openmarket.com>.
+	# How about differentiating between stratus architectures? -djm
+	echo hppa1.1-stratus-sysv4
+	exit ;;
+    *:*:*:FTX*)
+	# From seanf at swdc.stratus.com.
+	echo i860-stratus-sysv4
+	exit ;;
+    i*86:VOS:*:*)
+	# From Paul.Green at stratus.com.
+	echo ${UNAME_MACHINE}-stratus-vos
+	exit ;;
+    *:VOS:*:*)
+	# From Paul.Green at stratus.com.
+	echo hppa1.1-stratus-vos
+	exit ;;
+    mc68*:A/UX:*:*)
+	echo m68k-apple-aux${UNAME_RELEASE}
+	exit ;;
+    news*:NEWS-OS:6*:*)
+	echo mips-sony-newsos6
+	exit ;;
+    R[34]000:*System_V*:*:* | R4000:UNIX_SYSV:*:* | R*000:UNIX_SV:*:*)
+	if [ -d /usr/nec ]; then
+	        echo mips-nec-sysv${UNAME_RELEASE}
+	else
+	        echo mips-unknown-sysv${UNAME_RELEASE}
+	fi
+        exit ;;
+    BeBox:BeOS:*:*)	# BeOS running on hardware made by Be, PPC only.
+	echo powerpc-be-beos
+	exit ;;
+    BeMac:BeOS:*:*)	# BeOS running on Mac or Mac clone, PPC only.
+	echo powerpc-apple-beos
+	exit ;;
+    BePC:BeOS:*:*)	# BeOS running on Intel PC compatible.
+	echo i586-pc-beos
+	exit ;;
+    BePC:Haiku:*:*)	# Haiku running on Intel PC compatible.
+	echo i586-pc-haiku
+	exit ;;
+    SX-4:SUPER-UX:*:*)
+	echo sx4-nec-superux${UNAME_RELEASE}
+	exit ;;
+    SX-5:SUPER-UX:*:*)
+	echo sx5-nec-superux${UNAME_RELEASE}
+	exit ;;
+    SX-6:SUPER-UX:*:*)
+	echo sx6-nec-superux${UNAME_RELEASE}
+	exit ;;
+    SX-7:SUPER-UX:*:*)
+	echo sx7-nec-superux${UNAME_RELEASE}
+	exit ;;
+    SX-8:SUPER-UX:*:*)
+	echo sx8-nec-superux${UNAME_RELEASE}
+	exit ;;
+    SX-8R:SUPER-UX:*:*)
+	echo sx8r-nec-superux${UNAME_RELEASE}
+	exit ;;
+    Power*:Rhapsody:*:*)
+	echo powerpc-apple-rhapsody${UNAME_RELEASE}
+	exit ;;
+    *:Rhapsody:*:*)
+	echo ${UNAME_MACHINE}-apple-rhapsody${UNAME_RELEASE}
+	exit ;;
+    *:Darwin:*:*)
+	UNAME_PROCESSOR=`uname -p` || UNAME_PROCESSOR=unknown
+	case $UNAME_PROCESSOR in
+	    i386)
+		eval $set_cc_for_build
+		if [ "$CC_FOR_BUILD" != 'no_compiler_found' ]; then
+		  if (echo '#ifdef __LP64__'; echo IS_64BIT_ARCH; echo '#endif') | \
+		      (CCOPTS= $CC_FOR_BUILD -E - 2>/dev/null) | \
+		      grep IS_64BIT_ARCH >/dev/null
+		  then
+		      UNAME_PROCESSOR="x86_64"
+		  fi
+		fi ;;
+	    unknown) UNAME_PROCESSOR=powerpc ;;
+	esac
+	echo ${UNAME_PROCESSOR}-apple-darwin${UNAME_RELEASE}
+	exit ;;
+    *:procnto*:*:* | *:QNX:[0123456789]*:*)
+	UNAME_PROCESSOR=`uname -p`
+	if test "$UNAME_PROCESSOR" = "x86"; then
+		UNAME_PROCESSOR=i386
+		UNAME_MACHINE=pc
+	fi
+	echo ${UNAME_PROCESSOR}-${UNAME_MACHINE}-nto-qnx${UNAME_RELEASE}
+	exit ;;
+    *:QNX:*:4*)
+	echo i386-pc-qnx
+	exit ;;
+    NSE-?:NONSTOP_KERNEL:*:*)
+	echo nse-tandem-nsk${UNAME_RELEASE}
+	exit ;;
+    NSR-?:NONSTOP_KERNEL:*:*)
+	echo nsr-tandem-nsk${UNAME_RELEASE}
+	exit ;;
+    *:NonStop-UX:*:*)
+	echo mips-compaq-nonstopux
+	exit ;;
+    BS2000:POSIX*:*:*)
+	echo bs2000-siemens-sysv
+	exit ;;
+    DS/*:UNIX_System_V:*:*)
+	echo ${UNAME_MACHINE}-${UNAME_SYSTEM}-${UNAME_RELEASE}
+	exit ;;
+    *:Plan9:*:*)
+	# "uname -m" is not consistent, so use $cputype instead. 386
+	# is converted to i386 for consistency with other x86
+	# operating systems.
+	if test "$cputype" = "386"; then
+	    UNAME_MACHINE=i386
+	else
+	    UNAME_MACHINE="$cputype"
+	fi
+	echo ${UNAME_MACHINE}-unknown-plan9
+	exit ;;
+    *:TOPS-10:*:*)
+	echo pdp10-unknown-tops10
+	exit ;;
+    *:TENEX:*:*)
+	echo pdp10-unknown-tenex
+	exit ;;
+    KS10:TOPS-20:*:* | KL10:TOPS-20:*:* | TYPE4:TOPS-20:*:*)
+	echo pdp10-dec-tops20
+	exit ;;
+    XKL-1:TOPS-20:*:* | TYPE5:TOPS-20:*:*)
+	echo pdp10-xkl-tops20
+	exit ;;
+    *:TOPS-20:*:*)
+	echo pdp10-unknown-tops20
+	exit ;;
+    *:ITS:*:*)
+	echo pdp10-unknown-its
+	exit ;;
+    SEI:*:*:SEIUX)
+        echo mips-sei-seiux${UNAME_RELEASE}
+	exit ;;
+    *:DragonFly:*:*)
+	echo ${UNAME_MACHINE}-unknown-dragonfly`echo ${UNAME_RELEASE}|sed -e 's/[-(].*//'`
+	exit ;;
+    *:*VMS:*:*)
+    	UNAME_MACHINE=`(uname -p) 2>/dev/null`
+	case "${UNAME_MACHINE}" in
+	    A*) echo alpha-dec-vms ; exit ;;
+	    I*) echo ia64-dec-vms ; exit ;;
+	    V*) echo vax-dec-vms ; exit ;;
+	esac ;;
+    *:XENIX:*:SysV)
+	echo i386-pc-xenix
+	exit ;;
+    i*86:skyos:*:*)
+	echo ${UNAME_MACHINE}-pc-skyos`echo ${UNAME_RELEASE}` | sed -e 's/ .*$//'
+	exit ;;
+    i*86:rdos:*:*)
+	echo ${UNAME_MACHINE}-pc-rdos
+	exit ;;
+    i*86:AROS:*:*)
+	echo ${UNAME_MACHINE}-pc-aros
+	exit ;;
+esac
+
+#echo '(No uname command or uname output not recognized.)' 1>&2
+#echo "${UNAME_MACHINE}:${UNAME_SYSTEM}:${UNAME_RELEASE}:${UNAME_VERSION}" 1>&2
+
+eval $set_cc_for_build
+cat >$dummy.c <<EOF
+#ifdef _SEQUENT_
+# include <sys/types.h>
+# include <sys/utsname.h>
+#endif
+main ()
+{
+#if defined (sony)
+#if defined (MIPSEB)
+  /* BFD wants "bsd" instead of "newsos".  Perhaps BFD should be changed,
+     I don't know....  */
+  printf ("mips-sony-bsd\n"); exit (0);
+#else
+#include <sys/param.h>
+  printf ("m68k-sony-newsos%s\n",
+#ifdef NEWSOS4
+          "4"
+#else
+	  ""
+#endif
+         ); exit (0);
+#endif
+#endif
+
+#if defined (__arm) && defined (__acorn) && defined (__unix)
+  printf ("arm-acorn-riscix\n"); exit (0);
+#endif
+
+#if defined (hp300) && !defined (hpux)
+  printf ("m68k-hp-bsd\n"); exit (0);
+#endif
+
+#if defined (NeXT)
+#if !defined (__ARCHITECTURE__)
+#define __ARCHITECTURE__ "m68k"
+#endif
+  int version;
+  version=`(hostinfo | sed -n 's/.*NeXT Mach \([0-9]*\).*/\1/p') 2>/dev/null`;
+  if (version < 4)
+    printf ("%s-next-nextstep%d\n", __ARCHITECTURE__, version);
+  else
+    printf ("%s-next-openstep%d\n", __ARCHITECTURE__, version);
+  exit (0);
+#endif
+
+#if defined (MULTIMAX) || defined (n16)
+#if defined (UMAXV)
+  printf ("ns32k-encore-sysv\n"); exit (0);
+#else
+#if defined (CMU)
+  printf ("ns32k-encore-mach\n"); exit (0);
+#else
+  printf ("ns32k-encore-bsd\n"); exit (0);
+#endif
+#endif
+#endif
+
+#if defined (__386BSD__)
+  printf ("i386-pc-bsd\n"); exit (0);
+#endif
+
+#if defined (sequent)
+#if defined (i386)
+  printf ("i386-sequent-dynix\n"); exit (0);
+#endif
+#if defined (ns32000)
+  printf ("ns32k-sequent-dynix\n"); exit (0);
+#endif
+#endif
+
+#if defined (_SEQUENT_)
+    struct utsname un;
+
+    uname(&un);
+
+    if (strncmp(un.version, "V2", 2) == 0) {
+	printf ("i386-sequent-ptx2\n"); exit (0);
+    }
+    if (strncmp(un.version, "V1", 2) == 0) { /* XXX is V1 correct? */
+	printf ("i386-sequent-ptx1\n"); exit (0);
+    }
+    printf ("i386-sequent-ptx\n"); exit (0);
+
+#endif
+
+#if defined (vax)
+# if !defined (ultrix)
+#  include <sys/param.h>
+#  if defined (BSD)
+#   if BSD == 43
+      printf ("vax-dec-bsd4.3\n"); exit (0);
+#   else
+#    if BSD == 199006
+      printf ("vax-dec-bsd4.3reno\n"); exit (0);
+#    else
+      printf ("vax-dec-bsd\n"); exit (0);
+#    endif
+#   endif
+#  else
+    printf ("vax-dec-bsd\n"); exit (0);
+#  endif
+# else
+    printf ("vax-dec-ultrix\n"); exit (0);
+# endif
+#endif
+
+#if defined (alliant) && defined (i860)
+  printf ("i860-alliant-bsd\n"); exit (0);
+#endif
+
+  exit (1);
+}
+EOF
+
+$CC_FOR_BUILD -o $dummy $dummy.c 2>/dev/null && SYSTEM_NAME=`$dummy` &&
+	{ echo "$SYSTEM_NAME"; exit; }
+
+# Apollos put the system type in the environment.
+
+test -d /usr/apollo && { echo ${ISP}-apollo-${SYSTYPE}; exit; }
+
+# Convex versions that predate uname can use getsysinfo(1)
+
+if [ -x /usr/convex/getsysinfo ]
+then
+    case `getsysinfo -f cpu_type` in
+    c1*)
+	echo c1-convex-bsd
+	exit ;;
+    c2*)
+	if getsysinfo -f scalar_acc
+	then echo c32-convex-bsd
+	else echo c2-convex-bsd
+	fi
+	exit ;;
+    c34*)
+	echo c34-convex-bsd
+	exit ;;
+    c38*)
+	echo c38-convex-bsd
+	exit ;;
+    c4*)
+	echo c4-convex-bsd
+	exit ;;
+    esac
+fi
+
+cat >&2 <<EOF
+$0: unable to guess system type
+
+This script, last modified $timestamp, has failed to recognize
+the operating system you are using. It is advised that you
+download the most up to date version of the config scripts from
+
+  http://git.savannah.gnu.org/gitweb/?p=config.git;a=blob_plain;f=config.guess;hb=HEAD
+and
+  http://git.savannah.gnu.org/gitweb/?p=config.git;a=blob_plain;f=config.sub;hb=HEAD
+
+If the version you run ($0) is already up to date, please
+send the following data and any information you think might be
+pertinent to <config-patches at gnu.org> in order to provide the needed
+information to handle your system.
+
+config.guess timestamp = $timestamp
+
+uname -m = `(uname -m) 2>/dev/null || echo unknown`
+uname -r = `(uname -r) 2>/dev/null || echo unknown`
+uname -s = `(uname -s) 2>/dev/null || echo unknown`
+uname -v = `(uname -v) 2>/dev/null || echo unknown`
+
+/usr/bin/uname -p = `(/usr/bin/uname -p) 2>/dev/null`
+/bin/uname -X     = `(/bin/uname -X) 2>/dev/null`
+
+hostinfo               = `(hostinfo) 2>/dev/null`
+/bin/universe          = `(/bin/universe) 2>/dev/null`
+/usr/bin/arch -k       = `(/usr/bin/arch -k) 2>/dev/null`
+/bin/arch              = `(/bin/arch) 2>/dev/null`
+/usr/bin/oslevel       = `(/usr/bin/oslevel) 2>/dev/null`
+/usr/convex/getsysinfo = `(/usr/convex/getsysinfo) 2>/dev/null`
+
+UNAME_MACHINE = ${UNAME_MACHINE}
+UNAME_RELEASE = ${UNAME_RELEASE}
+UNAME_SYSTEM  = ${UNAME_SYSTEM}
+UNAME_VERSION = ${UNAME_VERSION}
+EOF
+
+exit 1
+
+# Local variables:
+# eval: (add-hook 'write-file-hooks 'time-stamp)
+# time-stamp-start: "timestamp='"
+# time-stamp-format: "%:y-%02m-%02d"
+# time-stamp-end: "'"
+# End:
diff --git a/auxconf/config.sub b/auxconf/config.sub
new file mode 100755
index 0000000..2a55a50
--- /dev/null
+++ b/auxconf/config.sub
@@ -0,0 +1,1705 @@
+#! /bin/sh
+# Configuration validation subroutine script.
+#   Copyright (C) 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999,
+#   2000, 2001, 2002, 2003, 2004, 2005, 2006, 2007, 2008, 2009
+#   Free Software Foundation, Inc.
+
+timestamp='2009-11-20'
+
+# This file is (in principle) common to ALL GNU software.
+# The presence of a machine in this file suggests that SOME GNU software
+# can handle that machine.  It does not imply ALL GNU software can.
+#
+# This file is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 2 of the License, or
+# (at your option) any later version.
+#
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+#
+# You should have received a copy of the GNU General Public License
+# along with this program; if not, write to the Free Software
+# Foundation, Inc., 51 Franklin Street - Fifth Floor, Boston, MA
+# 02110-1301, USA.
+#
+# As a special exception to the GNU General Public License, if you
+# distribute this file as part of a program that contains a
+# configuration script generated by Autoconf, you may include it under
+# the same distribution terms that you use for the rest of that program.
+
+
+# Please send patches to <config-patches at gnu.org>.  Submit a context
+# diff and a properly formatted GNU ChangeLog entry.
+#
+# Configuration subroutine to validate and canonicalize a configuration type.
+# Supply the specified configuration type as an argument.
+# If it is invalid, we print an error message on stderr and exit with code 1.
+# Otherwise, we print the canonical config type on stdout and succeed.
+
+# You can get the latest version of this script from:
+# http://git.savannah.gnu.org/gitweb/?p=config.git;a=blob_plain;f=config.sub;hb=HEAD
+
+# This file is supposed to be the same for all GNU packages
+# and recognize all the CPU types, system types and aliases
+# that are meaningful with *any* GNU software.
+# Each package is responsible for reporting which valid configurations
+# it does not support.  The user should be able to distinguish
+# a failure to support a valid configuration from a meaningless
+# configuration.
+
+# The goal of this file is to map all the various variations of a given
+# machine specification into a single specification in the form:
+#	CPU_TYPE-MANUFACTURER-OPERATING_SYSTEM
+# or in some cases, the newer four-part form:
+#	CPU_TYPE-MANUFACTURER-KERNEL-OPERATING_SYSTEM
+# It is wrong to echo any other type of specification.
+
+me=`echo "$0" | sed -e 's,.*/,,'`
+
+usage="\
+Usage: $0 [OPTION] CPU-MFR-OPSYS
+       $0 [OPTION] ALIAS
+
+Canonicalize a configuration name.
+
+Operation modes:
+  -h, --help         print this help, then exit
+  -t, --time-stamp   print date of last modification, then exit
+  -v, --version      print version number, then exit
+
+Report bugs and patches to <config-patches at gnu.org>."
+
+version="\
+GNU config.sub ($timestamp)
+
+Copyright (C) 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001,
+2002, 2003, 2004, 2005, 2006, 2007, 2008 Free Software Foundation, Inc.
+
+This is free software; see the source for copying conditions.  There is NO
+warranty; not even for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE."
+
+help="
+Try \`$me --help' for more information."
+
+# Parse command line
+while test $# -gt 0 ; do
+  case $1 in
+    --time-stamp | --time* | -t )
+       echo "$timestamp" ; exit ;;
+    --version | -v )
+       echo "$version" ; exit ;;
+    --help | --h* | -h )
+       echo "$usage"; exit ;;
+    -- )     # Stop option processing
+       shift; break ;;
+    - )	# Use stdin as input.
+       break ;;
+    -* )
+       echo "$me: invalid option $1$help"
+       exit 1 ;;
+
+    *local*)
+       # First pass through any local machine types.
+       echo $1
+       exit ;;
+
+    * )
+       break ;;
+  esac
+done
+
+case $# in
+ 0) echo "$me: missing argument$help" >&2
+    exit 1;;
+ 1) ;;
+ *) echo "$me: too many arguments$help" >&2
+    exit 1;;
+esac
+
+# Separate what the user gave into CPU-COMPANY and OS or KERNEL-OS (if any).
+# Here we must recognize all the valid KERNEL-OS combinations.
+maybe_os=`echo $1 | sed 's/^\(.*\)-\([^-]*-[^-]*\)$/\2/'`
+case $maybe_os in
+  nto-qnx* | linux-gnu* | linux-dietlibc | linux-newlib* | linux-uclibc* | \
+  uclinux-uclibc* | uclinux-gnu* | kfreebsd*-gnu* | knetbsd*-gnu* | netbsd*-gnu* | \
+  kopensolaris*-gnu* | \
+  storm-chaos* | os2-emx* | rtmk-nova*)
+    os=-$maybe_os
+    basic_machine=`echo $1 | sed 's/^\(.*\)-\([^-]*-[^-]*\)$/\1/'`
+    ;;
+  *)
+    basic_machine=`echo $1 | sed 's/-[^-]*$//'`
+    if [ $basic_machine != $1 ]
+    then os=`echo $1 | sed 's/.*-/-/'`
+    else os=; fi
+    ;;
+esac
+
+### Let's recognize common machines as not being operating systems so
+### that things like config.sub decstation-3100 work.  We also
+### recognize some manufacturers as not being operating systems, so we
+### can provide default operating systems below.
+case $os in
+	-sun*os*)
+		# Prevent following clause from handling this invalid input.
+		;;
+	-dec* | -mips* | -sequent* | -encore* | -pc532* | -sgi* | -sony* | \
+	-att* | -7300* | -3300* | -delta* | -motorola* | -sun[234]* | \
+	-unicom* | -ibm* | -next | -hp | -isi* | -apollo | -altos* | \
+	-convergent* | -ncr* | -news | -32* | -3600* | -3100* | -hitachi* |\
+	-c[123]* | -convex* | -sun | -crds | -omron* | -dg | -ultra | -tti* | \
+	-harris | -dolphin | -highlevel | -gould | -cbm | -ns | -masscomp | \
+	-apple | -axis | -knuth | -cray | -microblaze)
+		os=
+		basic_machine=$1
+		;;
+        -bluegene*)
+	        os=-cnk
+		;;
+	-sim | -cisco | -oki | -wec | -winbond)
+		os=
+		basic_machine=$1
+		;;
+	-scout)
+		;;
+	-wrs)
+		os=-vxworks
+		basic_machine=$1
+		;;
+	-chorusos*)
+		os=-chorusos
+		basic_machine=$1
+		;;
+ 	-chorusrdb)
+ 		os=-chorusrdb
+		basic_machine=$1
+ 		;;
+	-hiux*)
+		os=-hiuxwe2
+		;;
+	-sco6)
+		os=-sco5v6
+		basic_machine=`echo $1 | sed -e 's/86-.*/86-pc/'`
+		;;
+	-sco5)
+		os=-sco3.2v5
+		basic_machine=`echo $1 | sed -e 's/86-.*/86-pc/'`
+		;;
+	-sco4)
+		os=-sco3.2v4
+		basic_machine=`echo $1 | sed -e 's/86-.*/86-pc/'`
+		;;
+	-sco3.2.[4-9]*)
+		os=`echo $os | sed -e 's/sco3.2./sco3.2v/'`
+		basic_machine=`echo $1 | sed -e 's/86-.*/86-pc/'`
+		;;
+	-sco3.2v[4-9]*)
+		# Don't forget version if it is 3.2v4 or newer.
+		basic_machine=`echo $1 | sed -e 's/86-.*/86-pc/'`
+		;;
+	-sco5v6*)
+		# Don't forget version if it is 3.2v4 or newer.
+		basic_machine=`echo $1 | sed -e 's/86-.*/86-pc/'`
+		;;
+	-sco*)
+		os=-sco3.2v2
+		basic_machine=`echo $1 | sed -e 's/86-.*/86-pc/'`
+		;;
+	-udk*)
+		basic_machine=`echo $1 | sed -e 's/86-.*/86-pc/'`
+		;;
+	-isc)
+		os=-isc2.2
+		basic_machine=`echo $1 | sed -e 's/86-.*/86-pc/'`
+		;;
+	-clix*)
+		basic_machine=clipper-intergraph
+		;;
+	-isc*)
+		basic_machine=`echo $1 | sed -e 's/86-.*/86-pc/'`
+		;;
+	-lynx*)
+		os=-lynxos
+		;;
+	-ptx*)
+		basic_machine=`echo $1 | sed -e 's/86-.*/86-sequent/'`
+		;;
+	-windowsnt*)
+		os=`echo $os | sed -e 's/windowsnt/winnt/'`
+		;;
+	-psos*)
+		os=-psos
+		;;
+	-mint | -mint[0-9]*)
+		basic_machine=m68k-atari
+		os=-mint
+		;;
+esac
+
+# Decode aliases for certain CPU-COMPANY combinations.
+case $basic_machine in
+	# Recognize the basic CPU types without company name.
+	# Some are omitted here because they have special meanings below.
+	1750a | 580 \
+	| a29k \
+	| alpha | alphaev[4-8] | alphaev56 | alphaev6[78] | alphapca5[67] \
+	| alpha64 | alpha64ev[4-8] | alpha64ev56 | alpha64ev6[78] | alpha64pca5[67] \
+	| am33_2.0 \
+	| arc | arm | arm[bl]e | arme[lb] | armv[2345] | armv[345][lb] | avr | avr32 \
+	| bfin \
+	| c4x | clipper \
+	| d10v | d30v | dlx | dsp16xx \
+	| fido | fr30 | frv \
+	| h8300 | h8500 | hppa | hppa1.[01] | hppa2.0 | hppa2.0[nw] | hppa64 \
+	| i370 | i860 | i960 | ia64 \
+	| ip2k | iq2000 \
+	| lm32 \
+	| m32c | m32r | m32rle | m68000 | m68k | m88k \
+	| maxq | mb | microblaze | mcore | mep | metag \
+	| mips | mipsbe | mipseb | mipsel | mipsle \
+	| mips16 \
+	| mips64 | mips64el \
+	| mips64octeon | mips64octeonel \
+	| mips64orion | mips64orionel \
+	| mips64r5900 | mips64r5900el \
+	| mips64vr | mips64vrel \
+	| mips64vr4100 | mips64vr4100el \
+	| mips64vr4300 | mips64vr4300el \
+	| mips64vr5000 | mips64vr5000el \
+	| mips64vr5900 | mips64vr5900el \
+	| mipsisa32 | mipsisa32el \
+	| mipsisa32r2 | mipsisa32r2el \
+	| mipsisa64 | mipsisa64el \
+	| mipsisa64r2 | mipsisa64r2el \
+	| mipsisa64sb1 | mipsisa64sb1el \
+	| mipsisa64sr71k | mipsisa64sr71kel \
+	| mipstx39 | mipstx39el \
+	| mn10200 | mn10300 \
+	| moxie \
+	| mt \
+	| msp430 \
+	| nios | nios2 \
+	| ns16k | ns32k \
+	| or32 \
+	| pdp10 | pdp11 | pj | pjl \
+	| powerpc | powerpc64 | powerpc64le | powerpcle | ppcbe \
+	| pyramid \
+	| rx \
+	| score \
+	| sh | sh[1234] | sh[24]a | sh[24]aeb | sh[23]e | sh[34]eb | sheb | shbe | shle | sh[1234]le | sh3ele \
+	| sh64 | sh64le \
+	| sparc | sparc64 | sparc64b | sparc64v | sparc86x | sparclet | sparclite \
+	| sparcv8 | sparcv9 | sparcv9b | sparcv9v \
+	| spu | strongarm \
+	| tahoe | thumb | tic4x | tic80 | tron \
+	| ubicom32 \
+	| v850 | v850e \
+	| we32k \
+	| x86 | xc16x | xscale | xscalee[bl] | xstormy16 | xtensa \
+	| z8k | z80)
+		basic_machine=$basic_machine-unknown
+		;;
+	m6811 | m68hc11 | m6812 | m68hc12 | picochip)
+		# Motorola 68HC11/12.
+		basic_machine=$basic_machine-unknown
+		os=-none
+		;;
+	m88110 | m680[12346]0 | m683?2 | m68360 | m5200 | v70 | w65 | z8k)
+		;;
+	ms1)
+		basic_machine=mt-unknown
+		;;
+
+	# We use `pc' rather than `unknown'
+	# because (1) that's what they normally are, and
+	# (2) the word "unknown" tends to confuse beginning users.
+	i*86 | x86_64)
+	  basic_machine=$basic_machine-pc
+	  ;;
+	# Object if more than one company name word.
+	*-*-*)
+		echo Invalid configuration \`$1\': machine \`$basic_machine\' not recognized 1>&2
+		exit 1
+		;;
+	# Recognize the basic CPU types with company name.
+	580-* \
+	| a29k-* \
+	| alpha-* | alphaev[4-8]-* | alphaev56-* | alphaev6[78]-* \
+	| alpha64-* | alpha64ev[4-8]-* | alpha64ev56-* | alpha64ev6[78]-* \
+	| alphapca5[67]-* | alpha64pca5[67]-* | arc-* \
+	| arm-*  | armbe-* | armle-* | armeb-* | armv*-* \
+	| avr-* | avr32-* \
+	| bfin-* | bs2000-* \
+	| c[123]* | c30-* | [cjt]90-* | c4x-* | c54x-* | c55x-* | c6x-* \
+	| clipper-* | craynv-* | cydra-* \
+	| d10v-* | d30v-* | dlx-* \
+	| elxsi-* \
+	| f30[01]-* | f700-* | fido-* | fr30-* | frv-* | fx80-* \
+	| h8300-* | h8500-* \
+	| hppa-* | hppa1.[01]-* | hppa2.0-* | hppa2.0[nw]-* | hppa64-* \
+	| i*86-* | i860-* | i960-* | ia64-* \
+	| ip2k-* | iq2000-* \
+	| lm32-* \
+	| m32c-* | m32r-* | m32rle-* \
+	| m68000-* | m680[012346]0-* | m68360-* | m683?2-* | m68k-* \
+	| m88110-* | m88k-* | maxq-* | mcore-* | metag-* | microblaze-* \
+	| mips-* | mipsbe-* | mipseb-* | mipsel-* | mipsle-* \
+	| mips16-* \
+	| mips64-* | mips64el-* \
+	| mips64octeon-* | mips64octeonel-* \
+	| mips64orion-* | mips64orionel-* \
+	| mips64r5900-* | mips64r5900el-* \
+	| mips64vr-* | mips64vrel-* \
+	| mips64vr4100-* | mips64vr4100el-* \
+	| mips64vr4300-* | mips64vr4300el-* \
+	| mips64vr5000-* | mips64vr5000el-* \
+	| mips64vr5900-* | mips64vr5900el-* \
+	| mipsisa32-* | mipsisa32el-* \
+	| mipsisa32r2-* | mipsisa32r2el-* \
+	| mipsisa64-* | mipsisa64el-* \
+	| mipsisa64r2-* | mipsisa64r2el-* \
+	| mipsisa64sb1-* | mipsisa64sb1el-* \
+	| mipsisa64sr71k-* | mipsisa64sr71kel-* \
+	| mipstx39-* | mipstx39el-* \
+	| mmix-* \
+	| mt-* \
+	| msp430-* \
+	| nios-* | nios2-* \
+	| none-* | np1-* | ns16k-* | ns32k-* \
+	| orion-* \
+	| pdp10-* | pdp11-* | pj-* | pjl-* | pn-* | power-* \
+	| powerpc-* | powerpc64-* | powerpc64le-* | powerpcle-* | ppcbe-* \
+	| pyramid-* \
+	| romp-* | rs6000-* | rx-* \
+	| sh-* | sh[1234]-* | sh[24]a-* | sh[24]aeb-* | sh[23]e-* | sh[34]eb-* | sheb-* | shbe-* \
+	| shle-* | sh[1234]le-* | sh3ele-* | sh64-* | sh64le-* \
+	| sparc-* | sparc64-* | sparc64b-* | sparc64v-* | sparc86x-* | sparclet-* \
+	| sparclite-* \
+	| sparcv8-* | sparcv9-* | sparcv9b-* | sparcv9v-* | strongarm-* | sv1-* | sx?-* \
+	| tahoe-* | thumb-* \
+	| tic30-* | tic4x-* | tic54x-* | tic55x-* | tic6x-* | tic80-* | tile-* \
+	| tron-* \
+	| ubicom32-* \
+	| v850-* | v850e-* | vax-* \
+	| we32k-* \
+	| x86-* | x86_64-* | xc16x-* | xps100-* | xscale-* | xscalee[bl]-* \
+	| xstormy16-* | xtensa*-* \
+	| ymp-* \
+	| z8k-* | z80-*)
+		;;
+	# Recognize the basic CPU types without company name, with glob match.
+	xtensa*)
+		basic_machine=$basic_machine-unknown
+		;;
+	# Recognize the various machine names and aliases which stand
+	# for a CPU type and a company and sometimes even an OS.
+	386bsd)
+		basic_machine=i386-unknown
+		os=-bsd
+		;;
+	3b1 | 7300 | 7300-att | att-7300 | pc7300 | safari | unixpc)
+		basic_machine=m68000-att
+		;;
+	3b*)
+		basic_machine=we32k-att
+		;;
+	a29khif)
+		basic_machine=a29k-amd
+		os=-udi
+		;;
+    	abacus)
+		basic_machine=abacus-unknown
+		;;
+	adobe68k)
+		basic_machine=m68010-adobe
+		os=-scout
+		;;
+	alliant | fx80)
+		basic_machine=fx80-alliant
+		;;
+	altos | altos3068)
+		basic_machine=m68k-altos
+		;;
+	am29k)
+		basic_machine=a29k-none
+		os=-bsd
+		;;
+	amd64)
+		basic_machine=x86_64-pc
+		;;
+	amd64-*)
+		basic_machine=x86_64-`echo $basic_machine | sed 's/^[^-]*-//'`
+		;;
+	amdahl)
+		basic_machine=580-amdahl
+		os=-sysv
+		;;
+	amiga | amiga-*)
+		basic_machine=m68k-unknown
+		;;
+	amigaos | amigados)
+		basic_machine=m68k-unknown
+		os=-amigaos
+		;;
+	amigaunix | amix)
+		basic_machine=m68k-unknown
+		os=-sysv4
+		;;
+	apollo68)
+		basic_machine=m68k-apollo
+		os=-sysv
+		;;
+	apollo68bsd)
+		basic_machine=m68k-apollo
+		os=-bsd
+		;;
+	aros)
+		basic_machine=i386-pc
+		os=-aros
+		;;
+	aux)
+		basic_machine=m68k-apple
+		os=-aux
+		;;
+	balance)
+		basic_machine=ns32k-sequent
+		os=-dynix
+		;;
+	blackfin)
+		basic_machine=bfin-unknown
+		os=-linux
+		;;
+	blackfin-*)
+		basic_machine=bfin-`echo $basic_machine | sed 's/^[^-]*-//'`
+		os=-linux
+		;;
+	bluegene*)
+		basic_machine=powerpc-ibm
+		os=-cnk
+		;;
+	c90)
+		basic_machine=c90-cray
+		os=-unicos
+		;;
+        cegcc)
+		basic_machine=arm-unknown
+		os=-cegcc
+		;;
+	convex-c1)
+		basic_machine=c1-convex
+		os=-bsd
+		;;
+	convex-c2)
+		basic_machine=c2-convex
+		os=-bsd
+		;;
+	convex-c32)
+		basic_machine=c32-convex
+		os=-bsd
+		;;
+	convex-c34)
+		basic_machine=c34-convex
+		os=-bsd
+		;;
+	convex-c38)
+		basic_machine=c38-convex
+		os=-bsd
+		;;
+	cray | j90)
+		basic_machine=j90-cray
+		os=-unicos
+		;;
+	craynv)
+		basic_machine=craynv-cray
+		os=-unicosmp
+		;;
+	cr16)
+		basic_machine=cr16-unknown
+		os=-elf
+		;;
+	crds | unos)
+		basic_machine=m68k-crds
+		;;
+	crisv32 | crisv32-* | etraxfs*)
+		basic_machine=crisv32-axis
+		;;
+	cris | cris-* | etrax*)
+		basic_machine=cris-axis
+		;;
+	crx)
+		basic_machine=crx-unknown
+		os=-elf
+		;;
+	da30 | da30-*)
+		basic_machine=m68k-da30
+		;;
+	decstation | decstation-3100 | pmax | pmax-* | pmin | dec3100 | decstatn)
+		basic_machine=mips-dec
+		;;
+	decsystem10* | dec10*)
+		basic_machine=pdp10-dec
+		os=-tops10
+		;;
+	decsystem20* | dec20*)
+		basic_machine=pdp10-dec
+		os=-tops20
+		;;
+	delta | 3300 | motorola-3300 | motorola-delta \
+	      | 3300-motorola | delta-motorola)
+		basic_machine=m68k-motorola
+		;;
+	delta88)
+		basic_machine=m88k-motorola
+		os=-sysv3
+		;;
+	dicos)
+		basic_machine=i686-pc
+		os=-dicos
+		;;
+	djgpp)
+		basic_machine=i586-pc
+		os=-msdosdjgpp
+		;;
+	dpx20 | dpx20-*)
+		basic_machine=rs6000-bull
+		os=-bosx
+		;;
+	dpx2* | dpx2*-bull)
+		basic_machine=m68k-bull
+		os=-sysv3
+		;;
+	ebmon29k)
+		basic_machine=a29k-amd
+		os=-ebmon
+		;;
+	elxsi)
+		basic_machine=elxsi-elxsi
+		os=-bsd
+		;;
+	encore | umax | mmax)
+		basic_machine=ns32k-encore
+		;;
+	es1800 | OSE68k | ose68k | ose | OSE)
+		basic_machine=m68k-ericsson
+		os=-ose
+		;;
+	fx2800)
+		basic_machine=i860-alliant
+		;;
+	genix)
+		basic_machine=ns32k-ns
+		;;
+	gmicro)
+		basic_machine=tron-gmicro
+		os=-sysv
+		;;
+	go32)
+		basic_machine=i386-pc
+		os=-go32
+		;;
+	h3050r* | hiux*)
+		basic_machine=hppa1.1-hitachi
+		os=-hiuxwe2
+		;;
+	h8300hms)
+		basic_machine=h8300-hitachi
+		os=-hms
+		;;
+	h8300xray)
+		basic_machine=h8300-hitachi
+		os=-xray
+		;;
+	h8500hms)
+		basic_machine=h8500-hitachi
+		os=-hms
+		;;
+	harris)
+		basic_machine=m88k-harris
+		os=-sysv3
+		;;
+	hp300-*)
+		basic_machine=m68k-hp
+		;;
+	hp300bsd)
+		basic_machine=m68k-hp
+		os=-bsd
+		;;
+	hp300hpux)
+		basic_machine=m68k-hp
+		os=-hpux
+		;;
+	hp3k9[0-9][0-9] | hp9[0-9][0-9])
+		basic_machine=hppa1.0-hp
+		;;
+	hp9k2[0-9][0-9] | hp9k31[0-9])
+		basic_machine=m68000-hp
+		;;
+	hp9k3[2-9][0-9])
+		basic_machine=m68k-hp
+		;;
+	hp9k6[0-9][0-9] | hp6[0-9][0-9])
+		basic_machine=hppa1.0-hp
+		;;
+	hp9k7[0-79][0-9] | hp7[0-79][0-9])
+		basic_machine=hppa1.1-hp
+		;;
+	hp9k78[0-9] | hp78[0-9])
+		# FIXME: really hppa2.0-hp
+		basic_machine=hppa1.1-hp
+		;;
+	hp9k8[67]1 | hp8[67]1 | hp9k80[24] | hp80[24] | hp9k8[78]9 | hp8[78]9 | hp9k893 | hp893)
+		# FIXME: really hppa2.0-hp
+		basic_machine=hppa1.1-hp
+		;;
+	hp9k8[0-9][13679] | hp8[0-9][13679])
+		basic_machine=hppa1.1-hp
+		;;
+	hp9k8[0-9][0-9] | hp8[0-9][0-9])
+		basic_machine=hppa1.0-hp
+		;;
+	hppa-next)
+		os=-nextstep3
+		;;
+	hppaosf)
+		basic_machine=hppa1.1-hp
+		os=-osf
+		;;
+	hppro)
+		basic_machine=hppa1.1-hp
+		os=-proelf
+		;;
+	i370-ibm* | ibm*)
+		basic_machine=i370-ibm
+		;;
+# I'm not sure what "Sysv32" means.  Should this be sysv3.2?
+	i*86v32)
+		basic_machine=`echo $1 | sed -e 's/86.*/86-pc/'`
+		os=-sysv32
+		;;
+	i*86v4*)
+		basic_machine=`echo $1 | sed -e 's/86.*/86-pc/'`
+		os=-sysv4
+		;;
+	i*86v)
+		basic_machine=`echo $1 | sed -e 's/86.*/86-pc/'`
+		os=-sysv
+		;;
+	i*86sol2)
+		basic_machine=`echo $1 | sed -e 's/86.*/86-pc/'`
+		os=-solaris2
+		;;
+	i386mach)
+		basic_machine=i386-mach
+		os=-mach
+		;;
+	i386-vsta | vsta)
+		basic_machine=i386-unknown
+		os=-vsta
+		;;
+	iris | iris4d)
+		basic_machine=mips-sgi
+		case $os in
+		    -irix*)
+			;;
+		    *)
+			os=-irix4
+			;;
+		esac
+		;;
+	isi68 | isi)
+		basic_machine=m68k-isi
+		os=-sysv
+		;;
+	m68knommu)
+		basic_machine=m68k-unknown
+		os=-linux
+		;;
+	m68knommu-*)
+		basic_machine=m68k-`echo $basic_machine | sed 's/^[^-]*-//'`
+		os=-linux
+		;;
+	m88k-omron*)
+		basic_machine=m88k-omron
+		;;
+	magnum | m3230)
+		basic_machine=mips-mips
+		os=-sysv
+		;;
+	merlin)
+		basic_machine=ns32k-utek
+		os=-sysv
+		;;
+        microblaze)
+		basic_machine=microblaze-xilinx
+		;;
+	mingw32)
+		basic_machine=i386-pc
+		os=-mingw32
+		;;
+	mingw32ce)
+		basic_machine=arm-unknown
+		os=-mingw32ce
+		;;
+	miniframe)
+		basic_machine=m68000-convergent
+		;;
+	*mint | -mint[0-9]* | *MiNT | *MiNT[0-9]*)
+		basic_machine=m68k-atari
+		os=-mint
+		;;
+	mips3*-*)
+		basic_machine=`echo $basic_machine | sed -e 's/mips3/mips64/'`
+		;;
+	mips3*)
+		basic_machine=`echo $basic_machine | sed -e 's/mips3/mips64/'`-unknown
+		;;
+	monitor)
+		basic_machine=m68k-rom68k
+		os=-coff
+		;;
+	morphos)
+		basic_machine=powerpc-unknown
+		os=-morphos
+		;;
+	msdos)
+		basic_machine=i386-pc
+		os=-msdos
+		;;
+	ms1-*)
+		basic_machine=`echo $basic_machine | sed -e 's/ms1-/mt-/'`
+		;;
+	mvs)
+		basic_machine=i370-ibm
+		os=-mvs
+		;;
+	ncr3000)
+		basic_machine=i486-ncr
+		os=-sysv4
+		;;
+	netbsd386)
+		basic_machine=i386-unknown
+		os=-netbsd
+		;;
+	netwinder)
+		basic_machine=armv4l-rebel
+		os=-linux
+		;;
+	news | news700 | news800 | news900)
+		basic_machine=m68k-sony
+		os=-newsos
+		;;
+	news1000)
+		basic_machine=m68030-sony
+		os=-newsos
+		;;
+	news-3600 | risc-news)
+		basic_machine=mips-sony
+		os=-newsos
+		;;
+	necv70)
+		basic_machine=v70-nec
+		os=-sysv
+		;;
+	next | m*-next )
+		basic_machine=m68k-next
+		case $os in
+		    -nextstep* )
+			;;
+		    -ns2*)
+		      os=-nextstep2
+			;;
+		    *)
+		      os=-nextstep3
+			;;
+		esac
+		;;
+	nh3000)
+		basic_machine=m68k-harris
+		os=-cxux
+		;;
+	nh[45]000)
+		basic_machine=m88k-harris
+		os=-cxux
+		;;
+	nindy960)
+		basic_machine=i960-intel
+		os=-nindy
+		;;
+	mon960)
+		basic_machine=i960-intel
+		os=-mon960
+		;;
+	nonstopux)
+		basic_machine=mips-compaq
+		os=-nonstopux
+		;;
+	np1)
+		basic_machine=np1-gould
+		;;
+	nsr-tandem)
+		basic_machine=nsr-tandem
+		;;
+	op50n-* | op60c-*)
+		basic_machine=hppa1.1-oki
+		os=-proelf
+		;;
+	openrisc | openrisc-*)
+		basic_machine=or32-unknown
+		;;
+	os400)
+		basic_machine=powerpc-ibm
+		os=-os400
+		;;
+	OSE68000 | ose68000)
+		basic_machine=m68000-ericsson
+		os=-ose
+		;;
+	os68k)
+		basic_machine=m68k-none
+		os=-os68k
+		;;
+	pa-hitachi)
+		basic_machine=hppa1.1-hitachi
+		os=-hiuxwe2
+		;;
+	paragon)
+		basic_machine=i860-intel
+		os=-osf
+		;;
+	parisc)
+		basic_machine=hppa-unknown
+		os=-linux
+		;;
+	parisc-*)
+		basic_machine=hppa-`echo $basic_machine | sed 's/^[^-]*-//'`
+		os=-linux
+		;;
+	pbd)
+		basic_machine=sparc-tti
+		;;
+	pbb)
+		basic_machine=m68k-tti
+		;;
+	pc532 | pc532-*)
+		basic_machine=ns32k-pc532
+		;;
+	pc98)
+		basic_machine=i386-pc
+		;;
+	pc98-*)
+		basic_machine=i386-`echo $basic_machine | sed 's/^[^-]*-//'`
+		;;
+	pentium | p5 | k5 | k6 | nexgen | viac3)
+		basic_machine=i586-pc
+		;;
+	pentiumpro | p6 | 6x86 | athlon | athlon_*)
+		basic_machine=i686-pc
+		;;
+	pentiumii | pentium2 | pentiumiii | pentium3)
+		basic_machine=i686-pc
+		;;
+	pentium4)
+		basic_machine=i786-pc
+		;;
+	pentium-* | p5-* | k5-* | k6-* | nexgen-* | viac3-*)
+		basic_machine=i586-`echo $basic_machine | sed 's/^[^-]*-//'`
+		;;
+	pentiumpro-* | p6-* | 6x86-* | athlon-*)
+		basic_machine=i686-`echo $basic_machine | sed 's/^[^-]*-//'`
+		;;
+	pentiumii-* | pentium2-* | pentiumiii-* | pentium3-*)
+		basic_machine=i686-`echo $basic_machine | sed 's/^[^-]*-//'`
+		;;
+	pentium4-*)
+		basic_machine=i786-`echo $basic_machine | sed 's/^[^-]*-//'`
+		;;
+	pn)
+		basic_machine=pn-gould
+		;;
+	power)	basic_machine=power-ibm
+		;;
+	ppc)	basic_machine=powerpc-unknown
+		;;
+	ppc-*)	basic_machine=powerpc-`echo $basic_machine | sed 's/^[^-]*-//'`
+		;;
+	ppcle | powerpclittle | ppc-le | powerpc-little)
+		basic_machine=powerpcle-unknown
+		;;
+	ppcle-* | powerpclittle-*)
+		basic_machine=powerpcle-`echo $basic_machine | sed 's/^[^-]*-//'`
+		;;
+	ppc64)	basic_machine=powerpc64-unknown
+		;;
+	ppc64-*) basic_machine=powerpc64-`echo $basic_machine | sed 's/^[^-]*-//'`
+		;;
+	ppc64le | powerpc64little | ppc64-le | powerpc64-little)
+		basic_machine=powerpc64le-unknown
+		;;
+	ppc64le-* | powerpc64little-*)
+		basic_machine=powerpc64le-`echo $basic_machine | sed 's/^[^-]*-//'`
+		;;
+	ps2)
+		basic_machine=i386-ibm
+		;;
+	pw32)
+		basic_machine=i586-unknown
+		os=-pw32
+		;;
+	rdos)
+		basic_machine=i386-pc
+		os=-rdos
+		;;
+	rom68k)
+		basic_machine=m68k-rom68k
+		os=-coff
+		;;
+	rm[46]00)
+		basic_machine=mips-siemens
+		;;
+	rtpc | rtpc-*)
+		basic_machine=romp-ibm
+		;;
+	s390 | s390-*)
+		basic_machine=s390-ibm
+		;;
+	s390x | s390x-*)
+		basic_machine=s390x-ibm
+		;;
+	sa29200)
+		basic_machine=a29k-amd
+		os=-udi
+		;;
+	sb1)
+		basic_machine=mipsisa64sb1-unknown
+		;;
+	sb1el)
+		basic_machine=mipsisa64sb1el-unknown
+		;;
+	sde)
+		basic_machine=mipsisa32-sde
+		os=-elf
+		;;
+	sei)
+		basic_machine=mips-sei
+		os=-seiux
+		;;
+	sequent)
+		basic_machine=i386-sequent
+		;;
+	sh)
+		basic_machine=sh-hitachi
+		os=-hms
+		;;
+	sh5el)
+		basic_machine=sh5le-unknown
+		;;
+	sh64)
+		basic_machine=sh64-unknown
+		;;
+	sparclite-wrs | simso-wrs)
+		basic_machine=sparclite-wrs
+		os=-vxworks
+		;;
+	sps7)
+		basic_machine=m68k-bull
+		os=-sysv2
+		;;
+	spur)
+		basic_machine=spur-unknown
+		;;
+	st2000)
+		basic_machine=m68k-tandem
+		;;
+	stratus)
+		basic_machine=i860-stratus
+		os=-sysv4
+		;;
+	sun2)
+		basic_machine=m68000-sun
+		;;
+	sun2os3)
+		basic_machine=m68000-sun
+		os=-sunos3
+		;;
+	sun2os4)
+		basic_machine=m68000-sun
+		os=-sunos4
+		;;
+	sun3os3)
+		basic_machine=m68k-sun
+		os=-sunos3
+		;;
+	sun3os4)
+		basic_machine=m68k-sun
+		os=-sunos4
+		;;
+	sun4os3)
+		basic_machine=sparc-sun
+		os=-sunos3
+		;;
+	sun4os4)
+		basic_machine=sparc-sun
+		os=-sunos4
+		;;
+	sun4sol2)
+		basic_machine=sparc-sun
+		os=-solaris2
+		;;
+	sun3 | sun3-*)
+		basic_machine=m68k-sun
+		;;
+	sun4)
+		basic_machine=sparc-sun
+		;;
+	sun386 | sun386i | roadrunner)
+		basic_machine=i386-sun
+		;;
+	sv1)
+		basic_machine=sv1-cray
+		os=-unicos
+		;;
+	symmetry)
+		basic_machine=i386-sequent
+		os=-dynix
+		;;
+	t3e)
+		basic_machine=alphaev5-cray
+		os=-unicos
+		;;
+	t90)
+		basic_machine=t90-cray
+		os=-unicos
+		;;
+	tic54x | c54x*)
+		basic_machine=tic54x-unknown
+		os=-coff
+		;;
+	tic55x | c55x*)
+		basic_machine=tic55x-unknown
+		os=-coff
+		;;
+	tic6x | c6x*)
+		basic_machine=tic6x-unknown
+		os=-coff
+		;;
+	tile*)
+		basic_machine=tile-unknown
+		os=-linux-gnu
+		;;
+	tx39)
+		basic_machine=mipstx39-unknown
+		;;
+	tx39el)
+		basic_machine=mipstx39el-unknown
+		;;
+	toad1)
+		basic_machine=pdp10-xkl
+		os=-tops20
+		;;
+	tower | tower-32)
+		basic_machine=m68k-ncr
+		;;
+	tpf)
+		basic_machine=s390x-ibm
+		os=-tpf
+		;;
+	udi29k)
+		basic_machine=a29k-amd
+		os=-udi
+		;;
+	ultra3)
+		basic_machine=a29k-nyu
+		os=-sym1
+		;;
+	v810 | necv810)
+		basic_machine=v810-nec
+		os=-none
+		;;
+	vaxv)
+		basic_machine=vax-dec
+		os=-sysv
+		;;
+	vms)
+		basic_machine=vax-dec
+		os=-vms
+		;;
+	vpp*|vx|vx-*)
+		basic_machine=f301-fujitsu
+		;;
+	vxworks960)
+		basic_machine=i960-wrs
+		os=-vxworks
+		;;
+	vxworks68)
+		basic_machine=m68k-wrs
+		os=-vxworks
+		;;
+	vxworks29k)
+		basic_machine=a29k-wrs
+		os=-vxworks
+		;;
+	w65*)
+		basic_machine=w65-wdc
+		os=-none
+		;;
+	w89k-*)
+		basic_machine=hppa1.1-winbond
+		os=-proelf
+		;;
+	xbox)
+		basic_machine=i686-pc
+		os=-mingw32
+		;;
+	xps | xps100)
+		basic_machine=xps100-honeywell
+		;;
+	ymp)
+		basic_machine=ymp-cray
+		os=-unicos
+		;;
+	z8k-*-coff)
+		basic_machine=z8k-unknown
+		os=-sim
+		;;
+	z80-*-coff)
+		basic_machine=z80-unknown
+		os=-sim
+		;;
+	none)
+		basic_machine=none-none
+		os=-none
+		;;
+
+# Here we handle the default manufacturer of certain CPU types.  It is in
+# some cases the only manufacturer, in others, it is the most popular.
+	w89k)
+		basic_machine=hppa1.1-winbond
+		;;
+	op50n)
+		basic_machine=hppa1.1-oki
+		;;
+	op60c)
+		basic_machine=hppa1.1-oki
+		;;
+	romp)
+		basic_machine=romp-ibm
+		;;
+	mmix)
+		basic_machine=mmix-knuth
+		;;
+	rs6000)
+		basic_machine=rs6000-ibm
+		;;
+	vax)
+		basic_machine=vax-dec
+		;;
+	pdp10)
+		# there are many clones, so DEC is not a safe bet
+		basic_machine=pdp10-unknown
+		;;
+	pdp11)
+		basic_machine=pdp11-dec
+		;;
+	we32k)
+		basic_machine=we32k-att
+		;;
+	sh[1234] | sh[24]a | sh[24]aeb | sh[34]eb | sh[1234]le | sh[23]ele)
+		basic_machine=sh-unknown
+		;;
+	sparc | sparcv8 | sparcv9 | sparcv9b | sparcv9v)
+		basic_machine=sparc-sun
+		;;
+	cydra)
+		basic_machine=cydra-cydrome
+		;;
+	orion)
+		basic_machine=orion-highlevel
+		;;
+	orion105)
+		basic_machine=clipper-highlevel
+		;;
+	mac | mpw | mac-mpw)
+		basic_machine=m68k-apple
+		;;
+	pmac | pmac-mpw)
+		basic_machine=powerpc-apple
+		;;
+	*-unknown)
+		# Make sure to match an already-canonicalized machine name.
+		;;
+	*)
+		echo Invalid configuration \`$1\': machine \`$basic_machine\' not recognized 1>&2
+		exit 1
+		;;
+esac
+
+# Here we canonicalize certain aliases for manufacturers.
+case $basic_machine in
+	*-digital*)
+		basic_machine=`echo $basic_machine | sed 's/digital.*/dec/'`
+		;;
+	*-commodore*)
+		basic_machine=`echo $basic_machine | sed 's/commodore.*/cbm/'`
+		;;
+	*)
+		;;
+esac
+
+# Decode manufacturer-specific aliases for certain operating systems.
+
+if [ x"$os" != x"" ]
+then
+case $os in
+        # First match some system type aliases
+        # that might get confused with valid system types.
+	# -solaris* is a basic system type, with this one exception.
+        -auroraux)
+	        os=-auroraux
+		;;
+	-solaris1 | -solaris1.*)
+		os=`echo $os | sed -e 's|solaris1|sunos4|'`
+		;;
+	-solaris)
+		os=-solaris2
+		;;
+	-svr4*)
+		os=-sysv4
+		;;
+	-unixware*)
+		os=-sysv4.2uw
+		;;
+	-gnu/linux*)
+		os=`echo $os | sed -e 's|gnu/linux|linux-gnu|'`
+		;;
+	# First accept the basic system types.
+	# The portable systems comes first.
+	# Each alternative MUST END IN A *, to match a version number.
+	# -sysv* is not here because it comes later, after sysvr4.
+	-gnu* | -bsd* | -mach* | -minix* | -genix* | -ultrix* | -irix* \
+	      | -*vms* | -sco* | -esix* | -isc* | -aix* | -cnk* | -sunos | -sunos[34]*\
+	      | -hpux* | -unos* | -osf* | -luna* | -dgux* | -auroraux* | -solaris* \
+	      | -sym* | -kopensolaris* \
+	      | -amigaos* | -amigados* | -msdos* | -newsos* | -unicos* | -aof* \
+	      | -aos* | -aros* \
+	      | -nindy* | -vxsim* | -vxworks* | -ebmon* | -hms* | -mvs* \
+	      | -clix* | -riscos* | -uniplus* | -iris* | -rtu* | -xenix* \
+	      | -hiux* | -386bsd* | -knetbsd* | -mirbsd* | -netbsd* \
+	      | -openbsd* | -solidbsd* \
+	      | -ekkobsd* | -kfreebsd* | -freebsd* | -riscix* | -lynxos* \
+	      | -bosx* | -nextstep* | -cxux* | -aout* | -elf* | -oabi* \
+	      | -ptx* | -coff* | -ecoff* | -winnt* | -domain* | -vsta* \
+	      | -udi* | -eabi* | -lites* | -ieee* | -go32* | -aux* \
+	      | -chorusos* | -chorusrdb* | -cegcc* \
+	      | -cygwin* | -pe* | -psos* | -moss* | -proelf* | -rtems* \
+	      | -mingw32* | -linux-gnu* | -linux-newlib* | -linux-uclibc* \
+	      | -uxpv* | -beos* | -mpeix* | -udk* \
+	      | -interix* | -uwin* | -mks* | -rhapsody* | -darwin* | -opened* \
+	      | -openstep* | -oskit* | -conix* | -pw32* | -nonstopux* \
+	      | -storm-chaos* | -tops10* | -tenex* | -tops20* | -its* \
+	      | -os2* | -vos* | -palmos* | -uclinux* | -nucleus* \
+	      | -morphos* | -superux* | -rtmk* | -rtmk-nova* | -windiss* \
+	      | -powermax* | -dnix* | -nx6 | -nx7 | -sei* | -dragonfly* \
+	      | -skyos* | -haiku* | -rdos* | -toppers* | -drops* | -es*)
+	# Remember, each alternative MUST END IN *, to match a version number.
+		;;
+	-qnx*)
+		case $basic_machine in
+		    x86-* | i*86-*)
+			;;
+		    *)
+			os=-nto$os
+			;;
+		esac
+		;;
+	-nto-qnx*)
+		;;
+	-nto*)
+		os=`echo $os | sed -e 's|nto|nto-qnx|'`
+		;;
+	-sim | -es1800* | -hms* | -xray | -os68k* | -none* | -v88r* \
+	      | -windows* | -osx | -abug | -netware* | -os9* | -beos* | -haiku* \
+	      | -macos* | -mpw* | -magic* | -mmixware* | -mon960* | -lnews*)
+		;;
+	-mac*)
+		os=`echo $os | sed -e 's|mac|macos|'`
+		;;
+	-linux-dietlibc)
+		os=-linux-dietlibc
+		;;
+	-linux*)
+		os=`echo $os | sed -e 's|linux|linux-gnu|'`
+		;;
+	-sunos5*)
+		os=`echo $os | sed -e 's|sunos5|solaris2|'`
+		;;
+	-sunos6*)
+		os=`echo $os | sed -e 's|sunos6|solaris3|'`
+		;;
+	-opened*)
+		os=-openedition
+		;;
+        -os400*)
+		os=-os400
+		;;
+	-wince*)
+		os=-wince
+		;;
+	-osfrose*)
+		os=-osfrose
+		;;
+	-osf*)
+		os=-osf
+		;;
+	-utek*)
+		os=-bsd
+		;;
+	-dynix*)
+		os=-bsd
+		;;
+	-acis*)
+		os=-aos
+		;;
+	-atheos*)
+		os=-atheos
+		;;
+	-syllable*)
+		os=-syllable
+		;;
+	-386bsd)
+		os=-bsd
+		;;
+	-ctix* | -uts*)
+		os=-sysv
+		;;
+	-nova*)
+		os=-rtmk-nova
+		;;
+	-ns2 )
+		os=-nextstep2
+		;;
+	-nsk*)
+		os=-nsk
+		;;
+	# Preserve the version number of sinix5.
+	-sinix5.*)
+		os=`echo $os | sed -e 's|sinix|sysv|'`
+		;;
+	-sinix*)
+		os=-sysv4
+		;;
+        -tpf*)
+		os=-tpf
+		;;
+	-triton*)
+		os=-sysv3
+		;;
+	-oss*)
+		os=-sysv3
+		;;
+	-svr4)
+		os=-sysv4
+		;;
+	-svr3)
+		os=-sysv3
+		;;
+	-sysvr4)
+		os=-sysv4
+		;;
+	# This must come after -sysvr4.
+	-sysv*)
+		;;
+	-ose*)
+		os=-ose
+		;;
+	-es1800*)
+		os=-ose
+		;;
+	-xenix)
+		os=-xenix
+		;;
+	-*mint | -mint[0-9]* | -*MiNT | -MiNT[0-9]*)
+		os=-mint
+		;;
+	-aros*)
+		os=-aros
+		;;
+	-kaos*)
+		os=-kaos
+		;;
+	-zvmoe)
+		os=-zvmoe
+		;;
+	-dicos*)
+		os=-dicos
+		;;
+	-none)
+		;;
+	*)
+		# Get rid of the `-' at the beginning of $os.
+		os=`echo $os | sed 's/[^-]*-//'`
+		echo Invalid configuration \`$1\': system \`$os\' not recognized 1>&2
+		exit 1
+		;;
+esac
+else
+
+# Here we handle the default operating systems that come with various machines.
+# The value should be what the vendor currently ships out the door with their
+# machine or put another way, the most popular os provided with the machine.
+
+# Note that if you're going to try to match "-MANUFACTURER" here (say,
+# "-sun"), then you have to tell the case statement up towards the top
+# that MANUFACTURER isn't an operating system.  Otherwise, code above
+# will signal an error saying that MANUFACTURER isn't an operating
+# system, and we'll never get to this point.
+
+case $basic_machine in
+        score-*)
+		os=-elf
+		;;
+        spu-*)
+		os=-elf
+		;;
+	*-acorn)
+		os=-riscix1.2
+		;;
+	arm*-rebel)
+		os=-linux
+		;;
+	arm*-semi)
+		os=-aout
+		;;
+        c4x-* | tic4x-*)
+        	os=-coff
+		;;
+	# This must come before the *-dec entry.
+	pdp10-*)
+		os=-tops20
+		;;
+	pdp11-*)
+		os=-none
+		;;
+	*-dec | vax-*)
+		os=-ultrix4.2
+		;;
+	m68*-apollo)
+		os=-domain
+		;;
+	i386-sun)
+		os=-sunos4.0.2
+		;;
+	m68000-sun)
+		os=-sunos3
+		# This also exists in the configure program, but was not the
+		# default.
+		# os=-sunos4
+		;;
+	m68*-cisco)
+		os=-aout
+		;;
+        mep-*)
+		os=-elf
+		;;
+	mips*-cisco)
+		os=-elf
+		;;
+	mips*-*)
+		os=-elf
+		;;
+	or32-*)
+		os=-coff
+		;;
+	*-tti)	# must be before sparc entry or we get the wrong os.
+		os=-sysv3
+		;;
+	sparc-* | *-sun)
+		os=-sunos4.1.1
+		;;
+	*-be)
+		os=-beos
+		;;
+	*-haiku)
+		os=-haiku
+		;;
+	*-ibm)
+		os=-aix
+		;;
+    	*-knuth)
+		os=-mmixware
+		;;
+	*-wec)
+		os=-proelf
+		;;
+	*-winbond)
+		os=-proelf
+		;;
+	*-oki)
+		os=-proelf
+		;;
+	*-hp)
+		os=-hpux
+		;;
+	*-hitachi)
+		os=-hiux
+		;;
+	i860-* | *-att | *-ncr | *-altos | *-motorola | *-convergent)
+		os=-sysv
+		;;
+	*-cbm)
+		os=-amigaos
+		;;
+	*-dg)
+		os=-dgux
+		;;
+	*-dolphin)
+		os=-sysv3
+		;;
+	m68k-ccur)
+		os=-rtu
+		;;
+	m88k-omron*)
+		os=-luna
+		;;
+	*-next )
+		os=-nextstep
+		;;
+	*-sequent)
+		os=-ptx
+		;;
+	*-crds)
+		os=-unos
+		;;
+	*-ns)
+		os=-genix
+		;;
+	i370-*)
+		os=-mvs
+		;;
+	*-next)
+		os=-nextstep3
+		;;
+	*-gould)
+		os=-sysv
+		;;
+	*-highlevel)
+		os=-bsd
+		;;
+	*-encore)
+		os=-bsd
+		;;
+	*-sgi)
+		os=-irix
+		;;
+	*-siemens)
+		os=-sysv4
+		;;
+	*-masscomp)
+		os=-rtu
+		;;
+	f30[01]-fujitsu | f700-fujitsu)
+		os=-uxpv
+		;;
+	*-rom68k)
+		os=-coff
+		;;
+	*-*bug)
+		os=-coff
+		;;
+	*-apple)
+		os=-macos
+		;;
+	*-atari*)
+		os=-mint
+		;;
+	*)
+		os=-none
+		;;
+esac
+fi
+
+# Here we handle the case where we know the os, and the CPU type, but not the
+# manufacturer.  We pick the logical manufacturer.
+vendor=unknown
+case $basic_machine in
+	*-unknown)
+		case $os in
+			-riscix*)
+				vendor=acorn
+				;;
+			-sunos*)
+				vendor=sun
+				;;
+			-cnk*|-aix*)
+				vendor=ibm
+				;;
+			-beos*)
+				vendor=be
+				;;
+			-hpux*)
+				vendor=hp
+				;;
+			-mpeix*)
+				vendor=hp
+				;;
+			-hiux*)
+				vendor=hitachi
+				;;
+			-unos*)
+				vendor=crds
+				;;
+			-dgux*)
+				vendor=dg
+				;;
+			-luna*)
+				vendor=omron
+				;;
+			-genix*)
+				vendor=ns
+				;;
+			-mvs* | -opened*)
+				vendor=ibm
+				;;
+			-os400*)
+				vendor=ibm
+				;;
+			-ptx*)
+				vendor=sequent
+				;;
+			-tpf*)
+				vendor=ibm
+				;;
+			-vxsim* | -vxworks* | -windiss*)
+				vendor=wrs
+				;;
+			-aux*)
+				vendor=apple
+				;;
+			-hms*)
+				vendor=hitachi
+				;;
+			-mpw* | -macos*)
+				vendor=apple
+				;;
+			-*mint | -mint[0-9]* | -*MiNT | -MiNT[0-9]*)
+				vendor=atari
+				;;
+			-vos*)
+				vendor=stratus
+				;;
+		esac
+		basic_machine=`echo $basic_machine | sed "s/unknown/$vendor/"`
+		;;
+esac
+
+echo $basic_machine$os
+exit
+
+# Local variables:
+# eval: (add-hook 'write-file-hooks 'time-stamp)
+# time-stamp-start: "timestamp='"
+# time-stamp-format: "%:y-%02m-%02d"
+# time-stamp-end: "'"
+# End:
diff --git a/auxconf/depcomp b/auxconf/depcomp
new file mode 100755
index 0000000..df8eea7
--- /dev/null
+++ b/auxconf/depcomp
@@ -0,0 +1,630 @@
+#! /bin/sh
+# depcomp - compile a program generating dependencies as side-effects
+
+scriptversion=2009-04-28.21; # UTC
+
+# Copyright (C) 1999, 2000, 2003, 2004, 2005, 2006, 2007, 2009 Free
+# Software Foundation, Inc.
+
+# This program is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 2, or (at your option)
+# any later version.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+
+# You should have received a copy of the GNU General Public License
+# along with this program.  If not, see <http://www.gnu.org/licenses/>.
+
+# As a special exception to the GNU General Public License, if you
+# distribute this file as part of a program that contains a
+# configuration script generated by Autoconf, you may include it under
+# the same distribution terms that you use for the rest of that program.
+
+# Originally written by Alexandre Oliva <oliva at dcc.unicamp.br>.
+
+case $1 in
+  '')
+     echo "$0: No command.  Try \`$0 --help' for more information." 1>&2
+     exit 1;
+     ;;
+  -h | --h*)
+    cat <<\EOF
+Usage: depcomp [--help] [--version] PROGRAM [ARGS]
+
+Run PROGRAMS ARGS to compile a file, generating dependencies
+as side-effects.
+
+Environment variables:
+  depmode     Dependency tracking mode.
+  source      Source file read by `PROGRAMS ARGS'.
+  object      Object file output by `PROGRAMS ARGS'.
+  DEPDIR      directory where to store dependencies.
+  depfile     Dependency file to output.
+  tmpdepfile  Temporary file to use when outputing dependencies.
+  libtool     Whether libtool is used (yes/no).
+
+Report bugs to <bug-automake at gnu.org>.
+EOF
+    exit $?
+    ;;
+  -v | --v*)
+    echo "depcomp $scriptversion"
+    exit $?
+    ;;
+esac
+
+if test -z "$depmode" || test -z "$source" || test -z "$object"; then
+  echo "depcomp: Variables source, object and depmode must be set" 1>&2
+  exit 1
+fi
+
+# Dependencies for sub/bar.o or sub/bar.obj go into sub/.deps/bar.Po.
+depfile=${depfile-`echo "$object" |
+  sed 's|[^\\/]*$|'${DEPDIR-.deps}'/&|;s|\.\([^.]*\)$|.P\1|;s|Pobj$|Po|'`}
+tmpdepfile=${tmpdepfile-`echo "$depfile" | sed 's/\.\([^.]*\)$/.T\1/'`}
+
+rm -f "$tmpdepfile"
+
+# Some modes work just like other modes, but use different flags.  We
+# parameterize here, but still list the modes in the big case below,
+# to make depend.m4 easier to write.  Note that we *cannot* use a case
+# here, because this file can only contain one case statement.
+if test "$depmode" = hp; then
+  # HP compiler uses -M and no extra arg.
+  gccflag=-M
+  depmode=gcc
+fi
+
+if test "$depmode" = dashXmstdout; then
+   # This is just like dashmstdout with a different argument.
+   dashmflag=-xM
+   depmode=dashmstdout
+fi
+
+cygpath_u="cygpath -u -f -"
+if test "$depmode" = msvcmsys; then
+   # This is just like msvisualcpp but w/o cygpath translation.
+   # Just convert the backslash-escaped backslashes to single forward
+   # slashes to satisfy depend.m4
+   cygpath_u="sed s,\\\\\\\\,/,g"
+   depmode=msvisualcpp
+fi
+
+case "$depmode" in
+gcc3)
+## gcc 3 implements dependency tracking that does exactly what
+## we want.  Yay!  Note: for some reason libtool 1.4 doesn't like
+## it if -MD -MP comes after the -MF stuff.  Hmm.
+## Unfortunately, FreeBSD c89 acceptance of flags depends upon
+## the command line argument order; so add the flags where they
+## appear in depend2.am.  Note that the slowdown incurred here
+## affects only configure: in makefiles, %FASTDEP% shortcuts this.
+  for arg
+  do
+    case $arg in
+    -c) set fnord "$@" -MT "$object" -MD -MP -MF "$tmpdepfile" "$arg" ;;
+    *)  set fnord "$@" "$arg" ;;
+    esac
+    shift # fnord
+    shift # $arg
+  done
+  "$@"
+  stat=$?
+  if test $stat -eq 0; then :
+  else
+    rm -f "$tmpdepfile"
+    exit $stat
+  fi
+  mv "$tmpdepfile" "$depfile"
+  ;;
+
+gcc)
+## There are various ways to get dependency output from gcc.  Here's
+## why we pick this rather obscure method:
+## - Don't want to use -MD because we'd like the dependencies to end
+##   up in a subdir.  Having to rename by hand is ugly.
+##   (We might end up doing this anyway to support other compilers.)
+## - The DEPENDENCIES_OUTPUT environment variable makes gcc act like
+##   -MM, not -M (despite what the docs say).
+## - Using -M directly means running the compiler twice (even worse
+##   than renaming).
+  if test -z "$gccflag"; then
+    gccflag=-MD,
+  fi
+  "$@" -Wp,"$gccflag$tmpdepfile"
+  stat=$?
+  if test $stat -eq 0; then :
+  else
+    rm -f "$tmpdepfile"
+    exit $stat
+  fi
+  rm -f "$depfile"
+  echo "$object : \\" > "$depfile"
+  alpha=ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz
+## The second -e expression handles DOS-style file names with drive letters.
+  sed -e 's/^[^:]*: / /' \
+      -e 's/^['$alpha']:\/[^:]*: / /' < "$tmpdepfile" >> "$depfile"
+## This next piece of magic avoids the `deleted header file' problem.
+## The problem is that when a header file which appears in a .P file
+## is deleted, the dependency causes make to die (because there is
+## typically no way to rebuild the header).  We avoid this by adding
+## dummy dependencies for each header file.  Too bad gcc doesn't do
+## this for us directly.
+  tr ' ' '
+' < "$tmpdepfile" |
+## Some versions of gcc put a space before the `:'.  On the theory
+## that the space means something, we add a space to the output as
+## well.
+## Some versions of the HPUX 10.20 sed can't process this invocation
+## correctly.  Breaking it into two sed invocations is a workaround.
+    sed -e 's/^\\$//' -e '/^$/d' -e '/:$/d' | sed -e 's/$/ :/' >> "$depfile"
+  rm -f "$tmpdepfile"
+  ;;
+
+hp)
+  # This case exists only to let depend.m4 do its work.  It works by
+  # looking at the text of this script.  This case will never be run,
+  # since it is checked for above.
+  exit 1
+  ;;
+
+sgi)
+  if test "$libtool" = yes; then
+    "$@" "-Wp,-MDupdate,$tmpdepfile"
+  else
+    "$@" -MDupdate "$tmpdepfile"
+  fi
+  stat=$?
+  if test $stat -eq 0; then :
+  else
+    rm -f "$tmpdepfile"
+    exit $stat
+  fi
+  rm -f "$depfile"
+
+  if test -f "$tmpdepfile"; then  # yes, the sourcefile depend on other files
+    echo "$object : \\" > "$depfile"
+
+    # Clip off the initial element (the dependent).  Don't try to be
+    # clever and replace this with sed code, as IRIX sed won't handle
+    # lines with more than a fixed number of characters (4096 in
+    # IRIX 6.2 sed, 8192 in IRIX 6.5).  We also remove comment lines;
+    # the IRIX cc adds comments like `#:fec' to the end of the
+    # dependency line.
+    tr ' ' '
+' < "$tmpdepfile" \
+    | sed -e 's/^.*\.o://' -e 's/#.*$//' -e '/^$/ d' | \
+    tr '
+' ' ' >> "$depfile"
+    echo >> "$depfile"
+
+    # The second pass generates a dummy entry for each header file.
+    tr ' ' '
+' < "$tmpdepfile" \
+   | sed -e 's/^.*\.o://' -e 's/#.*$//' -e '/^$/ d' -e 's/$/:/' \
+   >> "$depfile"
+  else
+    # The sourcefile does not contain any dependencies, so just
+    # store a dummy comment line, to avoid errors with the Makefile
+    # "include basename.Plo" scheme.
+    echo "#dummy" > "$depfile"
+  fi
+  rm -f "$tmpdepfile"
+  ;;
+
+aix)
+  # The C for AIX Compiler uses -M and outputs the dependencies
+  # in a .u file.  In older versions, this file always lives in the
+  # current directory.  Also, the AIX compiler puts `$object:' at the
+  # start of each line; $object doesn't have directory information.
+  # Version 6 uses the directory in both cases.
+  dir=`echo "$object" | sed -e 's|/[^/]*$|/|'`
+  test "x$dir" = "x$object" && dir=
+  base=`echo "$object" | sed -e 's|^.*/||' -e 's/\.o$//' -e 's/\.lo$//'`
+  if test "$libtool" = yes; then
+    tmpdepfile1=$dir$base.u
+    tmpdepfile2=$base.u
+    tmpdepfile3=$dir.libs/$base.u
+    "$@" -Wc,-M
+  else
+    tmpdepfile1=$dir$base.u
+    tmpdepfile2=$dir$base.u
+    tmpdepfile3=$dir$base.u
+    "$@" -M
+  fi
+  stat=$?
+
+  if test $stat -eq 0; then :
+  else
+    rm -f "$tmpdepfile1" "$tmpdepfile2" "$tmpdepfile3"
+    exit $stat
+  fi
+
+  for tmpdepfile in "$tmpdepfile1" "$tmpdepfile2" "$tmpdepfile3"
+  do
+    test -f "$tmpdepfile" && break
+  done
+  if test -f "$tmpdepfile"; then
+    # Each line is of the form `foo.o: dependent.h'.
+    # Do two passes, one to just change these to
+    # `$object: dependent.h' and one to simply `dependent.h:'.
+    sed -e "s,^.*\.[a-z]*:,$object:," < "$tmpdepfile" > "$depfile"
+    # That's a tab and a space in the [].
+    sed -e 's,^.*\.[a-z]*:[	 ]*,,' -e 's,$,:,' < "$tmpdepfile" >> "$depfile"
+  else
+    # The sourcefile does not contain any dependencies, so just
+    # store a dummy comment line, to avoid errors with the Makefile
+    # "include basename.Plo" scheme.
+    echo "#dummy" > "$depfile"
+  fi
+  rm -f "$tmpdepfile"
+  ;;
+
+icc)
+  # Intel's C compiler understands `-MD -MF file'.  However on
+  #    icc -MD -MF foo.d -c -o sub/foo.o sub/foo.c
+  # ICC 7.0 will fill foo.d with something like
+  #    foo.o: sub/foo.c
+  #    foo.o: sub/foo.h
+  # which is wrong.  We want:
+  #    sub/foo.o: sub/foo.c
+  #    sub/foo.o: sub/foo.h
+  #    sub/foo.c:
+  #    sub/foo.h:
+  # ICC 7.1 will output
+  #    foo.o: sub/foo.c sub/foo.h
+  # and will wrap long lines using \ :
+  #    foo.o: sub/foo.c ... \
+  #     sub/foo.h ... \
+  #     ...
+
+  "$@" -MD -MF "$tmpdepfile"
+  stat=$?
+  if test $stat -eq 0; then :
+  else
+    rm -f "$tmpdepfile"
+    exit $stat
+  fi
+  rm -f "$depfile"
+  # Each line is of the form `foo.o: dependent.h',
+  # or `foo.o: dep1.h dep2.h \', or ` dep3.h dep4.h \'.
+  # Do two passes, one to just change these to
+  # `$object: dependent.h' and one to simply `dependent.h:'.
+  sed "s,^[^:]*:,$object :," < "$tmpdepfile" > "$depfile"
+  # Some versions of the HPUX 10.20 sed can't process this invocation
+  # correctly.  Breaking it into two sed invocations is a workaround.
+  sed 's,^[^:]*: \(.*\)$,\1,;s/^\\$//;/^$/d;/:$/d' < "$tmpdepfile" |
+    sed -e 's/$/ :/' >> "$depfile"
+  rm -f "$tmpdepfile"
+  ;;
+
+hp2)
+  # The "hp" stanza above does not work with aCC (C++) and HP's ia64
+  # compilers, which have integrated preprocessors.  The correct option
+  # to use with these is +Maked; it writes dependencies to a file named
+  # 'foo.d', which lands next to the object file, wherever that
+  # happens to be.
+  # Much of this is similar to the tru64 case; see comments there.
+  dir=`echo "$object" | sed -e 's|/[^/]*$|/|'`
+  test "x$dir" = "x$object" && dir=
+  base=`echo "$object" | sed -e 's|^.*/||' -e 's/\.o$//' -e 's/\.lo$//'`
+  if test "$libtool" = yes; then
+    tmpdepfile1=$dir$base.d
+    tmpdepfile2=$dir.libs/$base.d
+    "$@" -Wc,+Maked
+  else
+    tmpdepfile1=$dir$base.d
+    tmpdepfile2=$dir$base.d
+    "$@" +Maked
+  fi
+  stat=$?
+  if test $stat -eq 0; then :
+  else
+     rm -f "$tmpdepfile1" "$tmpdepfile2"
+     exit $stat
+  fi
+
+  for tmpdepfile in "$tmpdepfile1" "$tmpdepfile2"
+  do
+    test -f "$tmpdepfile" && break
+  done
+  if test -f "$tmpdepfile"; then
+    sed -e "s,^.*\.[a-z]*:,$object:," "$tmpdepfile" > "$depfile"
+    # Add `dependent.h:' lines.
+    sed -ne '2,${
+	       s/^ *//
+	       s/ \\*$//
+	       s/$/:/
+	       p
+	     }' "$tmpdepfile" >> "$depfile"
+  else
+    echo "#dummy" > "$depfile"
+  fi
+  rm -f "$tmpdepfile" "$tmpdepfile2"
+  ;;
+
+tru64)
+   # The Tru64 compiler uses -MD to generate dependencies as a side
+   # effect.  `cc -MD -o foo.o ...' puts the dependencies into `foo.o.d'.
+   # At least on Alpha/Redhat 6.1, Compaq CCC V6.2-504 seems to put
+   # dependencies in `foo.d' instead, so we check for that too.
+   # Subdirectories are respected.
+   dir=`echo "$object" | sed -e 's|/[^/]*$|/|'`
+   test "x$dir" = "x$object" && dir=
+   base=`echo "$object" | sed -e 's|^.*/||' -e 's/\.o$//' -e 's/\.lo$//'`
+
+   if test "$libtool" = yes; then
+      # With Tru64 cc, shared objects can also be used to make a
+      # static library.  This mechanism is used in libtool 1.4 series to
+      # handle both shared and static libraries in a single compilation.
+      # With libtool 1.4, dependencies were output in $dir.libs/$base.lo.d.
+      #
+      # With libtool 1.5 this exception was removed, and libtool now
+      # generates 2 separate objects for the 2 libraries.  These two
+      # compilations output dependencies in $dir.libs/$base.o.d and
+      # in $dir$base.o.d.  We have to check for both files, because
+      # one of the two compilations can be disabled.  We should prefer
+      # $dir$base.o.d over $dir.libs/$base.o.d because the latter is
+      # automatically cleaned when .libs/ is deleted, while ignoring
+      # the former would cause a distcleancheck panic.
+      tmpdepfile1=$dir.libs/$base.lo.d   # libtool 1.4
+      tmpdepfile2=$dir$base.o.d          # libtool 1.5
+      tmpdepfile3=$dir.libs/$base.o.d    # libtool 1.5
+      tmpdepfile4=$dir.libs/$base.d      # Compaq CCC V6.2-504
+      "$@" -Wc,-MD
+   else
+      tmpdepfile1=$dir$base.o.d
+      tmpdepfile2=$dir$base.d
+      tmpdepfile3=$dir$base.d
+      tmpdepfile4=$dir$base.d
+      "$@" -MD
+   fi
+
+   stat=$?
+   if test $stat -eq 0; then :
+   else
+      rm -f "$tmpdepfile1" "$tmpdepfile2" "$tmpdepfile3" "$tmpdepfile4"
+      exit $stat
+   fi
+
+   for tmpdepfile in "$tmpdepfile1" "$tmpdepfile2" "$tmpdepfile3" "$tmpdepfile4"
+   do
+     test -f "$tmpdepfile" && break
+   done
+   if test -f "$tmpdepfile"; then
+      sed -e "s,^.*\.[a-z]*:,$object:," < "$tmpdepfile" > "$depfile"
+      # That's a tab and a space in the [].
+      sed -e 's,^.*\.[a-z]*:[	 ]*,,' -e 's,$,:,' < "$tmpdepfile" >> "$depfile"
+   else
+      echo "#dummy" > "$depfile"
+   fi
+   rm -f "$tmpdepfile"
+   ;;
+
+#nosideeffect)
+  # This comment above is used by automake to tell side-effect
+  # dependency tracking mechanisms from slower ones.
+
+dashmstdout)
+  # Important note: in order to support this mode, a compiler *must*
+  # always write the preprocessed file to stdout, regardless of -o.
+  "$@" || exit $?
+
+  # Remove the call to Libtool.
+  if test "$libtool" = yes; then
+    while test "X$1" != 'X--mode=compile'; do
+      shift
+    done
+    shift
+  fi
+
+  # Remove `-o $object'.
+  IFS=" "
+  for arg
+  do
+    case $arg in
+    -o)
+      shift
+      ;;
+    $object)
+      shift
+      ;;
+    *)
+      set fnord "$@" "$arg"
+      shift # fnord
+      shift # $arg
+      ;;
+    esac
+  done
+
+  test -z "$dashmflag" && dashmflag=-M
+  # Require at least two characters before searching for `:'
+  # in the target name.  This is to cope with DOS-style filenames:
+  # a dependency such as `c:/foo/bar' could be seen as target `c' otherwise.
+  "$@" $dashmflag |
+    sed 's:^[  ]*[^: ][^:][^:]*\:[    ]*:'"$object"'\: :' > "$tmpdepfile"
+  rm -f "$depfile"
+  cat < "$tmpdepfile" > "$depfile"
+  tr ' ' '
+' < "$tmpdepfile" | \
+## Some versions of the HPUX 10.20 sed can't process this invocation
+## correctly.  Breaking it into two sed invocations is a workaround.
+    sed -e 's/^\\$//' -e '/^$/d' -e '/:$/d' | sed -e 's/$/ :/' >> "$depfile"
+  rm -f "$tmpdepfile"
+  ;;
+
+dashXmstdout)
+  # This case only exists to satisfy depend.m4.  It is never actually
+  # run, as this mode is specially recognized in the preamble.
+  exit 1
+  ;;
+
+makedepend)
+  "$@" || exit $?
+  # Remove any Libtool call
+  if test "$libtool" = yes; then
+    while test "X$1" != 'X--mode=compile'; do
+      shift
+    done
+    shift
+  fi
+  # X makedepend
+  shift
+  cleared=no eat=no
+  for arg
+  do
+    case $cleared in
+    no)
+      set ""; shift
+      cleared=yes ;;
+    esac
+    if test $eat = yes; then
+      eat=no
+      continue
+    fi
+    case "$arg" in
+    -D*|-I*)
+      set fnord "$@" "$arg"; shift ;;
+    # Strip any option that makedepend may not understand.  Remove
+    # the object too, otherwise makedepend will parse it as a source file.
+    -arch)
+      eat=yes ;;
+    -*|$object)
+      ;;
+    *)
+      set fnord "$@" "$arg"; shift ;;
+    esac
+  done
+  obj_suffix=`echo "$object" | sed 's/^.*\././'`
+  touch "$tmpdepfile"
+  ${MAKEDEPEND-makedepend} -o"$obj_suffix" -f"$tmpdepfile" "$@"
+  rm -f "$depfile"
+  cat < "$tmpdepfile" > "$depfile"
+  sed '1,2d' "$tmpdepfile" | tr ' ' '
+' | \
+## Some versions of the HPUX 10.20 sed can't process this invocation
+## correctly.  Breaking it into two sed invocations is a workaround.
+    sed -e 's/^\\$//' -e '/^$/d' -e '/:$/d' | sed -e 's/$/ :/' >> "$depfile"
+  rm -f "$tmpdepfile" "$tmpdepfile".bak
+  ;;
+
+cpp)
+  # Important note: in order to support this mode, a compiler *must*
+  # always write the preprocessed file to stdout.
+  "$@" || exit $?
+
+  # Remove the call to Libtool.
+  if test "$libtool" = yes; then
+    while test "X$1" != 'X--mode=compile'; do
+      shift
+    done
+    shift
+  fi
+
+  # Remove `-o $object'.
+  IFS=" "
+  for arg
+  do
+    case $arg in
+    -o)
+      shift
+      ;;
+    $object)
+      shift
+      ;;
+    *)
+      set fnord "$@" "$arg"
+      shift # fnord
+      shift # $arg
+      ;;
+    esac
+  done
+
+  "$@" -E |
+    sed -n -e '/^# [0-9][0-9]* "\([^"]*\)".*/ s:: \1 \\:p' \
+       -e '/^#line [0-9][0-9]* "\([^"]*\)".*/ s:: \1 \\:p' |
+    sed '$ s: \\$::' > "$tmpdepfile"
+  rm -f "$depfile"
+  echo "$object : \\" > "$depfile"
+  cat < "$tmpdepfile" >> "$depfile"
+  sed < "$tmpdepfile" '/^$/d;s/^ //;s/ \\$//;s/$/ :/' >> "$depfile"
+  rm -f "$tmpdepfile"
+  ;;
+
+msvisualcpp)
+  # Important note: in order to support this mode, a compiler *must*
+  # always write the preprocessed file to stdout.
+  "$@" || exit $?
+
+  # Remove the call to Libtool.
+  if test "$libtool" = yes; then
+    while test "X$1" != 'X--mode=compile'; do
+      shift
+    done
+    shift
+  fi
+
+  IFS=" "
+  for arg
+  do
+    case "$arg" in
+    -o)
+      shift
+      ;;
+    $object)
+      shift
+      ;;
+    "-Gm"|"/Gm"|"-Gi"|"/Gi"|"-ZI"|"/ZI")
+	set fnord "$@"
+	shift
+	shift
+	;;
+    *)
+	set fnord "$@" "$arg"
+	shift
+	shift
+	;;
+    esac
+  done
+  "$@" -E 2>/dev/null |
+  sed -n '/^#line [0-9][0-9]* "\([^"]*\)"/ s::\1:p' | $cygpath_u | sort -u > "$tmpdepfile"
+  rm -f "$depfile"
+  echo "$object : \\" > "$depfile"
+  sed < "$tmpdepfile" -n -e 's% %\\ %g' -e '/^\(.*\)$/ s::	\1 \\:p' >> "$depfile"
+  echo "	" >> "$depfile"
+  sed < "$tmpdepfile" -n -e 's% %\\ %g' -e '/^\(.*\)$/ s::\1\::p' >> "$depfile"
+  rm -f "$tmpdepfile"
+  ;;
+
+msvcmsys)
+  # This case exists only to let depend.m4 do its work.  It works by
+  # looking at the text of this script.  This case will never be run,
+  # since it is checked for above.
+  exit 1
+  ;;
+
+none)
+  exec "$@"
+  ;;
+
+*)
+  echo "Unknown depmode $depmode" 1>&2
+  exit 1
+  ;;
+esac
+
+exit 0
+
+# Local Variables:
+# mode: shell-script
+# sh-indentation: 2
+# eval: (add-hook 'write-file-hooks 'time-stamp)
+# time-stamp-start: "scriptversion="
+# time-stamp-format: "%:y-%02m-%02d.%02H"
+# time-stamp-time-zone: "UTC"
+# time-stamp-end: "; # UTC"
+# End:
diff --git a/auxconf/install-sh b/auxconf/install-sh
new file mode 100755
index 0000000..6781b98
--- /dev/null
+++ b/auxconf/install-sh
@@ -0,0 +1,520 @@
+#!/bin/sh
+# install - install a program, script, or datafile
+
+scriptversion=2009-04-28.21; # UTC
+
+# This originates from X11R5 (mit/util/scripts/install.sh), which was
+# later released in X11R6 (xc/config/util/install.sh) with the
+# following copyright and license.
+#
+# Copyright (C) 1994 X Consortium
+#
+# Permission is hereby granted, free of charge, to any person obtaining a copy
+# of this software and associated documentation files (the "Software"), to
+# deal in the Software without restriction, including without limitation the
+# rights to use, copy, modify, merge, publish, distribute, sublicense, and/or
+# sell copies of the Software, and to permit persons to whom the Software is
+# furnished to do so, subject to the following conditions:
+#
+# The above copyright notice and this permission notice shall be included in
+# all copies or substantial portions of the Software.
+#
+# THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
+# IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
+# FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT.  IN NO EVENT SHALL THE
+# X CONSORTIUM BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN
+# AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNEC-
+# TION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.
+#
+# Except as contained in this notice, the name of the X Consortium shall not
+# be used in advertising or otherwise to promote the sale, use or other deal-
+# ings in this Software without prior written authorization from the X Consor-
+# tium.
+#
+#
+# FSF changes to this file are in the public domain.
+#
+# Calling this script install-sh is preferred over install.sh, to prevent
+# `make' implicit rules from creating a file called install from it
+# when there is no Makefile.
+#
+# This script is compatible with the BSD install script, but was written
+# from scratch.
+
+nl='
+'
+IFS=" ""	$nl"
+
+# set DOITPROG to echo to test this script
+
+# Don't use :- since 4.3BSD and earlier shells don't like it.
+doit=${DOITPROG-}
+if test -z "$doit"; then
+  doit_exec=exec
+else
+  doit_exec=$doit
+fi
+
+# Put in absolute file names if you don't have them in your path;
+# or use environment vars.
+
+chgrpprog=${CHGRPPROG-chgrp}
+chmodprog=${CHMODPROG-chmod}
+chownprog=${CHOWNPROG-chown}
+cmpprog=${CMPPROG-cmp}
+cpprog=${CPPROG-cp}
+mkdirprog=${MKDIRPROG-mkdir}
+mvprog=${MVPROG-mv}
+rmprog=${RMPROG-rm}
+stripprog=${STRIPPROG-strip}
+
+posix_glob='?'
+initialize_posix_glob='
+  test "$posix_glob" != "?" || {
+    if (set -f) 2>/dev/null; then
+      posix_glob=
+    else
+      posix_glob=:
+    fi
+  }
+'
+
+posix_mkdir=
+
+# Desired mode of installed file.
+mode=0755
+
+chgrpcmd=
+chmodcmd=$chmodprog
+chowncmd=
+mvcmd=$mvprog
+rmcmd="$rmprog -f"
+stripcmd=
+
+src=
+dst=
+dir_arg=
+dst_arg=
+
+copy_on_change=false
+no_target_directory=
+
+usage="\
+Usage: $0 [OPTION]... [-T] SRCFILE DSTFILE
+   or: $0 [OPTION]... SRCFILES... DIRECTORY
+   or: $0 [OPTION]... -t DIRECTORY SRCFILES...
+   or: $0 [OPTION]... -d DIRECTORIES...
+
+In the 1st form, copy SRCFILE to DSTFILE.
+In the 2nd and 3rd, copy all SRCFILES to DIRECTORY.
+In the 4th, create DIRECTORIES.
+
+Options:
+     --help     display this help and exit.
+     --version  display version info and exit.
+
+  -c            (ignored)
+  -C            install only if different (preserve the last data modification time)
+  -d            create directories instead of installing files.
+  -g GROUP      $chgrpprog installed files to GROUP.
+  -m MODE       $chmodprog installed files to MODE.
+  -o USER       $chownprog installed files to USER.
+  -s            $stripprog installed files.
+  -t DIRECTORY  install into DIRECTORY.
+  -T            report an error if DSTFILE is a directory.
+
+Environment variables override the default commands:
+  CHGRPPROG CHMODPROG CHOWNPROG CMPPROG CPPROG MKDIRPROG MVPROG
+  RMPROG STRIPPROG
+"
+
+while test $# -ne 0; do
+  case $1 in
+    -c) ;;
+
+    -C) copy_on_change=true;;
+
+    -d) dir_arg=true;;
+
+    -g) chgrpcmd="$chgrpprog $2"
+	shift;;
+
+    --help) echo "$usage"; exit $?;;
+
+    -m) mode=$2
+	case $mode in
+	  *' '* | *'	'* | *'
+'*	  | *'*'* | *'?'* | *'['*)
+	    echo "$0: invalid mode: $mode" >&2
+	    exit 1;;
+	esac
+	shift;;
+
+    -o) chowncmd="$chownprog $2"
+	shift;;
+
+    -s) stripcmd=$stripprog;;
+
+    -t) dst_arg=$2
+	shift;;
+
+    -T) no_target_directory=true;;
+
+    --version) echo "$0 $scriptversion"; exit $?;;
+
+    --)	shift
+	break;;
+
+    -*)	echo "$0: invalid option: $1" >&2
+	exit 1;;
+
+    *)  break;;
+  esac
+  shift
+done
+
+if test $# -ne 0 && test -z "$dir_arg$dst_arg"; then
+  # When -d is used, all remaining arguments are directories to create.
+  # When -t is used, the destination is already specified.
+  # Otherwise, the last argument is the destination.  Remove it from $@.
+  for arg
+  do
+    if test -n "$dst_arg"; then
+      # $@ is not empty: it contains at least $arg.
+      set fnord "$@" "$dst_arg"
+      shift # fnord
+    fi
+    shift # arg
+    dst_arg=$arg
+  done
+fi
+
+if test $# -eq 0; then
+  if test -z "$dir_arg"; then
+    echo "$0: no input file specified." >&2
+    exit 1
+  fi
+  # It's OK to call `install-sh -d' without argument.
+  # This can happen when creating conditional directories.
+  exit 0
+fi
+
+if test -z "$dir_arg"; then
+  trap '(exit $?); exit' 1 2 13 15
+
+  # Set umask so as not to create temps with too-generous modes.
+  # However, 'strip' requires both read and write access to temps.
+  case $mode in
+    # Optimize common cases.
+    *644) cp_umask=133;;
+    *755) cp_umask=22;;
+
+    *[0-7])
+      if test -z "$stripcmd"; then
+	u_plus_rw=
+      else
+	u_plus_rw='% 200'
+      fi
+      cp_umask=`expr '(' 777 - $mode % 1000 ')' $u_plus_rw`;;
+    *)
+      if test -z "$stripcmd"; then
+	u_plus_rw=
+      else
+	u_plus_rw=,u+rw
+      fi
+      cp_umask=$mode$u_plus_rw;;
+  esac
+fi
+
+for src
+do
+  # Protect names starting with `-'.
+  case $src in
+    -*) src=./$src;;
+  esac
+
+  if test -n "$dir_arg"; then
+    dst=$src
+    dstdir=$dst
+    test -d "$dstdir"
+    dstdir_status=$?
+  else
+
+    # Waiting for this to be detected by the "$cpprog $src $dsttmp" command
+    # might cause directories to be created, which would be especially bad
+    # if $src (and thus $dsttmp) contains '*'.
+    if test ! -f "$src" && test ! -d "$src"; then
+      echo "$0: $src does not exist." >&2
+      exit 1
+    fi
+
+    if test -z "$dst_arg"; then
+      echo "$0: no destination specified." >&2
+      exit 1
+    fi
+
+    dst=$dst_arg
+    # Protect names starting with `-'.
+    case $dst in
+      -*) dst=./$dst;;
+    esac
+
+    # If destination is a directory, append the input filename; won't work
+    # if double slashes aren't ignored.
+    if test -d "$dst"; then
+      if test -n "$no_target_directory"; then
+	echo "$0: $dst_arg: Is a directory" >&2
+	exit 1
+      fi
+      dstdir=$dst
+      dst=$dstdir/`basename "$src"`
+      dstdir_status=0
+    else
+      # Prefer dirname, but fall back on a substitute if dirname fails.
+      dstdir=`
+	(dirname "$dst") 2>/dev/null ||
+	expr X"$dst" : 'X\(.*[^/]\)//*[^/][^/]*/*$' \| \
+	     X"$dst" : 'X\(//\)[^/]' \| \
+	     X"$dst" : 'X\(//\)$' \| \
+	     X"$dst" : 'X\(/\)' \| . 2>/dev/null ||
+	echo X"$dst" |
+	    sed '/^X\(.*[^/]\)\/\/*[^/][^/]*\/*$/{
+		   s//\1/
+		   q
+		 }
+		 /^X\(\/\/\)[^/].*/{
+		   s//\1/
+		   q
+		 }
+		 /^X\(\/\/\)$/{
+		   s//\1/
+		   q
+		 }
+		 /^X\(\/\).*/{
+		   s//\1/
+		   q
+		 }
+		 s/.*/./; q'
+      `
+
+      test -d "$dstdir"
+      dstdir_status=$?
+    fi
+  fi
+
+  obsolete_mkdir_used=false
+
+  if test $dstdir_status != 0; then
+    case $posix_mkdir in
+      '')
+	# Create intermediate dirs using mode 755 as modified by the umask.
+	# This is like FreeBSD 'install' as of 1997-10-28.
+	umask=`umask`
+	case $stripcmd.$umask in
+	  # Optimize common cases.
+	  *[2367][2367]) mkdir_umask=$umask;;
+	  .*0[02][02] | .[02][02] | .[02]) mkdir_umask=22;;
+
+	  *[0-7])
+	    mkdir_umask=`expr $umask + 22 \
+	      - $umask % 100 % 40 + $umask % 20 \
+	      - $umask % 10 % 4 + $umask % 2
+	    `;;
+	  *) mkdir_umask=$umask,go-w;;
+	esac
+
+	# With -d, create the new directory with the user-specified mode.
+	# Otherwise, rely on $mkdir_umask.
+	if test -n "$dir_arg"; then
+	  mkdir_mode=-m$mode
+	else
+	  mkdir_mode=
+	fi
+
+	posix_mkdir=false
+	case $umask in
+	  *[123567][0-7][0-7])
+	    # POSIX mkdir -p sets u+wx bits regardless of umask, which
+	    # is incompatible with FreeBSD 'install' when (umask & 300) != 0.
+	    ;;
+	  *)
+	    tmpdir=${TMPDIR-/tmp}/ins$RANDOM-$$
+	    trap 'ret=$?; rmdir "$tmpdir/d" "$tmpdir" 2>/dev/null; exit $ret' 0
+
+	    if (umask $mkdir_umask &&
+		exec $mkdirprog $mkdir_mode -p -- "$tmpdir/d") >/dev/null 2>&1
+	    then
+	      if test -z "$dir_arg" || {
+		   # Check for POSIX incompatibilities with -m.
+		   # HP-UX 11.23 and IRIX 6.5 mkdir -m -p sets group- or
+		   # other-writeable bit of parent directory when it shouldn't.
+		   # FreeBSD 6.1 mkdir -m -p sets mode of existing directory.
+		   ls_ld_tmpdir=`ls -ld "$tmpdir"`
+		   case $ls_ld_tmpdir in
+		     d????-?r-*) different_mode=700;;
+		     d????-?--*) different_mode=755;;
+		     *) false;;
+		   esac &&
+		   $mkdirprog -m$different_mode -p -- "$tmpdir" && {
+		     ls_ld_tmpdir_1=`ls -ld "$tmpdir"`
+		     test "$ls_ld_tmpdir" = "$ls_ld_tmpdir_1"
+		   }
+		 }
+	      then posix_mkdir=:
+	      fi
+	      rmdir "$tmpdir/d" "$tmpdir"
+	    else
+	      # Remove any dirs left behind by ancient mkdir implementations.
+	      rmdir ./$mkdir_mode ./-p ./-- 2>/dev/null
+	    fi
+	    trap '' 0;;
+	esac;;
+    esac
+
+    if
+      $posix_mkdir && (
+	umask $mkdir_umask &&
+	$doit_exec $mkdirprog $mkdir_mode -p -- "$dstdir"
+      )
+    then :
+    else
+
+      # The umask is ridiculous, or mkdir does not conform to POSIX,
+      # or it failed possibly due to a race condition.  Create the
+      # directory the slow way, step by step, checking for races as we go.
+
+      case $dstdir in
+	/*) prefix='/';;
+	-*) prefix='./';;
+	*)  prefix='';;
+      esac
+
+      eval "$initialize_posix_glob"
+
+      oIFS=$IFS
+      IFS=/
+      $posix_glob set -f
+      set fnord $dstdir
+      shift
+      $posix_glob set +f
+      IFS=$oIFS
+
+      prefixes=
+
+      for d
+      do
+	test -z "$d" && continue
+
+	prefix=$prefix$d
+	if test -d "$prefix"; then
+	  prefixes=
+	else
+	  if $posix_mkdir; then
+	    (umask=$mkdir_umask &&
+	     $doit_exec $mkdirprog $mkdir_mode -p -- "$dstdir") && break
+	    # Don't fail if two instances are running concurrently.
+	    test -d "$prefix" || exit 1
+	  else
+	    case $prefix in
+	      *\'*) qprefix=`echo "$prefix" | sed "s/'/'\\\\\\\\''/g"`;;
+	      *) qprefix=$prefix;;
+	    esac
+	    prefixes="$prefixes '$qprefix'"
+	  fi
+	fi
+	prefix=$prefix/
+      done
+
+      if test -n "$prefixes"; then
+	# Don't fail if two instances are running concurrently.
+	(umask $mkdir_umask &&
+	 eval "\$doit_exec \$mkdirprog $prefixes") ||
+	  test -d "$dstdir" || exit 1
+	obsolete_mkdir_used=true
+      fi
+    fi
+  fi
+
+  if test -n "$dir_arg"; then
+    { test -z "$chowncmd" || $doit $chowncmd "$dst"; } &&
+    { test -z "$chgrpcmd" || $doit $chgrpcmd "$dst"; } &&
+    { test "$obsolete_mkdir_used$chowncmd$chgrpcmd" = false ||
+      test -z "$chmodcmd" || $doit $chmodcmd $mode "$dst"; } || exit 1
+  else
+
+    # Make a couple of temp file names in the proper directory.
+    dsttmp=$dstdir/_inst.$$_
+    rmtmp=$dstdir/_rm.$$_
+
+    # Trap to clean up those temp files at exit.
+    trap 'ret=$?; rm -f "$dsttmp" "$rmtmp" && exit $ret' 0
+
+    # Copy the file name to the temp name.
+    (umask $cp_umask && $doit_exec $cpprog "$src" "$dsttmp") &&
+
+    # and set any options; do chmod last to preserve setuid bits.
+    #
+    # If any of these fail, we abort the whole thing.  If we want to
+    # ignore errors from any of these, just make sure not to ignore
+    # errors from the above "$doit $cpprog $src $dsttmp" command.
+    #
+    { test -z "$chowncmd" || $doit $chowncmd "$dsttmp"; } &&
+    { test -z "$chgrpcmd" || $doit $chgrpcmd "$dsttmp"; } &&
+    { test -z "$stripcmd" || $doit $stripcmd "$dsttmp"; } &&
+    { test -z "$chmodcmd" || $doit $chmodcmd $mode "$dsttmp"; } &&
+
+    # If -C, don't bother to copy if it wouldn't change the file.
+    if $copy_on_change &&
+       old=`LC_ALL=C ls -dlL "$dst"	2>/dev/null` &&
+       new=`LC_ALL=C ls -dlL "$dsttmp"	2>/dev/null` &&
+
+       eval "$initialize_posix_glob" &&
+       $posix_glob set -f &&
+       set X $old && old=:$2:$4:$5:$6 &&
+       set X $new && new=:$2:$4:$5:$6 &&
+       $posix_glob set +f &&
+
+       test "$old" = "$new" &&
+       $cmpprog "$dst" "$dsttmp" >/dev/null 2>&1
+    then
+      rm -f "$dsttmp"
+    else
+      # Rename the file to the real destination.
+      $doit $mvcmd -f "$dsttmp" "$dst" 2>/dev/null ||
+
+      # The rename failed, perhaps because mv can't rename something else
+      # to itself, or perhaps because mv is so ancient that it does not
+      # support -f.
+      {
+	# Now remove or move aside any old file at destination location.
+	# We try this two ways since rm can't unlink itself on some
+	# systems and the destination file might be busy for other
+	# reasons.  In this case, the final cleanup might fail but the new
+	# file should still install successfully.
+	{
+	  test ! -f "$dst" ||
+	  $doit $rmcmd -f "$dst" 2>/dev/null ||
+	  { $doit $mvcmd -f "$dst" "$rmtmp" 2>/dev/null &&
+	    { $doit $rmcmd -f "$rmtmp" 2>/dev/null; :; }
+	  } ||
+	  { echo "$0: cannot unlink or rename $dst" >&2
+	    (exit 1); exit 1
+	  }
+	} &&
+
+	# Now rename the file to the real destination.
+	$doit $mvcmd "$dsttmp" "$dst"
+      }
+    fi || exit 1
+
+    trap '' 0
+  fi
+done
+
+# Local variables:
+# eval: (add-hook 'write-file-hooks 'time-stamp)
+# time-stamp-start: "scriptversion="
+# time-stamp-format: "%:y-%02m-%02d.%02H"
+# time-stamp-time-zone: "UTC"
+# time-stamp-end: "; # UTC"
+# End:
diff --git a/auxconf/ltmain.sh b/auxconf/ltmain.sh
new file mode 100755
index 0000000..a72f2fd
--- /dev/null
+++ b/auxconf/ltmain.sh
@@ -0,0 +1,8406 @@
+# Generated from ltmain.m4sh.
+
+# ltmain.sh (GNU libtool) 2.2.6b
+# Written by Gordon Matzigkeit <gord at gnu.ai.mit.edu>, 1996
+
+# Copyright (C) 1996, 1997, 1998, 1999, 2000, 2001, 2003, 2004, 2005, 2006, 2007 2008 Free Software Foundation, Inc.
+# This is free software; see the source for copying conditions.  There is NO
+# warranty; not even for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.
+
+# GNU Libtool is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 2 of the License, or
+# (at your option) any later version.
+#
+# As a special exception to the GNU General Public License,
+# if you distribute this file as part of a program or library that
+# is built using GNU Libtool, you may include this file under the
+# same distribution terms that you use for the rest of that program.
+#
+# GNU Libtool is distributed in the hope that it will be useful, but
+# WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
+# General Public License for more details.
+#
+# You should have received a copy of the GNU General Public License
+# along with GNU Libtool; see the file COPYING.  If not, a copy
+# can be downloaded from http://www.gnu.org/licenses/gpl.html,
+# or obtained by writing to the Free Software Foundation, Inc.,
+# 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301, USA.
+
+# Usage: $progname [OPTION]... [MODE-ARG]...
+#
+# Provide generalized library-building support services.
+#
+#     --config             show all configuration variables
+#     --debug              enable verbose shell tracing
+# -n, --dry-run            display commands without modifying any files
+#     --features           display basic configuration information and exit
+#     --mode=MODE          use operation mode MODE
+#     --preserve-dup-deps  don't remove duplicate dependency libraries
+#     --quiet, --silent    don't print informational messages
+#     --tag=TAG            use configuration variables from tag TAG
+# -v, --verbose            print informational messages (default)
+#     --version            print version information
+# -h, --help               print short or long help message
+#
+# MODE must be one of the following:
+#
+#       clean              remove files from the build directory
+#       compile            compile a source file into a libtool object
+#       execute            automatically set library path, then run a program
+#       finish             complete the installation of libtool libraries
+#       install            install libraries or executables
+#       link               create a library or an executable
+#       uninstall          remove libraries from an installed directory
+#
+# MODE-ARGS vary depending on the MODE.
+# Try `$progname --help --mode=MODE' for a more detailed description of MODE.
+#
+# When reporting a bug, please describe a test case to reproduce it and
+# include the following information:
+#
+#       host-triplet:	$host
+#       shell:		$SHELL
+#       compiler:		$LTCC
+#       compiler flags:		$LTCFLAGS
+#       linker:		$LD (gnu? $with_gnu_ld)
+#       $progname:		(GNU libtool) 2.2.6b
+#       automake:		$automake_version
+#       autoconf:		$autoconf_version
+#
+# Report bugs to <bug-libtool at gnu.org>.
+
+PROGRAM=ltmain.sh
+PACKAGE=libtool
+VERSION=2.2.6b
+TIMESTAMP=""
+package_revision=1.3017
+
+# Be Bourne compatible
+if test -n "${ZSH_VERSION+set}" && (emulate sh) >/dev/null 2>&1; then
+  emulate sh
+  NULLCMD=:
+  # Zsh 3.x and 4.x performs word splitting on ${1+"$@"}, which
+  # is contrary to our usage.  Disable this feature.
+  alias -g '${1+"$@"}'='"$@"'
+  setopt NO_GLOB_SUBST
+else
+  case `(set -o) 2>/dev/null` in *posix*) set -o posix;; esac
+fi
+BIN_SH=xpg4; export BIN_SH # for Tru64
+DUALCASE=1; export DUALCASE # for MKS sh
+
+# NLS nuisances: We save the old values to restore during execute mode.
+# Only set LANG and LC_ALL to C if already set.
+# These must not be set unconditionally because not all systems understand
+# e.g. LANG=C (notably SCO).
+lt_user_locale=
+lt_safe_locale=
+for lt_var in LANG LANGUAGE LC_ALL LC_CTYPE LC_COLLATE LC_MESSAGES
+do
+  eval "if test \"\${$lt_var+set}\" = set; then
+          save_$lt_var=\$$lt_var
+          $lt_var=C
+	  export $lt_var
+	  lt_user_locale=\"$lt_var=\\\$save_\$lt_var; \$lt_user_locale\"
+	  lt_safe_locale=\"$lt_var=C; \$lt_safe_locale\"
+	fi"
+done
+
+$lt_unset CDPATH
+
+
+
+
+
+: ${CP="cp -f"}
+: ${ECHO="echo"}
+: ${EGREP="/bin/grep -E"}
+: ${FGREP="/bin/grep -F"}
+: ${GREP="/bin/grep"}
+: ${LN_S="ln -s"}
+: ${MAKE="make"}
+: ${MKDIR="mkdir"}
+: ${MV="mv -f"}
+: ${RM="rm -f"}
+: ${SED="/bin/sed"}
+: ${SHELL="${CONFIG_SHELL-/bin/sh}"}
+: ${Xsed="$SED -e 1s/^X//"}
+
+# Global variables:
+EXIT_SUCCESS=0
+EXIT_FAILURE=1
+EXIT_MISMATCH=63  # $? = 63 is used to indicate version mismatch to missing.
+EXIT_SKIP=77	  # $? = 77 is used to indicate a skipped test to automake.
+
+exit_status=$EXIT_SUCCESS
+
+# Make sure IFS has a sensible default
+lt_nl='
+'
+IFS=" 	$lt_nl"
+
+dirname="s,/[^/]*$,,"
+basename="s,^.*/,,"
+
+# func_dirname_and_basename file append nondir_replacement
+# perform func_basename and func_dirname in a single function
+# call:
+#   dirname:  Compute the dirname of FILE.  If nonempty,
+#             add APPEND to the result, otherwise set result
+#             to NONDIR_REPLACEMENT.
+#             value returned in "$func_dirname_result"
+#   basename: Compute filename of FILE.
+#             value retuned in "$func_basename_result"
+# Implementation must be kept synchronized with func_dirname
+# and func_basename. For efficiency, we do not delegate to
+# those functions but instead duplicate the functionality here.
+func_dirname_and_basename ()
+{
+  # Extract subdirectory from the argument.
+  func_dirname_result=`$ECHO "X${1}" | $Xsed -e "$dirname"`
+  if test "X$func_dirname_result" = "X${1}"; then
+    func_dirname_result="${3}"
+  else
+    func_dirname_result="$func_dirname_result${2}"
+  fi
+  func_basename_result=`$ECHO "X${1}" | $Xsed -e "$basename"`
+}
+
+# Generated shell functions inserted here.
+
+# Work around backward compatibility issue on IRIX 6.5. On IRIX 6.4+, sh
+# is ksh but when the shell is invoked as "sh" and the current value of
+# the _XPG environment variable is not equal to 1 (one), the special
+# positional parameter $0, within a function call, is the name of the
+# function.
+progpath="$0"
+
+# The name of this program:
+# In the unlikely event $progname began with a '-', it would play havoc with
+# func_echo (imagine progname=-n), so we prepend ./ in that case:
+func_dirname_and_basename "$progpath"
+progname=$func_basename_result
+case $progname in
+  -*) progname=./$progname ;;
+esac
+
+# Make sure we have an absolute path for reexecution:
+case $progpath in
+  [\\/]*|[A-Za-z]:\\*) ;;
+  *[\\/]*)
+     progdir=$func_dirname_result
+     progdir=`cd "$progdir" && pwd`
+     progpath="$progdir/$progname"
+     ;;
+  *)
+     save_IFS="$IFS"
+     IFS=:
+     for progdir in $PATH; do
+       IFS="$save_IFS"
+       test -x "$progdir/$progname" && break
+     done
+     IFS="$save_IFS"
+     test -n "$progdir" || progdir=`pwd`
+     progpath="$progdir/$progname"
+     ;;
+esac
+
+# Sed substitution that helps us do robust quoting.  It backslashifies
+# metacharacters that are still active within double-quoted strings.
+Xsed="${SED}"' -e 1s/^X//'
+sed_quote_subst='s/\([`"$\\]\)/\\\1/g'
+
+# Same as above, but do not quote variable references.
+double_quote_subst='s/\(["`\\]\)/\\\1/g'
+
+# Re-`\' parameter expansions in output of double_quote_subst that were
+# `\'-ed in input to the same.  If an odd number of `\' preceded a '$'
+# in input to double_quote_subst, that '$' was protected from expansion.
+# Since each input `\' is now two `\'s, look for any number of runs of
+# four `\'s followed by two `\'s and then a '$'.  `\' that '$'.
+bs='\\'
+bs2='\\\\'
+bs4='\\\\\\\\'
+dollar='\$'
+sed_double_backslash="\
+  s/$bs4/&\\
+/g
+  s/^$bs2$dollar/$bs&/
+  s/\\([^$bs]\\)$bs2$dollar/\\1$bs2$bs$dollar/g
+  s/\n//g"
+
+# Standard options:
+opt_dry_run=false
+opt_help=false
+opt_quiet=false
+opt_verbose=false
+opt_warning=:
+
+# func_echo arg...
+# Echo program name prefixed message, along with the current mode
+# name if it has been set yet.
+func_echo ()
+{
+    $ECHO "$progname${mode+: }$mode: $*"
+}
+
+# func_verbose arg...
+# Echo program name prefixed message in verbose mode only.
+func_verbose ()
+{
+    $opt_verbose && func_echo ${1+"$@"}
+
+    # A bug in bash halts the script if the last line of a function
+    # fails when set -e is in force, so we need another command to
+    # work around that:
+    :
+}
+
+# func_error arg...
+# Echo program name prefixed message to standard error.
+func_error ()
+{
+    $ECHO "$progname${mode+: }$mode: "${1+"$@"} 1>&2
+}
+
+# func_warning arg...
+# Echo program name prefixed warning message to standard error.
+func_warning ()
+{
+    $opt_warning && $ECHO "$progname${mode+: }$mode: warning: "${1+"$@"} 1>&2
+
+    # bash bug again:
+    :
+}
+
+# func_fatal_error arg...
+# Echo program name prefixed message to standard error, and exit.
+func_fatal_error ()
+{
+    func_error ${1+"$@"}
+    exit $EXIT_FAILURE
+}
+
+# func_fatal_help arg...
+# Echo program name prefixed message to standard error, followed by
+# a help hint, and exit.
+func_fatal_help ()
+{
+    func_error ${1+"$@"}
+    func_fatal_error "$help"
+}
+help="Try \`$progname --help' for more information."  ## default
+
+
+# func_grep expression filename
+# Check whether EXPRESSION matches any line of FILENAME, without output.
+func_grep ()
+{
+    $GREP "$1" "$2" >/dev/null 2>&1
+}
+
+
+# func_mkdir_p directory-path
+# Make sure the entire path to DIRECTORY-PATH is available.
+func_mkdir_p ()
+{
+    my_directory_path="$1"
+    my_dir_list=
+
+    if test -n "$my_directory_path" && test "$opt_dry_run" != ":"; then
+
+      # Protect directory names starting with `-'
+      case $my_directory_path in
+        -*) my_directory_path="./$my_directory_path" ;;
+      esac
+
+      # While some portion of DIR does not yet exist...
+      while test ! -d "$my_directory_path"; do
+        # ...make a list in topmost first order.  Use a colon delimited
+	# list incase some portion of path contains whitespace.
+        my_dir_list="$my_directory_path:$my_dir_list"
+
+        # If the last portion added has no slash in it, the list is done
+        case $my_directory_path in */*) ;; *) break ;; esac
+
+        # ...otherwise throw away the child directory and loop
+        my_directory_path=`$ECHO "X$my_directory_path" | $Xsed -e "$dirname"`
+      done
+      my_dir_list=`$ECHO "X$my_dir_list" | $Xsed -e 's,:*$,,'`
+
+      save_mkdir_p_IFS="$IFS"; IFS=':'
+      for my_dir in $my_dir_list; do
+	IFS="$save_mkdir_p_IFS"
+        # mkdir can fail with a `File exist' error if two processes
+        # try to create one of the directories concurrently.  Don't
+        # stop in that case!
+        $MKDIR "$my_dir" 2>/dev/null || :
+      done
+      IFS="$save_mkdir_p_IFS"
+
+      # Bail out if we (or some other process) failed to create a directory.
+      test -d "$my_directory_path" || \
+        func_fatal_error "Failed to create \`$1'"
+    fi
+}
+
+
+# func_mktempdir [string]
+# Make a temporary directory that won't clash with other running
+# libtool processes, and avoids race conditions if possible.  If
+# given, STRING is the basename for that directory.
+func_mktempdir ()
+{
+    my_template="${TMPDIR-/tmp}/${1-$progname}"
+
+    if test "$opt_dry_run" = ":"; then
+      # Return a directory name, but don't create it in dry-run mode
+      my_tmpdir="${my_template}-$$"
+    else
+
+      # If mktemp works, use that first and foremost
+      my_tmpdir=`mktemp -d "${my_template}-XXXXXXXX" 2>/dev/null`
+
+      if test ! -d "$my_tmpdir"; then
+        # Failing that, at least try and use $RANDOM to avoid a race
+        my_tmpdir="${my_template}-${RANDOM-0}$$"
+
+        save_mktempdir_umask=`umask`
+        umask 0077
+        $MKDIR "$my_tmpdir"
+        umask $save_mktempdir_umask
+      fi
+
+      # If we're not in dry-run mode, bomb out on failure
+      test -d "$my_tmpdir" || \
+        func_fatal_error "cannot create temporary directory \`$my_tmpdir'"
+    fi
+
+    $ECHO "X$my_tmpdir" | $Xsed
+}
+
+
+# func_quote_for_eval arg
+# Aesthetically quote ARG to be evaled later.
+# This function returns two values: FUNC_QUOTE_FOR_EVAL_RESULT
+# is double-quoted, suitable for a subsequent eval, whereas
+# FUNC_QUOTE_FOR_EVAL_UNQUOTED_RESULT has merely all characters
+# which are still active within double quotes backslashified.
+func_quote_for_eval ()
+{
+    case $1 in
+      *[\\\`\"\$]*)
+	func_quote_for_eval_unquoted_result=`$ECHO "X$1" | $Xsed -e "$sed_quote_subst"` ;;
+      *)
+        func_quote_for_eval_unquoted_result="$1" ;;
+    esac
+
+    case $func_quote_for_eval_unquoted_result in
+      # Double-quote args containing shell metacharacters to delay
+      # word splitting, command substitution and and variable
+      # expansion for a subsequent eval.
+      # Many Bourne shells cannot handle close brackets correctly
+      # in scan sets, so we specify it separately.
+      *[\[\~\#\^\&\*\(\)\{\}\|\;\<\>\?\'\ \	]*|*]*|"")
+        func_quote_for_eval_result="\"$func_quote_for_eval_unquoted_result\""
+        ;;
+      *)
+        func_quote_for_eval_result="$func_quote_for_eval_unquoted_result"
+    esac
+}
+
+
+# func_quote_for_expand arg
+# Aesthetically quote ARG to be evaled later; same as above,
+# but do not quote variable references.
+func_quote_for_expand ()
+{
+    case $1 in
+      *[\\\`\"]*)
+	my_arg=`$ECHO "X$1" | $Xsed \
+	    -e "$double_quote_subst" -e "$sed_double_backslash"` ;;
+      *)
+        my_arg="$1" ;;
+    esac
+
+    case $my_arg in
+      # Double-quote args containing shell metacharacters to delay
+      # word splitting and command substitution for a subsequent eval.
+      # Many Bourne shells cannot handle close brackets correctly
+      # in scan sets, so we specify it separately.
+      *[\[\~\#\^\&\*\(\)\{\}\|\;\<\>\?\'\ \	]*|*]*|"")
+        my_arg="\"$my_arg\""
+        ;;
+    esac
+
+    func_quote_for_expand_result="$my_arg"
+}
+
+
+# func_show_eval cmd [fail_exp]
+# Unless opt_silent is true, then output CMD.  Then, if opt_dryrun is
+# not true, evaluate CMD.  If the evaluation of CMD fails, and FAIL_EXP
+# is given, then evaluate it.
+func_show_eval ()
+{
+    my_cmd="$1"
+    my_fail_exp="${2-:}"
+
+    ${opt_silent-false} || {
+      func_quote_for_expand "$my_cmd"
+      eval "func_echo $func_quote_for_expand_result"
+    }
+
+    if ${opt_dry_run-false}; then :; else
+      eval "$my_cmd"
+      my_status=$?
+      if test "$my_status" -eq 0; then :; else
+	eval "(exit $my_status); $my_fail_exp"
+      fi
+    fi
+}
+
+
+# func_show_eval_locale cmd [fail_exp]
+# Unless opt_silent is true, then output CMD.  Then, if opt_dryrun is
+# not true, evaluate CMD.  If the evaluation of CMD fails, and FAIL_EXP
+# is given, then evaluate it.  Use the saved locale for evaluation.
+func_show_eval_locale ()
+{
+    my_cmd="$1"
+    my_fail_exp="${2-:}"
+
+    ${opt_silent-false} || {
+      func_quote_for_expand "$my_cmd"
+      eval "func_echo $func_quote_for_expand_result"
+    }
+
+    if ${opt_dry_run-false}; then :; else
+      eval "$lt_user_locale
+	    $my_cmd"
+      my_status=$?
+      eval "$lt_safe_locale"
+      if test "$my_status" -eq 0; then :; else
+	eval "(exit $my_status); $my_fail_exp"
+      fi
+    fi
+}
+
+
+
+
+
+# func_version
+# Echo version message to standard output and exit.
+func_version ()
+{
+    $SED -n '/^# '$PROGRAM' (GNU /,/# warranty; / {
+        s/^# //
+	s/^# *$//
+        s/\((C)\)[ 0-9,-]*\( [1-9][0-9]*\)/\1\2/
+        p
+     }' < "$progpath"
+     exit $?
+}
+
+# func_usage
+# Echo short help message to standard output and exit.
+func_usage ()
+{
+    $SED -n '/^# Usage:/,/# -h/ {
+        s/^# //
+	s/^# *$//
+	s/\$progname/'$progname'/
+	p
+    }' < "$progpath"
+    $ECHO
+    $ECHO "run \`$progname --help | more' for full usage"
+    exit $?
+}
+
+# func_help
+# Echo long help message to standard output and exit.
+func_help ()
+{
+    $SED -n '/^# Usage:/,/# Report bugs to/ {
+        s/^# //
+	s/^# *$//
+	s*\$progname*'$progname'*
+	s*\$host*'"$host"'*
+	s*\$SHELL*'"$SHELL"'*
+	s*\$LTCC*'"$LTCC"'*
+	s*\$LTCFLAGS*'"$LTCFLAGS"'*
+	s*\$LD*'"$LD"'*
+	s/\$with_gnu_ld/'"$with_gnu_ld"'/
+	s/\$automake_version/'"`(automake --version) 2>/dev/null |$SED 1q`"'/
+	s/\$autoconf_version/'"`(autoconf --version) 2>/dev/null |$SED 1q`"'/
+	p
+     }' < "$progpath"
+    exit $?
+}
+
+# func_missing_arg argname
+# Echo program name prefixed message to standard error and set global
+# exit_cmd.
+func_missing_arg ()
+{
+    func_error "missing argument for $1"
+    exit_cmd=exit
+}
+
+exit_cmd=:
+
+
+
+
+
+# Check that we have a working $ECHO.
+if test "X$1" = X--no-reexec; then
+  # Discard the --no-reexec flag, and continue.
+  shift
+elif test "X$1" = X--fallback-echo; then
+  # Avoid inline document here, it may be left over
+  :
+elif test "X`{ $ECHO '\t'; } 2>/dev/null`" = 'X\t'; then
+  # Yippee, $ECHO works!
+  :
+else
+  # Restart under the correct shell, and then maybe $ECHO will work.
+  exec $SHELL "$progpath" --no-reexec ${1+"$@"}
+fi
+
+if test "X$1" = X--fallback-echo; then
+  # used as fallback echo
+  shift
+  cat <<EOF
+$*
+EOF
+  exit $EXIT_SUCCESS
+fi
+
+magic="%%%MAGIC variable%%%"
+magic_exe="%%%MAGIC EXE variable%%%"
+
+# Global variables.
+# $mode is unset
+nonopt=
+execute_dlfiles=
+preserve_args=
+lo2o="s/\\.lo\$/.${objext}/"
+o2lo="s/\\.${objext}\$/.lo/"
+extracted_archives=
+extracted_serial=0
+
+opt_dry_run=false
+opt_duplicate_deps=false
+opt_silent=false
+opt_debug=:
+
+# If this variable is set in any of the actions, the command in it
+# will be execed at the end.  This prevents here-documents from being
+# left over by shells.
+exec_cmd=
+
+# func_fatal_configuration arg...
+# Echo program name prefixed message to standard error, followed by
+# a configuration failure hint, and exit.
+func_fatal_configuration ()
+{
+    func_error ${1+"$@"}
+    func_error "See the $PACKAGE documentation for more information."
+    func_fatal_error "Fatal configuration error."
+}
+
+
+# func_config
+# Display the configuration for all the tags in this script.
+func_config ()
+{
+    re_begincf='^# ### BEGIN LIBTOOL'
+    re_endcf='^# ### END LIBTOOL'
+
+    # Default configuration.
+    $SED "1,/$re_begincf CONFIG/d;/$re_endcf CONFIG/,\$d" < "$progpath"
+
+    # Now print the configurations for the tags.
+    for tagname in $taglist; do
+      $SED -n "/$re_begincf TAG CONFIG: $tagname\$/,/$re_endcf TAG CONFIG: $tagname\$/p" < "$progpath"
+    done
+
+    exit $?
+}
+
+# func_features
+# Display the features supported by this script.
+func_features ()
+{
+    $ECHO "host: $host"
+    if test "$build_libtool_libs" = yes; then
+      $ECHO "enable shared libraries"
+    else
+      $ECHO "disable shared libraries"
+    fi
+    if test "$build_old_libs" = yes; then
+      $ECHO "enable static libraries"
+    else
+      $ECHO "disable static libraries"
+    fi
+
+    exit $?
+}
+
+# func_enable_tag tagname
+# Verify that TAGNAME is valid, and either flag an error and exit, or
+# enable the TAGNAME tag.  We also add TAGNAME to the global $taglist
+# variable here.
+func_enable_tag ()
+{
+  # Global variable:
+  tagname="$1"
+
+  re_begincf="^# ### BEGIN LIBTOOL TAG CONFIG: $tagname\$"
+  re_endcf="^# ### END LIBTOOL TAG CONFIG: $tagname\$"
+  sed_extractcf="/$re_begincf/,/$re_endcf/p"
+
+  # Validate tagname.
+  case $tagname in
+    *[!-_A-Za-z0-9,/]*)
+      func_fatal_error "invalid tag name: $tagname"
+      ;;
+  esac
+
+  # Don't test for the "default" C tag, as we know it's
+  # there but not specially marked.
+  case $tagname in
+    CC) ;;
+    *)
+      if $GREP "$re_begincf" "$progpath" >/dev/null 2>&1; then
+	taglist="$taglist $tagname"
+
+	# Evaluate the configuration.  Be careful to quote the path
+	# and the sed script, to avoid splitting on whitespace, but
+	# also don't use non-portable quotes within backquotes within
+	# quotes we have to do it in 2 steps:
+	extractedcf=`$SED -n -e "$sed_extractcf" < "$progpath"`
+	eval "$extractedcf"
+      else
+	func_error "ignoring unknown tag $tagname"
+      fi
+      ;;
+  esac
+}
+
+# Parse options once, thoroughly.  This comes as soon as possible in
+# the script to make things like `libtool --version' happen quickly.
+{
+
+  # Shorthand for --mode=foo, only valid as the first argument
+  case $1 in
+  clean|clea|cle|cl)
+    shift; set dummy --mode clean ${1+"$@"}; shift
+    ;;
+  compile|compil|compi|comp|com|co|c)
+    shift; set dummy --mode compile ${1+"$@"}; shift
+    ;;
+  execute|execut|execu|exec|exe|ex|e)
+    shift; set dummy --mode execute ${1+"$@"}; shift
+    ;;
+  finish|finis|fini|fin|fi|f)
+    shift; set dummy --mode finish ${1+"$@"}; shift
+    ;;
+  install|instal|insta|inst|ins|in|i)
+    shift; set dummy --mode install ${1+"$@"}; shift
+    ;;
+  link|lin|li|l)
+    shift; set dummy --mode link ${1+"$@"}; shift
+    ;;
+  uninstall|uninstal|uninsta|uninst|unins|unin|uni|un|u)
+    shift; set dummy --mode uninstall ${1+"$@"}; shift
+    ;;
+  esac
+
+  # Parse non-mode specific arguments:
+  while test "$#" -gt 0; do
+    opt="$1"
+    shift
+
+    case $opt in
+      --config)		func_config					;;
+
+      --debug)		preserve_args="$preserve_args $opt"
+			func_echo "enabling shell trace mode"
+			opt_debug='set -x'
+			$opt_debug
+			;;
+
+      -dlopen)		test "$#" -eq 0 && func_missing_arg "$opt" && break
+			execute_dlfiles="$execute_dlfiles $1"
+			shift
+			;;
+
+      --dry-run | -n)	opt_dry_run=:					;;
+      --features)       func_features					;;
+      --finish)		mode="finish"					;;
+
+      --mode)		test "$#" -eq 0 && func_missing_arg "$opt" && break
+			case $1 in
+			  # Valid mode arguments:
+			  clean)	;;
+			  compile)	;;
+			  execute)	;;
+			  finish)	;;
+			  install)	;;
+			  link)		;;
+			  relink)	;;
+			  uninstall)	;;
+
+			  # Catch anything else as an error
+			  *) func_error "invalid argument for $opt"
+			     exit_cmd=exit
+			     break
+			     ;;
+		        esac
+
+			mode="$1"
+			shift
+			;;
+
+      --preserve-dup-deps)
+			opt_duplicate_deps=:				;;
+
+      --quiet|--silent)	preserve_args="$preserve_args $opt"
+			opt_silent=:
+			;;
+
+      --verbose| -v)	preserve_args="$preserve_args $opt"
+			opt_silent=false
+			;;
+
+      --tag)		test "$#" -eq 0 && func_missing_arg "$opt" && break
+			preserve_args="$preserve_args $opt $1"
+			func_enable_tag "$1"	# tagname is set here
+			shift
+			;;
+
+      # Separate optargs to long options:
+      -dlopen=*|--mode=*|--tag=*)
+			func_opt_split "$opt"
+			set dummy "$func_opt_split_opt" "$func_opt_split_arg" ${1+"$@"}
+			shift
+			;;
+
+      -\?|-h)		func_usage					;;
+      --help)		opt_help=:					;;
+      --version)	func_version					;;
+
+      -*)		func_fatal_help "unrecognized option \`$opt'"	;;
+
+      *)		nonopt="$opt"
+			break
+			;;
+    esac
+  done
+
+
+  case $host in
+    *cygwin* | *mingw* | *pw32* | *cegcc*)
+      # don't eliminate duplications in $postdeps and $predeps
+      opt_duplicate_compiler_generated_deps=:
+      ;;
+    *)
+      opt_duplicate_compiler_generated_deps=$opt_duplicate_deps
+      ;;
+  esac
+
+  # Having warned about all mis-specified options, bail out if
+  # anything was wrong.
+  $exit_cmd $EXIT_FAILURE
+}
+
+# func_check_version_match
+# Ensure that we are using m4 macros, and libtool script from the same
+# release of libtool.
+func_check_version_match ()
+{
+  if test "$package_revision" != "$macro_revision"; then
+    if test "$VERSION" != "$macro_version"; then
+      if test -z "$macro_version"; then
+        cat >&2 <<_LT_EOF
+$progname: Version mismatch error.  This is $PACKAGE $VERSION, but the
+$progname: definition of this LT_INIT comes from an older release.
+$progname: You should recreate aclocal.m4 with macros from $PACKAGE $VERSION
+$progname: and run autoconf again.
+_LT_EOF
+      else
+        cat >&2 <<_LT_EOF
+$progname: Version mismatch error.  This is $PACKAGE $VERSION, but the
+$progname: definition of this LT_INIT comes from $PACKAGE $macro_version.
+$progname: You should recreate aclocal.m4 with macros from $PACKAGE $VERSION
+$progname: and run autoconf again.
+_LT_EOF
+      fi
+    else
+      cat >&2 <<_LT_EOF
+$progname: Version mismatch error.  This is $PACKAGE $VERSION, revision $package_revision,
+$progname: but the definition of this LT_INIT comes from revision $macro_revision.
+$progname: You should recreate aclocal.m4 with macros from revision $package_revision
+$progname: of $PACKAGE $VERSION and run autoconf again.
+_LT_EOF
+    fi
+
+    exit $EXIT_MISMATCH
+  fi
+}
+
+
+## ----------- ##
+##    Main.    ##
+## ----------- ##
+
+$opt_help || {
+  # Sanity checks first:
+  func_check_version_match
+
+  if test "$build_libtool_libs" != yes && test "$build_old_libs" != yes; then
+    func_fatal_configuration "not configured to build any kind of library"
+  fi
+
+  test -z "$mode" && func_fatal_error "error: you must specify a MODE."
+
+
+  # Darwin sucks
+  eval std_shrext=\"$shrext_cmds\"
+
+
+  # Only execute mode is allowed to have -dlopen flags.
+  if test -n "$execute_dlfiles" && test "$mode" != execute; then
+    func_error "unrecognized option \`-dlopen'"
+    $ECHO "$help" 1>&2
+    exit $EXIT_FAILURE
+  fi
+
+  # Change the help message to a mode-specific one.
+  generic_help="$help"
+  help="Try \`$progname --help --mode=$mode' for more information."
+}
+
+
+# func_lalib_p file
+# True iff FILE is a libtool `.la' library or `.lo' object file.
+# This function is only a basic sanity check; it will hardly flush out
+# determined imposters.
+func_lalib_p ()
+{
+    test -f "$1" &&
+      $SED -e 4q "$1" 2>/dev/null \
+        | $GREP "^# Generated by .*$PACKAGE" > /dev/null 2>&1
+}
+
+# func_lalib_unsafe_p file
+# True iff FILE is a libtool `.la' library or `.lo' object file.
+# This function implements the same check as func_lalib_p without
+# resorting to external programs.  To this end, it redirects stdin and
+# closes it afterwards, without saving the original file descriptor.
+# As a safety measure, use it only where a negative result would be
+# fatal anyway.  Works if `file' does not exist.
+func_lalib_unsafe_p ()
+{
+    lalib_p=no
+    if test -f "$1" && test -r "$1" && exec 5<&0 <"$1"; then
+	for lalib_p_l in 1 2 3 4
+	do
+	    read lalib_p_line
+	    case "$lalib_p_line" in
+		\#\ Generated\ by\ *$PACKAGE* ) lalib_p=yes; break;;
+	    esac
+	done
+	exec 0<&5 5<&-
+    fi
+    test "$lalib_p" = yes
+}
+
+# func_ltwrapper_script_p file
+# True iff FILE is a libtool wrapper script
+# This function is only a basic sanity check; it will hardly flush out
+# determined imposters.
+func_ltwrapper_script_p ()
+{
+    func_lalib_p "$1"
+}
+
+# func_ltwrapper_executable_p file
+# True iff FILE is a libtool wrapper executable
+# This function is only a basic sanity check; it will hardly flush out
+# determined imposters.
+func_ltwrapper_executable_p ()
+{
+    func_ltwrapper_exec_suffix=
+    case $1 in
+    *.exe) ;;
+    *) func_ltwrapper_exec_suffix=.exe ;;
+    esac
+    $GREP "$magic_exe" "$1$func_ltwrapper_exec_suffix" >/dev/null 2>&1
+}
+
+# func_ltwrapper_scriptname file
+# Assumes file is an ltwrapper_executable
+# uses $file to determine the appropriate filename for a
+# temporary ltwrapper_script.
+func_ltwrapper_scriptname ()
+{
+    func_ltwrapper_scriptname_result=""
+    if func_ltwrapper_executable_p "$1"; then
+	func_dirname_and_basename "$1" "" "."
+	func_stripname '' '.exe' "$func_basename_result"
+	func_ltwrapper_scriptname_result="$func_dirname_result/$objdir/${func_stripname_result}_ltshwrapper"
+    fi
+}
+
+# func_ltwrapper_p file
+# True iff FILE is a libtool wrapper script or wrapper executable
+# This function is only a basic sanity check; it will hardly flush out
+# determined imposters.
+func_ltwrapper_p ()
+{
+    func_ltwrapper_script_p "$1" || func_ltwrapper_executable_p "$1"
+}
+
+
+# func_execute_cmds commands fail_cmd
+# Execute tilde-delimited COMMANDS.
+# If FAIL_CMD is given, eval that upon failure.
+# FAIL_CMD may read-access the current command in variable CMD!
+func_execute_cmds ()
+{
+    $opt_debug
+    save_ifs=$IFS; IFS='~'
+    for cmd in $1; do
+      IFS=$save_ifs
+      eval cmd=\"$cmd\"
+      func_show_eval "$cmd" "${2-:}"
+    done
+    IFS=$save_ifs
+}
+
+
+# func_source file
+# Source FILE, adding directory component if necessary.
+# Note that it is not necessary on cygwin/mingw to append a dot to
+# FILE even if both FILE and FILE.exe exist: automatic-append-.exe
+# behavior happens only for exec(3), not for open(2)!  Also, sourcing
+# `FILE.' does not work on cygwin managed mounts.
+func_source ()
+{
+    $opt_debug
+    case $1 in
+    */* | *\\*)	. "$1" ;;
+    *)		. "./$1" ;;
+    esac
+}
+
+
+# func_infer_tag arg
+# Infer tagged configuration to use if any are available and
+# if one wasn't chosen via the "--tag" command line option.
+# Only attempt this if the compiler in the base compile
+# command doesn't match the default compiler.
+# arg is usually of the form 'gcc ...'
+func_infer_tag ()
+{
+    $opt_debug
+    if test -n "$available_tags" && test -z "$tagname"; then
+      CC_quoted=
+      for arg in $CC; do
+        func_quote_for_eval "$arg"
+	CC_quoted="$CC_quoted $func_quote_for_eval_result"
+      done
+      case $@ in
+      # Blanks in the command may have been stripped by the calling shell,
+      # but not from the CC environment variable when configure was run.
+      " $CC "* | "$CC "* | " `$ECHO $CC` "* | "`$ECHO $CC` "* | " $CC_quoted"* | "$CC_quoted "* | " `$ECHO $CC_quoted` "* | "`$ECHO $CC_quoted` "*) ;;
+      # Blanks at the start of $base_compile will cause this to fail
+      # if we don't check for them as well.
+      *)
+	for z in $available_tags; do
+	  if $GREP "^# ### BEGIN LIBTOOL TAG CONFIG: $z$" < "$progpath" > /dev/null; then
+	    # Evaluate the configuration.
+	    eval "`${SED} -n -e '/^# ### BEGIN LIBTOOL TAG CONFIG: '$z'$/,/^# ### END LIBTOOL TAG CONFIG: '$z'$/p' < $progpath`"
+	    CC_quoted=
+	    for arg in $CC; do
+	      # Double-quote args containing other shell metacharacters.
+	      func_quote_for_eval "$arg"
+	      CC_quoted="$CC_quoted $func_quote_for_eval_result"
+	    done
+	    case "$@ " in
+	      " $CC "* | "$CC "* | " `$ECHO $CC` "* | "`$ECHO $CC` "* | " $CC_quoted"* | "$CC_quoted "* | " `$ECHO $CC_quoted` "* | "`$ECHO $CC_quoted` "*)
+	      # The compiler in the base compile command matches
+	      # the one in the tagged configuration.
+	      # Assume this is the tagged configuration we want.
+	      tagname=$z
+	      break
+	      ;;
+	    esac
+	  fi
+	done
+	# If $tagname still isn't set, then no tagged configuration
+	# was found and let the user know that the "--tag" command
+	# line option must be used.
+	if test -z "$tagname"; then
+	  func_echo "unable to infer tagged configuration"
+	  func_fatal_error "specify a tag with \`--tag'"
+#	else
+#	  func_verbose "using $tagname tagged configuration"
+	fi
+	;;
+      esac
+    fi
+}
+
+
+
+# func_write_libtool_object output_name pic_name nonpic_name
+# Create a libtool object file (analogous to a ".la" file),
+# but don't create it if we're doing a dry run.
+func_write_libtool_object ()
+{
+    write_libobj=${1}
+    if test "$build_libtool_libs" = yes; then
+      write_lobj=\'${2}\'
+    else
+      write_lobj=none
+    fi
+
+    if test "$build_old_libs" = yes; then
+      write_oldobj=\'${3}\'
+    else
+      write_oldobj=none
+    fi
+
+    $opt_dry_run || {
+      cat >${write_libobj}T <<EOF
+# $write_libobj - a libtool object file
+# Generated by $PROGRAM (GNU $PACKAGE$TIMESTAMP) $VERSION
+#
+# Please DO NOT delete this file!
+# It is necessary for linking the library.
+
+# Name of the PIC object.
+pic_object=$write_lobj
+
+# Name of the non-PIC object
+non_pic_object=$write_oldobj
+
+EOF
+      $MV "${write_libobj}T" "${write_libobj}"
+    }
+}
+
+# func_mode_compile arg...
+func_mode_compile ()
+{
+    $opt_debug
+    # Get the compilation command and the source file.
+    base_compile=
+    srcfile="$nonopt"  #  always keep a non-empty value in "srcfile"
+    suppress_opt=yes
+    suppress_output=
+    arg_mode=normal
+    libobj=
+    later=
+    pie_flag=
+
+    for arg
+    do
+      case $arg_mode in
+      arg  )
+	# do not "continue".  Instead, add this to base_compile
+	lastarg="$arg"
+	arg_mode=normal
+	;;
+
+      target )
+	libobj="$arg"
+	arg_mode=normal
+	continue
+	;;
+
+      normal )
+	# Accept any command-line options.
+	case $arg in
+	-o)
+	  test -n "$libobj" && \
+	    func_fatal_error "you cannot specify \`-o' more than once"
+	  arg_mode=target
+	  continue
+	  ;;
+
+	-pie | -fpie | -fPIE)
+          pie_flag="$pie_flag $arg"
+	  continue
+	  ;;
+
+	-shared | -static | -prefer-pic | -prefer-non-pic)
+	  later="$later $arg"
+	  continue
+	  ;;
+
+	-no-suppress)
+	  suppress_opt=no
+	  continue
+	  ;;
+
+	-Xcompiler)
+	  arg_mode=arg  #  the next one goes into the "base_compile" arg list
+	  continue      #  The current "srcfile" will either be retained or
+	  ;;            #  replaced later.  I would guess that would be a bug.
+
+	-Wc,*)
+	  func_stripname '-Wc,' '' "$arg"
+	  args=$func_stripname_result
+	  lastarg=
+	  save_ifs="$IFS"; IFS=','
+	  for arg in $args; do
+	    IFS="$save_ifs"
+	    func_quote_for_eval "$arg"
+	    lastarg="$lastarg $func_quote_for_eval_result"
+	  done
+	  IFS="$save_ifs"
+	  func_stripname ' ' '' "$lastarg"
+	  lastarg=$func_stripname_result
+
+	  # Add the arguments to base_compile.
+	  base_compile="$base_compile $lastarg"
+	  continue
+	  ;;
+
+	*)
+	  # Accept the current argument as the source file.
+	  # The previous "srcfile" becomes the current argument.
+	  #
+	  lastarg="$srcfile"
+	  srcfile="$arg"
+	  ;;
+	esac  #  case $arg
+	;;
+      esac    #  case $arg_mode
+
+      # Aesthetically quote the previous argument.
+      func_quote_for_eval "$lastarg"
+      base_compile="$base_compile $func_quote_for_eval_result"
+    done # for arg
+
+    case $arg_mode in
+    arg)
+      func_fatal_error "you must specify an argument for -Xcompile"
+      ;;
+    target)
+      func_fatal_error "you must specify a target with \`-o'"
+      ;;
+    *)
+      # Get the name of the library object.
+      test -z "$libobj" && {
+	func_basename "$srcfile"
+	libobj="$func_basename_result"
+      }
+      ;;
+    esac
+
+    # Recognize several different file suffixes.
+    # If the user specifies -o file.o, it is replaced with file.lo
+    case $libobj in
+    *.[cCFSifmso] | \
+    *.ada | *.adb | *.ads | *.asm | \
+    *.c++ | *.cc | *.ii | *.class | *.cpp | *.cxx | \
+    *.[fF][09]? | *.for | *.java | *.obj | *.sx)
+      func_xform "$libobj"
+      libobj=$func_xform_result
+      ;;
+    esac
+
+    case $libobj in
+    *.lo) func_lo2o "$libobj"; obj=$func_lo2o_result ;;
+    *)
+      func_fatal_error "cannot determine name of library object from \`$libobj'"
+      ;;
+    esac
+
+    func_infer_tag $base_compile
+
+    for arg in $later; do
+      case $arg in
+      -shared)
+	test "$build_libtool_libs" != yes && \
+	  func_fatal_configuration "can not build a shared library"
+	build_old_libs=no
+	continue
+	;;
+
+      -static)
+	build_libtool_libs=no
+	build_old_libs=yes
+	continue
+	;;
+
+      -prefer-pic)
+	pic_mode=yes
+	continue
+	;;
+
+      -prefer-non-pic)
+	pic_mode=no
+	continue
+	;;
+      esac
+    done
+
+    func_quote_for_eval "$libobj"
+    test "X$libobj" != "X$func_quote_for_eval_result" \
+      && $ECHO "X$libobj" | $GREP '[]~#^*{};<>?"'"'"'	 &()|`$[]' \
+      && func_warning "libobj name \`$libobj' may not contain shell special characters."
+    func_dirname_and_basename "$obj" "/" ""
+    objname="$func_basename_result"
+    xdir="$func_dirname_result"
+    lobj=${xdir}$objdir/$objname
+
+    test -z "$base_compile" && \
+      func_fatal_help "you must specify a compilation command"
+
+    # Delete any leftover library objects.
+    if test "$build_old_libs" = yes; then
+      removelist="$obj $lobj $libobj ${libobj}T"
+    else
+      removelist="$lobj $libobj ${libobj}T"
+    fi
+
+    # On Cygwin there's no "real" PIC flag so we must build both object types
+    case $host_os in
+    cygwin* | mingw* | pw32* | os2* | cegcc*)
+      pic_mode=default
+      ;;
+    esac
+    if test "$pic_mode" = no && test "$deplibs_check_method" != pass_all; then
+      # non-PIC code in shared libraries is not supported
+      pic_mode=default
+    fi
+
+    # Calculate the filename of the output object if compiler does
+    # not support -o with -c
+    if test "$compiler_c_o" = no; then
+      output_obj=`$ECHO "X$srcfile" | $Xsed -e 's%^.*/%%' -e 's%\.[^.]*$%%'`.${objext}
+      lockfile="$output_obj.lock"
+    else
+      output_obj=
+      need_locks=no
+      lockfile=
+    fi
+
+    # Lock this critical section if it is needed
+    # We use this script file to make the link, it avoids creating a new file
+    if test "$need_locks" = yes; then
+      until $opt_dry_run || ln "$progpath" "$lockfile" 2>/dev/null; do
+	func_echo "Waiting for $lockfile to be removed"
+	sleep 2
+      done
+    elif test "$need_locks" = warn; then
+      if test -f "$lockfile"; then
+	$ECHO "\
+*** ERROR, $lockfile exists and contains:
+`cat $lockfile 2>/dev/null`
+
+This indicates that another process is trying to use the same
+temporary object file, and libtool could not work around it because
+your compiler does not support \`-c' and \`-o' together.  If you
+repeat this compilation, it may succeed, by chance, but you had better
+avoid parallel builds (make -j) in this platform, or get a better
+compiler."
+
+	$opt_dry_run || $RM $removelist
+	exit $EXIT_FAILURE
+      fi
+      removelist="$removelist $output_obj"
+      $ECHO "$srcfile" > "$lockfile"
+    fi
+
+    $opt_dry_run || $RM $removelist
+    removelist="$removelist $lockfile"
+    trap '$opt_dry_run || $RM $removelist; exit $EXIT_FAILURE' 1 2 15
+
+    if test -n "$fix_srcfile_path"; then
+      eval srcfile=\"$fix_srcfile_path\"
+    fi
+    func_quote_for_eval "$srcfile"
+    qsrcfile=$func_quote_for_eval_result
+
+    # Only build a PIC object if we are building libtool libraries.
+    if test "$build_libtool_libs" = yes; then
+      # Without this assignment, base_compile gets emptied.
+      fbsd_hideous_sh_bug=$base_compile
+
+      if test "$pic_mode" != no; then
+	command="$base_compile $qsrcfile $pic_flag"
+      else
+	# Don't build PIC code
+	command="$base_compile $qsrcfile"
+      fi
+
+      func_mkdir_p "$xdir$objdir"
+
+      if test -z "$output_obj"; then
+	# Place PIC objects in $objdir
+	command="$command -o $lobj"
+      fi
+
+      func_show_eval_locale "$command"	\
+          'test -n "$output_obj" && $RM $removelist; exit $EXIT_FAILURE'
+
+      if test "$need_locks" = warn &&
+	 test "X`cat $lockfile 2>/dev/null`" != "X$srcfile"; then
+	$ECHO "\
+*** ERROR, $lockfile contains:
+`cat $lockfile 2>/dev/null`
+
+but it should contain:
+$srcfile
+
+This indicates that another process is trying to use the same
+temporary object file, and libtool could not work around it because
+your compiler does not support \`-c' and \`-o' together.  If you
+repeat this compilation, it may succeed, by chance, but you had better
+avoid parallel builds (make -j) in this platform, or get a better
+compiler."
+
+	$opt_dry_run || $RM $removelist
+	exit $EXIT_FAILURE
+      fi
+
+      # Just move the object if needed, then go on to compile the next one
+      if test -n "$output_obj" && test "X$output_obj" != "X$lobj"; then
+	func_show_eval '$MV "$output_obj" "$lobj"' \
+	  'error=$?; $opt_dry_run || $RM $removelist; exit $error'
+      fi
+
+      # Allow error messages only from the first compilation.
+      if test "$suppress_opt" = yes; then
+	suppress_output=' >/dev/null 2>&1'
+      fi
+    fi
+
+    # Only build a position-dependent object if we build old libraries.
+    if test "$build_old_libs" = yes; then
+      if test "$pic_mode" != yes; then
+	# Don't build PIC code
+	command="$base_compile $qsrcfile$pie_flag"
+      else
+	command="$base_compile $qsrcfile $pic_flag"
+      fi
+      if test "$compiler_c_o" = yes; then
+	command="$command -o $obj"
+      fi
+
+      # Suppress compiler output if we already did a PIC compilation.
+      command="$command$suppress_output"
+      func_show_eval_locale "$command" \
+        '$opt_dry_run || $RM $removelist; exit $EXIT_FAILURE'
+
+      if test "$need_locks" = warn &&
+	 test "X`cat $lockfile 2>/dev/null`" != "X$srcfile"; then
+	$ECHO "\
+*** ERROR, $lockfile contains:
+`cat $lockfile 2>/dev/null`
+
+but it should contain:
+$srcfile
+
+This indicates that another process is trying to use the same
+temporary object file, and libtool could not work around it because
+your compiler does not support \`-c' and \`-o' together.  If you
+repeat this compilation, it may succeed, by chance, but you had better
+avoid parallel builds (make -j) in this platform, or get a better
+compiler."
+
+	$opt_dry_run || $RM $removelist
+	exit $EXIT_FAILURE
+      fi
+
+      # Just move the object if needed
+      if test -n "$output_obj" && test "X$output_obj" != "X$obj"; then
+	func_show_eval '$MV "$output_obj" "$obj"' \
+	  'error=$?; $opt_dry_run || $RM $removelist; exit $error'
+      fi
+    fi
+
+    $opt_dry_run || {
+      func_write_libtool_object "$libobj" "$objdir/$objname" "$objname"
+
+      # Unlock the critical section if it was locked
+      if test "$need_locks" != no; then
+	removelist=$lockfile
+        $RM "$lockfile"
+      fi
+    }
+
+    exit $EXIT_SUCCESS
+}
+
+$opt_help || {
+test "$mode" = compile && func_mode_compile ${1+"$@"}
+}
+
+func_mode_help ()
+{
+    # We need to display help for each of the modes.
+    case $mode in
+      "")
+        # Generic help is extracted from the usage comments
+        # at the start of this file.
+        func_help
+        ;;
+
+      clean)
+        $ECHO \
+"Usage: $progname [OPTION]... --mode=clean RM [RM-OPTION]... FILE...
+
+Remove files from the build directory.
+
+RM is the name of the program to use to delete files associated with each FILE
+(typically \`/bin/rm').  RM-OPTIONS are options (such as \`-f') to be passed
+to RM.
+
+If FILE is a libtool library, object or program, all the files associated
+with it are deleted. Otherwise, only FILE itself is deleted using RM."
+        ;;
+
+      compile)
+      $ECHO \
+"Usage: $progname [OPTION]... --mode=compile COMPILE-COMMAND... SOURCEFILE
+
+Compile a source file into a libtool library object.
+
+This mode accepts the following additional options:
+
+  -o OUTPUT-FILE    set the output file name to OUTPUT-FILE
+  -no-suppress      do not suppress compiler output for multiple passes
+  -prefer-pic       try to building PIC objects only
+  -prefer-non-pic   try to building non-PIC objects only
+  -shared           do not build a \`.o' file suitable for static linking
+  -static           only build a \`.o' file suitable for static linking
+
+COMPILE-COMMAND is a command to be used in creating a \`standard' object file
+from the given SOURCEFILE.
+
+The output file name is determined by removing the directory component from
+SOURCEFILE, then substituting the C source code suffix \`.c' with the
+library object suffix, \`.lo'."
+        ;;
+
+      execute)
+        $ECHO \
+"Usage: $progname [OPTION]... --mode=execute COMMAND [ARGS]...
+
+Automatically set library path, then run a program.
+
+This mode accepts the following additional options:
+
+  -dlopen FILE      add the directory containing FILE to the library path
+
+This mode sets the library path environment variable according to \`-dlopen'
+flags.
+
+If any of the ARGS are libtool executable wrappers, then they are translated
+into their corresponding uninstalled binary, and any of their required library
+directories are added to the library path.
+
+Then, COMMAND is executed, with ARGS as arguments."
+        ;;
+
+      finish)
+        $ECHO \
+"Usage: $progname [OPTION]... --mode=finish [LIBDIR]...
+
+Complete the installation of libtool libraries.
+
+Each LIBDIR is a directory that contains libtool libraries.
+
+The commands that this mode executes may require superuser privileges.  Use
+the \`--dry-run' option if you just want to see what would be executed."
+        ;;
+
+      install)
+        $ECHO \
+"Usage: $progname [OPTION]... --mode=install INSTALL-COMMAND...
+
+Install executables or libraries.
+
+INSTALL-COMMAND is the installation command.  The first component should be
+either the \`install' or \`cp' program.
+
+The following components of INSTALL-COMMAND are treated specially:
+
+  -inst-prefix PREFIX-DIR  Use PREFIX-DIR as a staging area for installation
+
+The rest of the components are interpreted as arguments to that command (only
+BSD-compatible install options are recognized)."
+        ;;
+
+      link)
+        $ECHO \
+"Usage: $progname [OPTION]... --mode=link LINK-COMMAND...
+
+Link object files or libraries together to form another library, or to
+create an executable program.
+
+LINK-COMMAND is a command using the C compiler that you would use to create
+a program from several object files.
+
+The following components of LINK-COMMAND are treated specially:
+
+  -all-static       do not do any dynamic linking at all
+  -avoid-version    do not add a version suffix if possible
+  -dlopen FILE      \`-dlpreopen' FILE if it cannot be dlopened at runtime
+  -dlpreopen FILE   link in FILE and add its symbols to lt_preloaded_symbols
+  -export-dynamic   allow symbols from OUTPUT-FILE to be resolved with dlsym(3)
+  -export-symbols SYMFILE
+                    try to export only the symbols listed in SYMFILE
+  -export-symbols-regex REGEX
+                    try to export only the symbols matching REGEX
+  -LLIBDIR          search LIBDIR for required installed libraries
+  -lNAME            OUTPUT-FILE requires the installed library libNAME
+  -module           build a library that can dlopened
+  -no-fast-install  disable the fast-install mode
+  -no-install       link a not-installable executable
+  -no-undefined     declare that a library does not refer to external symbols
+  -o OUTPUT-FILE    create OUTPUT-FILE from the specified objects
+  -objectlist FILE  Use a list of object files found in FILE to specify objects
+  -precious-files-regex REGEX
+                    don't remove output files matching REGEX
+  -release RELEASE  specify package release information
+  -rpath LIBDIR     the created library will eventually be installed in LIBDIR
+  -R[ ]LIBDIR       add LIBDIR to the runtime path of programs and libraries
+  -shared           only do dynamic linking of libtool libraries
+  -shrext SUFFIX    override the standard shared library file extension
+  -static           do not do any dynamic linking of uninstalled libtool libraries
+  -static-libtool-libs
+                    do not do any dynamic linking of libtool libraries
+  -version-info CURRENT[:REVISION[:AGE]]
+                    specify library version info [each variable defaults to 0]
+  -weak LIBNAME     declare that the target provides the LIBNAME interface
+
+All other options (arguments beginning with \`-') are ignored.
+
+Every other argument is treated as a filename.  Files ending in \`.la' are
+treated as uninstalled libtool libraries, other files are standard or library
+object files.
+
+If the OUTPUT-FILE ends in \`.la', then a libtool library is created,
+only library objects (\`.lo' files) may be specified, and \`-rpath' is
+required, except when creating a convenience library.
+
+If OUTPUT-FILE ends in \`.a' or \`.lib', then a standard library is created
+using \`ar' and \`ranlib', or on Windows using \`lib'.
+
+If OUTPUT-FILE ends in \`.lo' or \`.${objext}', then a reloadable object file
+is created, otherwise an executable program is created."
+        ;;
+
+      uninstall)
+        $ECHO \
+"Usage: $progname [OPTION]... --mode=uninstall RM [RM-OPTION]... FILE...
+
+Remove libraries from an installation directory.
+
+RM is the name of the program to use to delete files associated with each FILE
+(typically \`/bin/rm').  RM-OPTIONS are options (such as \`-f') to be passed
+to RM.
+
+If FILE is a libtool library, all the files associated with it are deleted.
+Otherwise, only FILE itself is deleted using RM."
+        ;;
+
+      *)
+        func_fatal_help "invalid operation mode \`$mode'"
+        ;;
+    esac
+
+    $ECHO
+    $ECHO "Try \`$progname --help' for more information about other modes."
+
+    exit $?
+}
+
+  # Now that we've collected a possible --mode arg, show help if necessary
+  $opt_help && func_mode_help
+
+
+# func_mode_execute arg...
+func_mode_execute ()
+{
+    $opt_debug
+    # The first argument is the command name.
+    cmd="$nonopt"
+    test -z "$cmd" && \
+      func_fatal_help "you must specify a COMMAND"
+
+    # Handle -dlopen flags immediately.
+    for file in $execute_dlfiles; do
+      test -f "$file" \
+	|| func_fatal_help "\`$file' is not a file"
+
+      dir=
+      case $file in
+      *.la)
+	# Check to see that this really is a libtool archive.
+	func_lalib_unsafe_p "$file" \
+	  || func_fatal_help "\`$lib' is not a valid libtool archive"
+
+	# Read the libtool library.
+	dlname=
+	library_names=
+	func_source "$file"
+
+	# Skip this library if it cannot be dlopened.
+	if test -z "$dlname"; then
+	  # Warn if it was a shared library.
+	  test -n "$library_names" && \
+	    func_warning "\`$file' was not linked with \`-export-dynamic'"
+	  continue
+	fi
+
+	func_dirname "$file" "" "."
+	dir="$func_dirname_result"
+
+	if test -f "$dir/$objdir/$dlname"; then
+	  dir="$dir/$objdir"
+	else
+	  if test ! -f "$dir/$dlname"; then
+	    func_fatal_error "cannot find \`$dlname' in \`$dir' or \`$dir/$objdir'"
+	  fi
+	fi
+	;;
+
+      *.lo)
+	# Just add the directory containing the .lo file.
+	func_dirname "$file" "" "."
+	dir="$func_dirname_result"
+	;;
+
+      *)
+	func_warning "\`-dlopen' is ignored for non-libtool libraries and objects"
+	continue
+	;;
+      esac
+
+      # Get the absolute pathname.
+      absdir=`cd "$dir" && pwd`
+      test -n "$absdir" && dir="$absdir"
+
+      # Now add the directory to shlibpath_var.
+      if eval "test -z \"\$$shlibpath_var\""; then
+	eval "$shlibpath_var=\"\$dir\""
+      else
+	eval "$shlibpath_var=\"\$dir:\$$shlibpath_var\""
+      fi
+    done
+
+    # This variable tells wrapper scripts just to set shlibpath_var
+    # rather than running their programs.
+    libtool_execute_magic="$magic"
+
+    # Check if any of the arguments is a wrapper script.
+    args=
+    for file
+    do
+      case $file in
+      -*) ;;
+      *)
+	# Do a test to see if this is really a libtool program.
+	if func_ltwrapper_script_p "$file"; then
+	  func_source "$file"
+	  # Transform arg to wrapped name.
+	  file="$progdir/$program"
+	elif func_ltwrapper_executable_p "$file"; then
+	  func_ltwrapper_scriptname "$file"
+	  func_source "$func_ltwrapper_scriptname_result"
+	  # Transform arg to wrapped name.
+	  file="$progdir/$program"
+	fi
+	;;
+      esac
+      # Quote arguments (to preserve shell metacharacters).
+      func_quote_for_eval "$file"
+      args="$args $func_quote_for_eval_result"
+    done
+
+    if test "X$opt_dry_run" = Xfalse; then
+      if test -n "$shlibpath_var"; then
+	# Export the shlibpath_var.
+	eval "export $shlibpath_var"
+      fi
+
+      # Restore saved environment variables
+      for lt_var in LANG LANGUAGE LC_ALL LC_CTYPE LC_COLLATE LC_MESSAGES
+      do
+	eval "if test \"\${save_$lt_var+set}\" = set; then
+                $lt_var=\$save_$lt_var; export $lt_var
+	      else
+		$lt_unset $lt_var
+	      fi"
+      done
+
+      # Now prepare to actually exec the command.
+      exec_cmd="\$cmd$args"
+    else
+      # Display what would be done.
+      if test -n "$shlibpath_var"; then
+	eval "\$ECHO \"\$shlibpath_var=\$$shlibpath_var\""
+	$ECHO "export $shlibpath_var"
+      fi
+      $ECHO "$cmd$args"
+      exit $EXIT_SUCCESS
+    fi
+}
+
+test "$mode" = execute && func_mode_execute ${1+"$@"}
+
+
+# func_mode_finish arg...
+func_mode_finish ()
+{
+    $opt_debug
+    libdirs="$nonopt"
+    admincmds=
+
+    if test -n "$finish_cmds$finish_eval" && test -n "$libdirs"; then
+      for dir
+      do
+	libdirs="$libdirs $dir"
+      done
+
+      for libdir in $libdirs; do
+	if test -n "$finish_cmds"; then
+	  # Do each command in the finish commands.
+	  func_execute_cmds "$finish_cmds" 'admincmds="$admincmds
+'"$cmd"'"'
+	fi
+	if test -n "$finish_eval"; then
+	  # Do the single finish_eval.
+	  eval cmds=\"$finish_eval\"
+	  $opt_dry_run || eval "$cmds" || admincmds="$admincmds
+       $cmds"
+	fi
+      done
+    fi
+
+    # Exit here if they wanted silent mode.
+    $opt_silent && exit $EXIT_SUCCESS
+
+    $ECHO "X----------------------------------------------------------------------" | $Xsed
+    $ECHO "Libraries have been installed in:"
+    for libdir in $libdirs; do
+      $ECHO "   $libdir"
+    done
+    $ECHO
+    $ECHO "If you ever happen to want to link against installed libraries"
+    $ECHO "in a given directory, LIBDIR, you must either use libtool, and"
+    $ECHO "specify the full pathname of the library, or use the \`-LLIBDIR'"
+    $ECHO "flag during linking and do at least one of the following:"
+    if test -n "$shlibpath_var"; then
+      $ECHO "   - add LIBDIR to the \`$shlibpath_var' environment variable"
+      $ECHO "     during execution"
+    fi
+    if test -n "$runpath_var"; then
+      $ECHO "   - add LIBDIR to the \`$runpath_var' environment variable"
+      $ECHO "     during linking"
+    fi
+    if test -n "$hardcode_libdir_flag_spec"; then
+      libdir=LIBDIR
+      eval flag=\"$hardcode_libdir_flag_spec\"
+
+      $ECHO "   - use the \`$flag' linker flag"
+    fi
+    if test -n "$admincmds"; then
+      $ECHO "   - have your system administrator run these commands:$admincmds"
+    fi
+    if test -f /etc/ld.so.conf; then
+      $ECHO "   - have your system administrator add LIBDIR to \`/etc/ld.so.conf'"
+    fi
+    $ECHO
+
+    $ECHO "See any operating system documentation about shared libraries for"
+    case $host in
+      solaris2.[6789]|solaris2.1[0-9])
+        $ECHO "more information, such as the ld(1), crle(1) and ld.so(8) manual"
+	$ECHO "pages."
+	;;
+      *)
+        $ECHO "more information, such as the ld(1) and ld.so(8) manual pages."
+        ;;
+    esac
+    $ECHO "X----------------------------------------------------------------------" | $Xsed
+    exit $EXIT_SUCCESS
+}
+
+test "$mode" = finish && func_mode_finish ${1+"$@"}
+
+
+# func_mode_install arg...
+func_mode_install ()
+{
+    $opt_debug
+    # There may be an optional sh(1) argument at the beginning of
+    # install_prog (especially on Windows NT).
+    if test "$nonopt" = "$SHELL" || test "$nonopt" = /bin/sh ||
+       # Allow the use of GNU shtool's install command.
+       $ECHO "X$nonopt" | $GREP shtool >/dev/null; then
+      # Aesthetically quote it.
+      func_quote_for_eval "$nonopt"
+      install_prog="$func_quote_for_eval_result "
+      arg=$1
+      shift
+    else
+      install_prog=
+      arg=$nonopt
+    fi
+
+    # The real first argument should be the name of the installation program.
+    # Aesthetically quote it.
+    func_quote_for_eval "$arg"
+    install_prog="$install_prog$func_quote_for_eval_result"
+
+    # We need to accept at least all the BSD install flags.
+    dest=
+    files=
+    opts=
+    prev=
+    install_type=
+    isdir=no
+    stripme=
+    for arg
+    do
+      if test -n "$dest"; then
+	files="$files $dest"
+	dest=$arg
+	continue
+      fi
+
+      case $arg in
+      -d) isdir=yes ;;
+      -f)
+	case " $install_prog " in
+	*[\\\ /]cp\ *) ;;
+	*) prev=$arg ;;
+	esac
+	;;
+      -g | -m | -o)
+	prev=$arg
+	;;
+      -s)
+	stripme=" -s"
+	continue
+	;;
+      -*)
+	;;
+      *)
+	# If the previous option needed an argument, then skip it.
+	if test -n "$prev"; then
+	  prev=
+	else
+	  dest=$arg
+	  continue
+	fi
+	;;
+      esac
+
+      # Aesthetically quote the argument.
+      func_quote_for_eval "$arg"
+      install_prog="$install_prog $func_quote_for_eval_result"
+    done
+
+    test -z "$install_prog" && \
+      func_fatal_help "you must specify an install program"
+
+    test -n "$prev" && \
+      func_fatal_help "the \`$prev' option requires an argument"
+
+    if test -z "$files"; then
+      if test -z "$dest"; then
+	func_fatal_help "no file or destination specified"
+      else
+	func_fatal_help "you must specify a destination"
+      fi
+    fi
+
+    # Strip any trailing slash from the destination.
+    func_stripname '' '/' "$dest"
+    dest=$func_stripname_result
+
+    # Check to see that the destination is a directory.
+    test -d "$dest" && isdir=yes
+    if test "$isdir" = yes; then
+      destdir="$dest"
+      destname=
+    else
+      func_dirname_and_basename "$dest" "" "."
+      destdir="$func_dirname_result"
+      destname="$func_basename_result"
+
+      # Not a directory, so check to see that there is only one file specified.
+      set dummy $files; shift
+      test "$#" -gt 1 && \
+	func_fatal_help "\`$dest' is not a directory"
+    fi
+    case $destdir in
+    [\\/]* | [A-Za-z]:[\\/]*) ;;
+    *)
+      for file in $files; do
+	case $file in
+	*.lo) ;;
+	*)
+	  func_fatal_help "\`$destdir' must be an absolute directory name"
+	  ;;
+	esac
+      done
+      ;;
+    esac
+
+    # This variable tells wrapper scripts just to set variables rather
+    # than running their programs.
+    libtool_install_magic="$magic"
+
+    staticlibs=
+    future_libdirs=
+    current_libdirs=
+    for file in $files; do
+
+      # Do each installation.
+      case $file in
+      *.$libext)
+	# Do the static libraries later.
+	staticlibs="$staticlibs $file"
+	;;
+
+      *.la)
+	# Check to see that this really is a libtool archive.
+	func_lalib_unsafe_p "$file" \
+	  || func_fatal_help "\`$file' is not a valid libtool archive"
+
+	library_names=
+	old_library=
+	relink_command=
+	func_source "$file"
+
+	# Add the libdir to current_libdirs if it is the destination.
+	if test "X$destdir" = "X$libdir"; then
+	  case "$current_libdirs " in
+	  *" $libdir "*) ;;
+	  *) current_libdirs="$current_libdirs $libdir" ;;
+	  esac
+	else
+	  # Note the libdir as a future libdir.
+	  case "$future_libdirs " in
+	  *" $libdir "*) ;;
+	  *) future_libdirs="$future_libdirs $libdir" ;;
+	  esac
+	fi
+
+	func_dirname "$file" "/" ""
+	dir="$func_dirname_result"
+	dir="$dir$objdir"
+
+	if test -n "$relink_command"; then
+	  # Determine the prefix the user has applied to our future dir.
+	  inst_prefix_dir=`$ECHO "X$destdir" | $Xsed -e "s%$libdir\$%%"`
+
+	  # Don't allow the user to place us outside of our expected
+	  # location b/c this prevents finding dependent libraries that
+	  # are installed to the same prefix.
+	  # At present, this check doesn't affect windows .dll's that
+	  # are installed into $libdir/../bin (currently, that works fine)
+	  # but it's something to keep an eye on.
+	  test "$inst_prefix_dir" = "$destdir" && \
+	    func_fatal_error "error: cannot install \`$file' to a directory not ending in $libdir"
+
+	  if test -n "$inst_prefix_dir"; then
+	    # Stick the inst_prefix_dir data into the link command.
+	    relink_command=`$ECHO "X$relink_command" | $Xsed -e "s%@inst_prefix_dir@%-inst-prefix-dir $inst_prefix_dir%"`
+	  else
+	    relink_command=`$ECHO "X$relink_command" | $Xsed -e "s%@inst_prefix_dir@%%"`
+	  fi
+
+	  func_warning "relinking \`$file'"
+	  func_show_eval "$relink_command" \
+	    'func_fatal_error "error: relink \`$file'\'' with the above command before installing it"'
+	fi
+
+	# See the names of the shared library.
+	set dummy $library_names; shift
+	if test -n "$1"; then
+	  realname="$1"
+	  shift
+
+	  srcname="$realname"
+	  test -n "$relink_command" && srcname="$realname"T
+
+	  # Install the shared library and build the symlinks.
+	  func_show_eval "$install_prog $dir/$srcname $destdir/$realname" \
+	      'exit $?'
+	  tstripme="$stripme"
+	  case $host_os in
+	  cygwin* | mingw* | pw32* | cegcc*)
+	    case $realname in
+	    *.dll.a)
+	      tstripme=""
+	      ;;
+	    esac
+	    ;;
+	  esac
+	  if test -n "$tstripme" && test -n "$striplib"; then
+	    func_show_eval "$striplib $destdir/$realname" 'exit $?'
+	  fi
+
+	  if test "$#" -gt 0; then
+	    # Delete the old symlinks, and create new ones.
+	    # Try `ln -sf' first, because the `ln' binary might depend on
+	    # the symlink we replace!  Solaris /bin/ln does not understand -f,
+	    # so we also need to try rm && ln -s.
+	    for linkname
+	    do
+	      test "$linkname" != "$realname" \
+		&& func_show_eval "(cd $destdir && { $LN_S -f $realname $linkname || { $RM $linkname && $LN_S $realname $linkname; }; })"
+	    done
+	  fi
+
+	  # Do each command in the postinstall commands.
+	  lib="$destdir/$realname"
+	  func_execute_cmds "$postinstall_cmds" 'exit $?'
+	fi
+
+	# Install the pseudo-library for information purposes.
+	func_basename "$file"
+	name="$func_basename_result"
+	instname="$dir/$name"i
+	func_show_eval "$install_prog $instname $destdir/$name" 'exit $?'
+
+	# Maybe install the static library, too.
+	test -n "$old_library" && staticlibs="$staticlibs $dir/$old_library"
+	;;
+
+      *.lo)
+	# Install (i.e. copy) a libtool object.
+
+	# Figure out destination file name, if it wasn't already specified.
+	if test -n "$destname"; then
+	  destfile="$destdir/$destname"
+	else
+	  func_basename "$file"
+	  destfile="$func_basename_result"
+	  destfile="$destdir/$destfile"
+	fi
+
+	# Deduce the name of the destination old-style object file.
+	case $destfile in
+	*.lo)
+	  func_lo2o "$destfile"
+	  staticdest=$func_lo2o_result
+	  ;;
+	*.$objext)
+	  staticdest="$destfile"
+	  destfile=
+	  ;;
+	*)
+	  func_fatal_help "cannot copy a libtool object to \`$destfile'"
+	  ;;
+	esac
+
+	# Install the libtool object if requested.
+	test -n "$destfile" && \
+	  func_show_eval "$install_prog $file $destfile" 'exit $?'
+
+	# Install the old object if enabled.
+	if test "$build_old_libs" = yes; then
+	  # Deduce the name of the old-style object file.
+	  func_lo2o "$file"
+	  staticobj=$func_lo2o_result
+	  func_show_eval "$install_prog \$staticobj \$staticdest" 'exit $?'
+	fi
+	exit $EXIT_SUCCESS
+	;;
+
+      *)
+	# Figure out destination file name, if it wasn't already specified.
+	if test -n "$destname"; then
+	  destfile="$destdir/$destname"
+	else
+	  func_basename "$file"
+	  destfile="$func_basename_result"
+	  destfile="$destdir/$destfile"
+	fi
+
+	# If the file is missing, and there is a .exe on the end, strip it
+	# because it is most likely a libtool script we actually want to
+	# install
+	stripped_ext=""
+	case $file in
+	  *.exe)
+	    if test ! -f "$file"; then
+	      func_stripname '' '.exe' "$file"
+	      file=$func_stripname_result
+	      stripped_ext=".exe"
+	    fi
+	    ;;
+	esac
+
+	# Do a test to see if this is really a libtool program.
+	case $host in
+	*cygwin* | *mingw*)
+	    if func_ltwrapper_executable_p "$file"; then
+	      func_ltwrapper_scriptname "$file"
+	      wrapper=$func_ltwrapper_scriptname_result
+	    else
+	      func_stripname '' '.exe' "$file"
+	      wrapper=$func_stripname_result
+	    fi
+	    ;;
+	*)
+	    wrapper=$file
+	    ;;
+	esac
+	if func_ltwrapper_script_p "$wrapper"; then
+	  notinst_deplibs=
+	  relink_command=
+
+	  func_source "$wrapper"
+
+	  # Check the variables that should have been set.
+	  test -z "$generated_by_libtool_version" && \
+	    func_fatal_error "invalid libtool wrapper script \`$wrapper'"
+
+	  finalize=yes
+	  for lib in $notinst_deplibs; do
+	    # Check to see that each library is installed.
+	    libdir=
+	    if test -f "$lib"; then
+	      func_source "$lib"
+	    fi
+	    libfile="$libdir/"`$ECHO "X$lib" | $Xsed -e 's%^.*/%%g'` ### testsuite: skip nested quoting test
+	    if test -n "$libdir" && test ! -f "$libfile"; then
+	      func_warning "\`$lib' has not been installed in \`$libdir'"
+	      finalize=no
+	    fi
+	  done
+
+	  relink_command=
+	  func_source "$wrapper"
+
+	  outputname=
+	  if test "$fast_install" = no && test -n "$relink_command"; then
+	    $opt_dry_run || {
+	      if test "$finalize" = yes; then
+	        tmpdir=`func_mktempdir`
+		func_basename "$file$stripped_ext"
+		file="$func_basename_result"
+	        outputname="$tmpdir/$file"
+	        # Replace the output file specification.
+	        relink_command=`$ECHO "X$relink_command" | $Xsed -e 's%@OUTPUT@%'"$outputname"'%g'`
+
+	        $opt_silent || {
+	          func_quote_for_expand "$relink_command"
+		  eval "func_echo $func_quote_for_expand_result"
+	        }
+	        if eval "$relink_command"; then :
+	          else
+		  func_error "error: relink \`$file' with the above command before installing it"
+		  $opt_dry_run || ${RM}r "$tmpdir"
+		  continue
+	        fi
+	        file="$outputname"
+	      else
+	        func_warning "cannot relink \`$file'"
+	      fi
+	    }
+	  else
+	    # Install the binary that we compiled earlier.
+	    file=`$ECHO "X$file$stripped_ext" | $Xsed -e "s%\([^/]*\)$%$objdir/\1%"`
+	  fi
+	fi
+
+	# remove .exe since cygwin /usr/bin/install will append another
+	# one anyway
+	case $install_prog,$host in
+	*/usr/bin/install*,*cygwin*)
+	  case $file:$destfile in
+	  *.exe:*.exe)
+	    # this is ok
+	    ;;
+	  *.exe:*)
+	    destfile=$destfile.exe
+	    ;;
+	  *:*.exe)
+	    func_stripname '' '.exe' "$destfile"
+	    destfile=$func_stripname_result
+	    ;;
+	  esac
+	  ;;
+	esac
+	func_show_eval "$install_prog\$stripme \$file \$destfile" 'exit $?'
+	$opt_dry_run || if test -n "$outputname"; then
+	  ${RM}r "$tmpdir"
+	fi
+	;;
+      esac
+    done
+
+    for file in $staticlibs; do
+      func_basename "$file"
+      name="$func_basename_result"
+
+      # Set up the ranlib parameters.
+      oldlib="$destdir/$name"
+
+      func_show_eval "$install_prog \$file \$oldlib" 'exit $?'
+
+      if test -n "$stripme" && test -n "$old_striplib"; then
+	func_show_eval "$old_striplib $oldlib" 'exit $?'
+      fi
+
+      # Do each command in the postinstall commands.
+      func_execute_cmds "$old_postinstall_cmds" 'exit $?'
+    done
+
+    test -n "$future_libdirs" && \
+      func_warning "remember to run \`$progname --finish$future_libdirs'"
+
+    if test -n "$current_libdirs"; then
+      # Maybe just do a dry run.
+      $opt_dry_run && current_libdirs=" -n$current_libdirs"
+      exec_cmd='$SHELL $progpath $preserve_args --finish$current_libdirs'
+    else
+      exit $EXIT_SUCCESS
+    fi
+}
+
+test "$mode" = install && func_mode_install ${1+"$@"}
+
+
+# func_generate_dlsyms outputname originator pic_p
+# Extract symbols from dlprefiles and create ${outputname}S.o with
+# a dlpreopen symbol table.
+func_generate_dlsyms ()
+{
+    $opt_debug
+    my_outputname="$1"
+    my_originator="$2"
+    my_pic_p="${3-no}"
+    my_prefix=`$ECHO "$my_originator" | sed 's%[^a-zA-Z0-9]%_%g'`
+    my_dlsyms=
+
+    if test -n "$dlfiles$dlprefiles" || test "$dlself" != no; then
+      if test -n "$NM" && test -n "$global_symbol_pipe"; then
+	my_dlsyms="${my_outputname}S.c"
+      else
+	func_error "not configured to extract global symbols from dlpreopened files"
+      fi
+    fi
+
+    if test -n "$my_dlsyms"; then
+      case $my_dlsyms in
+      "") ;;
+      *.c)
+	# Discover the nlist of each of the dlfiles.
+	nlist="$output_objdir/${my_outputname}.nm"
+
+	func_show_eval "$RM $nlist ${nlist}S ${nlist}T"
+
+	# Parse the name list into a source file.
+	func_verbose "creating $output_objdir/$my_dlsyms"
+
+	$opt_dry_run || $ECHO > "$output_objdir/$my_dlsyms" "\
+/* $my_dlsyms - symbol resolution table for \`$my_outputname' dlsym emulation. */
+/* Generated by $PROGRAM (GNU $PACKAGE$TIMESTAMP) $VERSION */
+
+#ifdef __cplusplus
+extern \"C\" {
+#endif
+
+/* External symbol declarations for the compiler. */\
+"
+
+	if test "$dlself" = yes; then
+	  func_verbose "generating symbol list for \`$output'"
+
+	  $opt_dry_run || echo ': @PROGRAM@ ' > "$nlist"
+
+	  # Add our own program objects to the symbol list.
+	  progfiles=`$ECHO "X$objs$old_deplibs" | $SP2NL | $Xsed -e "$lo2o" | $NL2SP`
+	  for progfile in $progfiles; do
+	    func_verbose "extracting global C symbols from \`$progfile'"
+	    $opt_dry_run || eval "$NM $progfile | $global_symbol_pipe >> '$nlist'"
+	  done
+
+	  if test -n "$exclude_expsyms"; then
+	    $opt_dry_run || {
+	      eval '$EGREP -v " ($exclude_expsyms)$" "$nlist" > "$nlist"T'
+	      eval '$MV "$nlist"T "$nlist"'
+	    }
+	  fi
+
+	  if test -n "$export_symbols_regex"; then
+	    $opt_dry_run || {
+	      eval '$EGREP -e "$export_symbols_regex" "$nlist" > "$nlist"T'
+	      eval '$MV "$nlist"T "$nlist"'
+	    }
+	  fi
+
+	  # Prepare the list of exported symbols
+	  if test -z "$export_symbols"; then
+	    export_symbols="$output_objdir/$outputname.exp"
+	    $opt_dry_run || {
+	      $RM $export_symbols
+	      eval "${SED} -n -e '/^: @PROGRAM@ $/d' -e 's/^.* \(.*\)$/\1/p' "'< "$nlist" > "$export_symbols"'
+	      case $host in
+	      *cygwin* | *mingw* | *cegcc* )
+                eval "echo EXPORTS "'> "$output_objdir/$outputname.def"'
+                eval 'cat "$export_symbols" >> "$output_objdir/$outputname.def"'
+	        ;;
+	      esac
+	    }
+	  else
+	    $opt_dry_run || {
+	      eval "${SED} -e 's/\([].[*^$]\)/\\\\\1/g' -e 's/^/ /' -e 's/$/$/'"' < "$export_symbols" > "$output_objdir/$outputname.exp"'
+	      eval '$GREP -f "$output_objdir/$outputname.exp" < "$nlist" > "$nlist"T'
+	      eval '$MV "$nlist"T "$nlist"'
+	      case $host in
+	        *cygwin | *mingw* | *cegcc* )
+	          eval "echo EXPORTS "'> "$output_objdir/$outputname.def"'
+	          eval 'cat "$nlist" >> "$output_objdir/$outputname.def"'
+	          ;;
+	      esac
+	    }
+	  fi
+	fi
+
+	for dlprefile in $dlprefiles; do
+	  func_verbose "extracting global C symbols from \`$dlprefile'"
+	  func_basename "$dlprefile"
+	  name="$func_basename_result"
+	  $opt_dry_run || {
+	    eval '$ECHO ": $name " >> "$nlist"'
+	    eval "$NM $dlprefile 2>/dev/null | $global_symbol_pipe >> '$nlist'"
+	  }
+	done
+
+	$opt_dry_run || {
+	  # Make sure we have at least an empty file.
+	  test -f "$nlist" || : > "$nlist"
+
+	  if test -n "$exclude_expsyms"; then
+	    $EGREP -v " ($exclude_expsyms)$" "$nlist" > "$nlist"T
+	    $MV "$nlist"T "$nlist"
+	  fi
+
+	  # Try sorting and uniquifying the output.
+	  if $GREP -v "^: " < "$nlist" |
+	      if sort -k 3 </dev/null >/dev/null 2>&1; then
+		sort -k 3
+	      else
+		sort +2
+	      fi |
+	      uniq > "$nlist"S; then
+	    :
+	  else
+	    $GREP -v "^: " < "$nlist" > "$nlist"S
+	  fi
+
+	  if test -f "$nlist"S; then
+	    eval "$global_symbol_to_cdecl"' < "$nlist"S >> "$output_objdir/$my_dlsyms"'
+	  else
+	    $ECHO '/* NONE */' >> "$output_objdir/$my_dlsyms"
+	  fi
+
+	  $ECHO >> "$output_objdir/$my_dlsyms" "\
+
+/* The mapping between symbol names and symbols.  */
+typedef struct {
+  const char *name;
+  void *address;
+} lt_dlsymlist;
+"
+	  case $host in
+	  *cygwin* | *mingw* | *cegcc* )
+	    $ECHO >> "$output_objdir/$my_dlsyms" "\
+/* DATA imports from DLLs on WIN32 con't be const, because
+   runtime relocations are performed -- see ld's documentation
+   on pseudo-relocs.  */"
+	    lt_dlsym_const= ;;
+	  *osf5*)
+	    echo >> "$output_objdir/$my_dlsyms" "\
+/* This system does not cope well with relocations in const data */"
+	    lt_dlsym_const= ;;
+	  *)
+	    lt_dlsym_const=const ;;
+	  esac
+
+	  $ECHO >> "$output_objdir/$my_dlsyms" "\
+extern $lt_dlsym_const lt_dlsymlist
+lt_${my_prefix}_LTX_preloaded_symbols[];
+$lt_dlsym_const lt_dlsymlist
+lt_${my_prefix}_LTX_preloaded_symbols[] =
+{\
+  { \"$my_originator\", (void *) 0 },"
+
+	  case $need_lib_prefix in
+	  no)
+	    eval "$global_symbol_to_c_name_address" < "$nlist" >> "$output_objdir/$my_dlsyms"
+	    ;;
+	  *)
+	    eval "$global_symbol_to_c_name_address_lib_prefix" < "$nlist" >> "$output_objdir/$my_dlsyms"
+	    ;;
+	  esac
+	  $ECHO >> "$output_objdir/$my_dlsyms" "\
+  {0, (void *) 0}
+};
+
+/* This works around a problem in FreeBSD linker */
+#ifdef FREEBSD_WORKAROUND
+static const void *lt_preloaded_setup() {
+  return lt_${my_prefix}_LTX_preloaded_symbols;
+}
+#endif
+
+#ifdef __cplusplus
+}
+#endif\
+"
+	} # !$opt_dry_run
+
+	pic_flag_for_symtable=
+	case "$compile_command " in
+	*" -static "*) ;;
+	*)
+	  case $host in
+	  # compiling the symbol table file with pic_flag works around
+	  # a FreeBSD bug that causes programs to crash when -lm is
+	  # linked before any other PIC object.  But we must not use
+	  # pic_flag when linking with -static.  The problem exists in
+	  # FreeBSD 2.2.6 and is fixed in FreeBSD 3.1.
+	  *-*-freebsd2*|*-*-freebsd3.0*|*-*-freebsdelf3.0*)
+	    pic_flag_for_symtable=" $pic_flag -DFREEBSD_WORKAROUND" ;;
+	  *-*-hpux*)
+	    pic_flag_for_symtable=" $pic_flag"  ;;
+	  *)
+	    if test "X$my_pic_p" != Xno; then
+	      pic_flag_for_symtable=" $pic_flag"
+	    fi
+	    ;;
+	  esac
+	  ;;
+	esac
+	symtab_cflags=
+	for arg in $LTCFLAGS; do
+	  case $arg in
+	  -pie | -fpie | -fPIE) ;;
+	  *) symtab_cflags="$symtab_cflags $arg" ;;
+	  esac
+	done
+
+	# Now compile the dynamic symbol file.
+	func_show_eval '(cd $output_objdir && $LTCC$symtab_cflags -c$no_builtin_flag$pic_flag_for_symtable "$my_dlsyms")' 'exit $?'
+
+	# Clean up the generated files.
+	func_show_eval '$RM "$output_objdir/$my_dlsyms" "$nlist" "${nlist}S" "${nlist}T"'
+
+	# Transform the symbol file into the correct name.
+	symfileobj="$output_objdir/${my_outputname}S.$objext"
+	case $host in
+	*cygwin* | *mingw* | *cegcc* )
+	  if test -f "$output_objdir/$my_outputname.def"; then
+	    compile_command=`$ECHO "X$compile_command" | $Xsed -e "s%@SYMFILE@%$output_objdir/$my_outputname.def $symfileobj%"`
+	    finalize_command=`$ECHO "X$finalize_command" | $Xsed -e "s%@SYMFILE@%$output_objdir/$my_outputname.def $symfileobj%"`
+	  else
+	    compile_command=`$ECHO "X$compile_command" | $Xsed -e "s%@SYMFILE@%$symfileobj%"`
+	    finalize_command=`$ECHO "X$finalize_command" | $Xsed -e "s%@SYMFILE@%$symfileobj%"`
+	  fi
+	  ;;
+	*)
+	  compile_command=`$ECHO "X$compile_command" | $Xsed -e "s%@SYMFILE@%$symfileobj%"`
+	  finalize_command=`$ECHO "X$finalize_command" | $Xsed -e "s%@SYMFILE@%$symfileobj%"`
+	  ;;
+	esac
+	;;
+      *)
+	func_fatal_error "unknown suffix for \`$my_dlsyms'"
+	;;
+      esac
+    else
+      # We keep going just in case the user didn't refer to
+      # lt_preloaded_symbols.  The linker will fail if global_symbol_pipe
+      # really was required.
+
+      # Nullify the symbol file.
+      compile_command=`$ECHO "X$compile_command" | $Xsed -e "s% @SYMFILE@%%"`
+      finalize_command=`$ECHO "X$finalize_command" | $Xsed -e "s% @SYMFILE@%%"`
+    fi
+}
+
+# func_win32_libid arg
+# return the library type of file 'arg'
+#
+# Need a lot of goo to handle *both* DLLs and import libs
+# Has to be a shell function in order to 'eat' the argument
+# that is supplied when $file_magic_command is called.
+func_win32_libid ()
+{
+  $opt_debug
+  win32_libid_type="unknown"
+  win32_fileres=`file -L $1 2>/dev/null`
+  case $win32_fileres in
+  *ar\ archive\ import\ library*) # definitely import
+    win32_libid_type="x86 archive import"
+    ;;
+  *ar\ archive*) # could be an import, or static
+    if eval $OBJDUMP -f $1 | $SED -e '10q' 2>/dev/null |
+       $EGREP 'file format pe-i386(.*architecture: i386)?' >/dev/null ; then
+      win32_nmres=`eval $NM -f posix -A $1 |
+	$SED -n -e '
+	    1,100{
+		/ I /{
+		    s,.*,import,
+		    p
+		    q
+		}
+	    }'`
+      case $win32_nmres in
+      import*)  win32_libid_type="x86 archive import";;
+      *)        win32_libid_type="x86 archive static";;
+      esac
+    fi
+    ;;
+  *DLL*)
+    win32_libid_type="x86 DLL"
+    ;;
+  *executable*) # but shell scripts are "executable" too...
+    case $win32_fileres in
+    *MS\ Windows\ PE\ Intel*)
+      win32_libid_type="x86 DLL"
+      ;;
+    esac
+    ;;
+  esac
+  $ECHO "$win32_libid_type"
+}
+
+
+
+# func_extract_an_archive dir oldlib
+func_extract_an_archive ()
+{
+    $opt_debug
+    f_ex_an_ar_dir="$1"; shift
+    f_ex_an_ar_oldlib="$1"
+    func_show_eval "(cd \$f_ex_an_ar_dir && $AR x \"\$f_ex_an_ar_oldlib\")" 'exit $?'
+    if ($AR t "$f_ex_an_ar_oldlib" | sort | sort -uc >/dev/null 2>&1); then
+     :
+    else
+      func_fatal_error "object name conflicts in archive: $f_ex_an_ar_dir/$f_ex_an_ar_oldlib"
+    fi
+}
+
+
+# func_extract_archives gentop oldlib ...
+func_extract_archives ()
+{
+    $opt_debug
+    my_gentop="$1"; shift
+    my_oldlibs=${1+"$@"}
+    my_oldobjs=""
+    my_xlib=""
+    my_xabs=""
+    my_xdir=""
+
+    for my_xlib in $my_oldlibs; do
+      # Extract the objects.
+      case $my_xlib in
+	[\\/]* | [A-Za-z]:[\\/]*) my_xabs="$my_xlib" ;;
+	*) my_xabs=`pwd`"/$my_xlib" ;;
+      esac
+      func_basename "$my_xlib"
+      my_xlib="$func_basename_result"
+      my_xlib_u=$my_xlib
+      while :; do
+        case " $extracted_archives " in
+	*" $my_xlib_u "*)
+	  func_arith $extracted_serial + 1
+	  extracted_serial=$func_arith_result
+	  my_xlib_u=lt$extracted_serial-$my_xlib ;;
+	*) break ;;
+	esac
+      done
+      extracted_archives="$extracted_archives $my_xlib_u"
+      my_xdir="$my_gentop/$my_xlib_u"
+
+      func_mkdir_p "$my_xdir"
+
+      case $host in
+      *-darwin*)
+	func_verbose "Extracting $my_xabs"
+	# Do not bother doing anything if just a dry run
+	$opt_dry_run || {
+	  darwin_orig_dir=`pwd`
+	  cd $my_xdir || exit $?
+	  darwin_archive=$my_xabs
+	  darwin_curdir=`pwd`
+	  darwin_base_archive=`basename "$darwin_archive"`
+	  darwin_arches=`$LIPO -info "$darwin_archive" 2>/dev/null | $GREP Architectures 2>/dev/null || true`
+	  if test -n "$darwin_arches"; then
+	    darwin_arches=`$ECHO "$darwin_arches" | $SED -e 's/.*are://'`
+	    darwin_arch=
+	    func_verbose "$darwin_base_archive has multiple architectures $darwin_arches"
+	    for darwin_arch in  $darwin_arches ; do
+	      func_mkdir_p "unfat-$$/${darwin_base_archive}-${darwin_arch}"
+	      $LIPO -thin $darwin_arch -output "unfat-$$/${darwin_base_archive}-${darwin_arch}/${darwin_base_archive}" "${darwin_archive}"
+	      cd "unfat-$$/${darwin_base_archive}-${darwin_arch}"
+	      func_extract_an_archive "`pwd`" "${darwin_base_archive}"
+	      cd "$darwin_curdir"
+	      $RM "unfat-$$/${darwin_base_archive}-${darwin_arch}/${darwin_base_archive}"
+	    done # $darwin_arches
+            ## Okay now we've a bunch of thin objects, gotta fatten them up :)
+	    darwin_filelist=`find unfat-$$ -type f -name \*.o -print -o -name \*.lo -print | $SED -e "$basename" | sort -u`
+	    darwin_file=
+	    darwin_files=
+	    for darwin_file in $darwin_filelist; do
+	      darwin_files=`find unfat-$$ -name $darwin_file -print | $NL2SP`
+	      $LIPO -create -output "$darwin_file" $darwin_files
+	    done # $darwin_filelist
+	    $RM -rf unfat-$$
+	    cd "$darwin_orig_dir"
+	  else
+	    cd $darwin_orig_dir
+	    func_extract_an_archive "$my_xdir" "$my_xabs"
+	  fi # $darwin_arches
+	} # !$opt_dry_run
+	;;
+      *)
+        func_extract_an_archive "$my_xdir" "$my_xabs"
+	;;
+      esac
+      my_oldobjs="$my_oldobjs "`find $my_xdir -name \*.$objext -print -o -name \*.lo -print | $NL2SP`
+    done
+
+    func_extract_archives_result="$my_oldobjs"
+}
+
+
+
+# func_emit_wrapper_part1 [arg=no]
+#
+# Emit the first part of a libtool wrapper script on stdout.
+# For more information, see the description associated with
+# func_emit_wrapper(), below.
+func_emit_wrapper_part1 ()
+{
+	func_emit_wrapper_part1_arg1=no
+	if test -n "$1" ; then
+	  func_emit_wrapper_part1_arg1=$1
+	fi
+
+	$ECHO "\
+#! $SHELL
+
+# $output - temporary wrapper script for $objdir/$outputname
+# Generated by $PROGRAM (GNU $PACKAGE$TIMESTAMP) $VERSION
+#
+# The $output program cannot be directly executed until all the libtool
+# libraries that it depends on are installed.
+#
+# This wrapper script should never be moved out of the build directory.
+# If it is, it will not operate correctly.
+
+# Sed substitution that helps us do robust quoting.  It backslashifies
+# metacharacters that are still active within double-quoted strings.
+Xsed='${SED} -e 1s/^X//'
+sed_quote_subst='$sed_quote_subst'
+
+# Be Bourne compatible
+if test -n \"\${ZSH_VERSION+set}\" && (emulate sh) >/dev/null 2>&1; then
+  emulate sh
+  NULLCMD=:
+  # Zsh 3.x and 4.x performs word splitting on \${1+\"\$@\"}, which
+  # is contrary to our usage.  Disable this feature.
+  alias -g '\${1+\"\$@\"}'='\"\$@\"'
+  setopt NO_GLOB_SUBST
+else
+  case \`(set -o) 2>/dev/null\` in *posix*) set -o posix;; esac
+fi
+BIN_SH=xpg4; export BIN_SH # for Tru64
+DUALCASE=1; export DUALCASE # for MKS sh
+
+# The HP-UX ksh and POSIX shell print the target directory to stdout
+# if CDPATH is set.
+(unset CDPATH) >/dev/null 2>&1 && unset CDPATH
+
+relink_command=\"$relink_command\"
+
+# This environment variable determines our operation mode.
+if test \"\$libtool_install_magic\" = \"$magic\"; then
+  # install mode needs the following variables:
+  generated_by_libtool_version='$macro_version'
+  notinst_deplibs='$notinst_deplibs'
+else
+  # When we are sourced in execute mode, \$file and \$ECHO are already set.
+  if test \"\$libtool_execute_magic\" != \"$magic\"; then
+    ECHO=\"$qecho\"
+    file=\"\$0\"
+    # Make sure echo works.
+    if test \"X\$1\" = X--no-reexec; then
+      # Discard the --no-reexec flag, and continue.
+      shift
+    elif test \"X\`{ \$ECHO '\t'; } 2>/dev/null\`\" = 'X\t'; then
+      # Yippee, \$ECHO works!
+      :
+    else
+      # Restart under the correct shell, and then maybe \$ECHO will work.
+      exec $SHELL \"\$0\" --no-reexec \${1+\"\$@\"}
+    fi
+  fi\
+"
+	$ECHO "\
+
+  # Find the directory that this script lives in.
+  thisdir=\`\$ECHO \"X\$file\" | \$Xsed -e 's%/[^/]*$%%'\`
+  test \"x\$thisdir\" = \"x\$file\" && thisdir=.
+
+  # Follow symbolic links until we get to the real thisdir.
+  file=\`ls -ld \"\$file\" | ${SED} -n 's/.*-> //p'\`
+  while test -n \"\$file\"; do
+    destdir=\`\$ECHO \"X\$file\" | \$Xsed -e 's%/[^/]*\$%%'\`
+
+    # If there was a directory component, then change thisdir.
+    if test \"x\$destdir\" != \"x\$file\"; then
+      case \"\$destdir\" in
+      [\\\\/]* | [A-Za-z]:[\\\\/]*) thisdir=\"\$destdir\" ;;
+      *) thisdir=\"\$thisdir/\$destdir\" ;;
+      esac
+    fi
+
+    file=\`\$ECHO \"X\$file\" | \$Xsed -e 's%^.*/%%'\`
+    file=\`ls -ld \"\$thisdir/\$file\" | ${SED} -n 's/.*-> //p'\`
+  done
+"
+}
+# end: func_emit_wrapper_part1
+
+# func_emit_wrapper_part2 [arg=no]
+#
+# Emit the second part of a libtool wrapper script on stdout.
+# For more information, see the description associated with
+# func_emit_wrapper(), below.
+func_emit_wrapper_part2 ()
+{
+	func_emit_wrapper_part2_arg1=no
+	if test -n "$1" ; then
+	  func_emit_wrapper_part2_arg1=$1
+	fi
+
+	$ECHO "\
+
+  # Usually 'no', except on cygwin/mingw when embedded into
+  # the cwrapper.
+  WRAPPER_SCRIPT_BELONGS_IN_OBJDIR=$func_emit_wrapper_part2_arg1
+  if test \"\$WRAPPER_SCRIPT_BELONGS_IN_OBJDIR\" = \"yes\"; then
+    # special case for '.'
+    if test \"\$thisdir\" = \".\"; then
+      thisdir=\`pwd\`
+    fi
+    # remove .libs from thisdir
+    case \"\$thisdir\" in
+    *[\\\\/]$objdir ) thisdir=\`\$ECHO \"X\$thisdir\" | \$Xsed -e 's%[\\\\/][^\\\\/]*$%%'\` ;;
+    $objdir )   thisdir=. ;;
+    esac
+  fi
+
+  # Try to get the absolute directory name.
+  absdir=\`cd \"\$thisdir\" && pwd\`
+  test -n \"\$absdir\" && thisdir=\"\$absdir\"
+"
+
+	if test "$fast_install" = yes; then
+	  $ECHO "\
+  program=lt-'$outputname'$exeext
+  progdir=\"\$thisdir/$objdir\"
+
+  if test ! -f \"\$progdir/\$program\" ||
+     { file=\`ls -1dt \"\$progdir/\$program\" \"\$progdir/../\$program\" 2>/dev/null | ${SED} 1q\`; \\
+       test \"X\$file\" != \"X\$progdir/\$program\"; }; then
+
+    file=\"\$\$-\$program\"
+
+    if test ! -d \"\$progdir\"; then
+      $MKDIR \"\$progdir\"
+    else
+      $RM \"\$progdir/\$file\"
+    fi"
+
+	  $ECHO "\
+
+    # relink executable if necessary
+    if test -n \"\$relink_command\"; then
+      if relink_command_output=\`eval \$relink_command 2>&1\`; then :
+      else
+	$ECHO \"\$relink_command_output\" >&2
+	$RM \"\$progdir/\$file\"
+	exit 1
+      fi
+    fi
+
+    $MV \"\$progdir/\$file\" \"\$progdir/\$program\" 2>/dev/null ||
+    { $RM \"\$progdir/\$program\";
+      $MV \"\$progdir/\$file\" \"\$progdir/\$program\"; }
+    $RM \"\$progdir/\$file\"
+  fi"
+	else
+	  $ECHO "\
+  program='$outputname'
+  progdir=\"\$thisdir/$objdir\"
+"
+	fi
+
+	$ECHO "\
+
+  if test -f \"\$progdir/\$program\"; then"
+
+	# Export our shlibpath_var if we have one.
+	if test "$shlibpath_overrides_runpath" = yes && test -n "$shlibpath_var" && test -n "$temp_rpath"; then
+	  $ECHO "\
+    # Add our own library path to $shlibpath_var
+    $shlibpath_var=\"$temp_rpath\$$shlibpath_var\"
+
+    # Some systems cannot cope with colon-terminated $shlibpath_var
+    # The second colon is a workaround for a bug in BeOS R4 sed
+    $shlibpath_var=\`\$ECHO \"X\$$shlibpath_var\" | \$Xsed -e 's/::*\$//'\`
+
+    export $shlibpath_var
+"
+	fi
+
+	# fixup the dll searchpath if we need to.
+	if test -n "$dllsearchpath"; then
+	  $ECHO "\
+    # Add the dll search path components to the executable PATH
+    PATH=$dllsearchpath:\$PATH
+"
+	fi
+
+	$ECHO "\
+    if test \"\$libtool_execute_magic\" != \"$magic\"; then
+      # Run the actual program with our arguments.
+"
+	case $host in
+	# Backslashes separate directories on plain windows
+	*-*-mingw | *-*-os2* | *-cegcc*)
+	  $ECHO "\
+      exec \"\$progdir\\\\\$program\" \${1+\"\$@\"}
+"
+	  ;;
+
+	*)
+	  $ECHO "\
+      exec \"\$progdir/\$program\" \${1+\"\$@\"}
+"
+	  ;;
+	esac
+	$ECHO "\
+      \$ECHO \"\$0: cannot exec \$program \$*\" 1>&2
+      exit 1
+    fi
+  else
+    # The program doesn't exist.
+    \$ECHO \"\$0: error: \\\`\$progdir/\$program' does not exist\" 1>&2
+    \$ECHO \"This script is just a wrapper for \$program.\" 1>&2
+    $ECHO \"See the $PACKAGE documentation for more information.\" 1>&2
+    exit 1
+  fi
+fi\
+"
+}
+# end: func_emit_wrapper_part2
+
+
+# func_emit_wrapper [arg=no]
+#
+# Emit a libtool wrapper script on stdout.
+# Don't directly open a file because we may want to
+# incorporate the script contents within a cygwin/mingw
+# wrapper executable.  Must ONLY be called from within
+# func_mode_link because it depends on a number of variables
+# set therein.
+#
+# ARG is the value that the WRAPPER_SCRIPT_BELONGS_IN_OBJDIR
+# variable will take.  If 'yes', then the emitted script
+# will assume that the directory in which it is stored is
+# the $objdir directory.  This is a cygwin/mingw-specific
+# behavior.
+func_emit_wrapper ()
+{
+	func_emit_wrapper_arg1=no
+	if test -n "$1" ; then
+	  func_emit_wrapper_arg1=$1
+	fi
+
+	# split this up so that func_emit_cwrapperexe_src
+	# can call each part independently.
+	func_emit_wrapper_part1 "${func_emit_wrapper_arg1}"
+	func_emit_wrapper_part2 "${func_emit_wrapper_arg1}"
+}
+
+
+# func_to_host_path arg
+#
+# Convert paths to host format when used with build tools.
+# Intended for use with "native" mingw (where libtool itself
+# is running under the msys shell), or in the following cross-
+# build environments:
+#    $build          $host
+#    mingw (msys)    mingw  [e.g. native]
+#    cygwin          mingw
+#    *nix + wine     mingw
+# where wine is equipped with the `winepath' executable.
+# In the native mingw case, the (msys) shell automatically
+# converts paths for any non-msys applications it launches,
+# but that facility isn't available from inside the cwrapper.
+# Similar accommodations are necessary for $host mingw and
+# $build cygwin.  Calling this function does no harm for other
+# $host/$build combinations not listed above.
+#
+# ARG is the path (on $build) that should be converted to
+# the proper representation for $host. The result is stored
+# in $func_to_host_path_result.
+func_to_host_path ()
+{
+  func_to_host_path_result="$1"
+  if test -n "$1" ; then
+    case $host in
+      *mingw* )
+        lt_sed_naive_backslashify='s|\\\\*|\\|g;s|/|\\|g;s|\\|\\\\|g'
+        case $build in
+          *mingw* ) # actually, msys
+            # awkward: cmd appends spaces to result
+            lt_sed_strip_trailing_spaces="s/[ ]*\$//"
+            func_to_host_path_tmp1=`( cmd //c echo "$1" |\
+              $SED -e "$lt_sed_strip_trailing_spaces" ) 2>/dev/null || echo ""`
+            func_to_host_path_result=`echo "$func_to_host_path_tmp1" |\
+              $SED -e "$lt_sed_naive_backslashify"`
+            ;;
+          *cygwin* )
+            func_to_host_path_tmp1=`cygpath -w "$1"`
+            func_to_host_path_result=`echo "$func_to_host_path_tmp1" |\
+              $SED -e "$lt_sed_naive_backslashify"`
+            ;;
+          * )
+            # Unfortunately, winepath does not exit with a non-zero
+            # error code, so we are forced to check the contents of
+            # stdout. On the other hand, if the command is not
+            # found, the shell will set an exit code of 127 and print
+            # *an error message* to stdout. So we must check for both
+            # error code of zero AND non-empty stdout, which explains
+            # the odd construction:
+            func_to_host_path_tmp1=`winepath -w "$1" 2>/dev/null`
+            if test "$?" -eq 0 && test -n "${func_to_host_path_tmp1}"; then
+              func_to_host_path_result=`echo "$func_to_host_path_tmp1" |\
+                $SED -e "$lt_sed_naive_backslashify"`
+            else
+              # Allow warning below.
+              func_to_host_path_result=""
+            fi
+            ;;
+        esac
+        if test -z "$func_to_host_path_result" ; then
+          func_error "Could not determine host path corresponding to"
+          func_error "  '$1'"
+          func_error "Continuing, but uninstalled executables may not work."
+          # Fallback:
+          func_to_host_path_result="$1"
+        fi
+        ;;
+    esac
+  fi
+}
+# end: func_to_host_path
+
+# func_to_host_pathlist arg
+#
+# Convert pathlists to host format when used with build tools.
+# See func_to_host_path(), above. This function supports the
+# following $build/$host combinations (but does no harm for
+# combinations not listed here):
+#    $build          $host
+#    mingw (msys)    mingw  [e.g. native]
+#    cygwin          mingw
+#    *nix + wine     mingw
+#
+# Path separators are also converted from $build format to
+# $host format. If ARG begins or ends with a path separator
+# character, it is preserved (but converted to $host format)
+# on output.
+#
+# ARG is a pathlist (on $build) that should be converted to
+# the proper representation on $host. The result is stored
+# in $func_to_host_pathlist_result.
+func_to_host_pathlist ()
+{
+  func_to_host_pathlist_result="$1"
+  if test -n "$1" ; then
+    case $host in
+      *mingw* )
+        lt_sed_naive_backslashify='s|\\\\*|\\|g;s|/|\\|g;s|\\|\\\\|g'
+        # Remove leading and trailing path separator characters from
+        # ARG. msys behavior is inconsistent here, cygpath turns them
+        # into '.;' and ';.', and winepath ignores them completely.
+        func_to_host_pathlist_tmp2="$1"
+        # Once set for this call, this variable should not be
+        # reassigned. It is used in tha fallback case.
+        func_to_host_pathlist_tmp1=`echo "$func_to_host_pathlist_tmp2" |\
+          $SED -e 's|^:*||' -e 's|:*$||'`
+        case $build in
+          *mingw* ) # Actually, msys.
+            # Awkward: cmd appends spaces to result.
+            lt_sed_strip_trailing_spaces="s/[ ]*\$//"
+            func_to_host_pathlist_tmp2=`( cmd //c echo "$func_to_host_pathlist_tmp1" |\
+              $SED -e "$lt_sed_strip_trailing_spaces" ) 2>/dev/null || echo ""`
+            func_to_host_pathlist_result=`echo "$func_to_host_pathlist_tmp2" |\
+              $SED -e "$lt_sed_naive_backslashify"`
+            ;;
+          *cygwin* )
+            func_to_host_pathlist_tmp2=`cygpath -w -p "$func_to_host_pathlist_tmp1"`
+            func_to_host_pathlist_result=`echo "$func_to_host_pathlist_tmp2" |\
+              $SED -e "$lt_sed_naive_backslashify"`
+            ;;
+          * )
+            # unfortunately, winepath doesn't convert pathlists
+            func_to_host_pathlist_result=""
+            func_to_host_pathlist_oldIFS=$IFS
+            IFS=:
+            for func_to_host_pathlist_f in $func_to_host_pathlist_tmp1 ; do
+              IFS=$func_to_host_pathlist_oldIFS
+              if test -n "$func_to_host_pathlist_f" ; then
+                func_to_host_path "$func_to_host_pathlist_f"
+                if test -n "$func_to_host_path_result" ; then
+                  if test -z "$func_to_host_pathlist_result" ; then
+                    func_to_host_pathlist_result="$func_to_host_path_result"
+                  else
+                    func_to_host_pathlist_result="$func_to_host_pathlist_result;$func_to_host_path_result"
+                  fi
+                fi
+              fi
+              IFS=:
+            done
+            IFS=$func_to_host_pathlist_oldIFS
+            ;;
+        esac
+        if test -z "$func_to_host_pathlist_result" ; then
+          func_error "Could not determine the host path(s) corresponding to"
+          func_error "  '$1'"
+          func_error "Continuing, but uninstalled executables may not work."
+          # Fallback. This may break if $1 contains DOS-style drive
+          # specifications. The fix is not to complicate the expression
+          # below, but for the user to provide a working wine installation
+          # with winepath so that path translation in the cross-to-mingw
+          # case works properly.
+          lt_replace_pathsep_nix_to_dos="s|:|;|g"
+          func_to_host_pathlist_result=`echo "$func_to_host_pathlist_tmp1" |\
+            $SED -e "$lt_replace_pathsep_nix_to_dos"`
+        fi
+        # Now, add the leading and trailing path separators back
+        case "$1" in
+          :* ) func_to_host_pathlist_result=";$func_to_host_pathlist_result"
+            ;;
+        esac
+        case "$1" in
+          *: ) func_to_host_pathlist_result="$func_to_host_pathlist_result;"
+            ;;
+        esac
+        ;;
+    esac
+  fi
+}
+# end: func_to_host_pathlist
+
+# func_emit_cwrapperexe_src
+# emit the source code for a wrapper executable on stdout
+# Must ONLY be called from within func_mode_link because
+# it depends on a number of variable set therein.
+func_emit_cwrapperexe_src ()
+{
+	cat <<EOF
+
+/* $cwrappersource - temporary wrapper executable for $objdir/$outputname
+   Generated by $PROGRAM (GNU $PACKAGE$TIMESTAMP) $VERSION
+
+   The $output program cannot be directly executed until all the libtool
+   libraries that it depends on are installed.
+
+   This wrapper executable should never be moved out of the build directory.
+   If it is, it will not operate correctly.
+
+   Currently, it simply execs the wrapper *script* "$SHELL $output",
+   but could eventually absorb all of the scripts functionality and
+   exec $objdir/$outputname directly.
+*/
+EOF
+	    cat <<"EOF"
+#include <stdio.h>
+#include <stdlib.h>
+#ifdef _MSC_VER
+# include <direct.h>
+# include <process.h>
+# include <io.h>
+# define setmode _setmode
+#else
+# include <unistd.h>
+# include <stdint.h>
+# ifdef __CYGWIN__
+#  include <io.h>
+#  define HAVE_SETENV
+#  ifdef __STRICT_ANSI__
+char *realpath (const char *, char *);
+int putenv (char *);
+int setenv (const char *, const char *, int);
+#  endif
+# endif
+#endif
+#include <malloc.h>
+#include <stdarg.h>
+#include <assert.h>
+#include <string.h>
+#include <ctype.h>
+#include <errno.h>
+#include <fcntl.h>
+#include <sys/stat.h>
+
+#if defined(PATH_MAX)
+# define LT_PATHMAX PATH_MAX
+#elif defined(MAXPATHLEN)
+# define LT_PATHMAX MAXPATHLEN
+#else
+# define LT_PATHMAX 1024
+#endif
+
+#ifndef S_IXOTH
+# define S_IXOTH 0
+#endif
+#ifndef S_IXGRP
+# define S_IXGRP 0
+#endif
+
+#ifdef _MSC_VER
+# define S_IXUSR _S_IEXEC
+# define stat _stat
+# ifndef _INTPTR_T_DEFINED
+#  define intptr_t int
+# endif
+#endif
+
+#ifndef DIR_SEPARATOR
+# define DIR_SEPARATOR '/'
+# define PATH_SEPARATOR ':'
+#endif
+
+#if defined (_WIN32) || defined (__MSDOS__) || defined (__DJGPP__) || \
+  defined (__OS2__)
+# define HAVE_DOS_BASED_FILE_SYSTEM
+# define FOPEN_WB "wb"
+# ifndef DIR_SEPARATOR_2
+#  define DIR_SEPARATOR_2 '\\'
+# endif
+# ifndef PATH_SEPARATOR_2
+#  define PATH_SEPARATOR_2 ';'
+# endif
+#endif
+
+#ifndef DIR_SEPARATOR_2
+# define IS_DIR_SEPARATOR(ch) ((ch) == DIR_SEPARATOR)
+#else /* DIR_SEPARATOR_2 */
+# define IS_DIR_SEPARATOR(ch) \
+	(((ch) == DIR_SEPARATOR) || ((ch) == DIR_SEPARATOR_2))
+#endif /* DIR_SEPARATOR_2 */
+
+#ifndef PATH_SEPARATOR_2
+# define IS_PATH_SEPARATOR(ch) ((ch) == PATH_SEPARATOR)
+#else /* PATH_SEPARATOR_2 */
+# define IS_PATH_SEPARATOR(ch) ((ch) == PATH_SEPARATOR_2)
+#endif /* PATH_SEPARATOR_2 */
+
+#ifdef __CYGWIN__
+# define FOPEN_WB "wb"
+#endif
+
+#ifndef FOPEN_WB
+# define FOPEN_WB "w"
+#endif
+#ifndef _O_BINARY
+# define _O_BINARY 0
+#endif
+
+#define XMALLOC(type, num)      ((type *) xmalloc ((num) * sizeof(type)))
+#define XFREE(stale) do { \
+  if (stale) { free ((void *) stale); stale = 0; } \
+} while (0)
+
+#undef LTWRAPPER_DEBUGPRINTF
+#if defined DEBUGWRAPPER
+# define LTWRAPPER_DEBUGPRINTF(args) ltwrapper_debugprintf args
+static void
+ltwrapper_debugprintf (const char *fmt, ...)
+{
+    va_list args;
+    va_start (args, fmt);
+    (void) vfprintf (stderr, fmt, args);
+    va_end (args);
+}
+#else
+# define LTWRAPPER_DEBUGPRINTF(args)
+#endif
+
+const char *program_name = NULL;
+
+void *xmalloc (size_t num);
+char *xstrdup (const char *string);
+const char *base_name (const char *name);
+char *find_executable (const char *wrapper);
+char *chase_symlinks (const char *pathspec);
+int make_executable (const char *path);
+int check_executable (const char *path);
+char *strendzap (char *str, const char *pat);
+void lt_fatal (const char *message, ...);
+void lt_setenv (const char *name, const char *value);
+char *lt_extend_str (const char *orig_value, const char *add, int to_end);
+void lt_opt_process_env_set (const char *arg);
+void lt_opt_process_env_prepend (const char *arg);
+void lt_opt_process_env_append (const char *arg);
+int lt_split_name_value (const char *arg, char** name, char** value);
+void lt_update_exe_path (const char *name, const char *value);
+void lt_update_lib_path (const char *name, const char *value);
+
+static const char *script_text_part1 =
+EOF
+
+	    func_emit_wrapper_part1 yes |
+	        $SED -e 's/\([\\"]\)/\\\1/g' \
+	             -e 's/^/  "/' -e 's/$/\\n"/'
+	    echo ";"
+	    cat <<EOF
+
+static const char *script_text_part2 =
+EOF
+	    func_emit_wrapper_part2 yes |
+	        $SED -e 's/\([\\"]\)/\\\1/g' \
+	             -e 's/^/  "/' -e 's/$/\\n"/'
+	    echo ";"
+
+	    cat <<EOF
+const char * MAGIC_EXE = "$magic_exe";
+const char * LIB_PATH_VARNAME = "$shlibpath_var";
+EOF
+
+	    if test "$shlibpath_overrides_runpath" = yes && test -n "$shlibpath_var" && test -n "$temp_rpath"; then
+              func_to_host_pathlist "$temp_rpath"
+	      cat <<EOF
+const char * LIB_PATH_VALUE   = "$func_to_host_pathlist_result";
+EOF
+	    else
+	      cat <<"EOF"
+const char * LIB_PATH_VALUE   = "";
+EOF
+	    fi
+
+	    if test -n "$dllsearchpath"; then
+              func_to_host_pathlist "$dllsearchpath:"
+	      cat <<EOF
+const char * EXE_PATH_VARNAME = "PATH";
+const char * EXE_PATH_VALUE   = "$func_to_host_pathlist_result";
+EOF
+	    else
+	      cat <<"EOF"
+const char * EXE_PATH_VARNAME = "";
+const char * EXE_PATH_VALUE   = "";
+EOF
+	    fi
+
+	    if test "$fast_install" = yes; then
+	      cat <<EOF
+const char * TARGET_PROGRAM_NAME = "lt-$outputname"; /* hopefully, no .exe */
+EOF
+	    else
+	      cat <<EOF
+const char * TARGET_PROGRAM_NAME = "$outputname"; /* hopefully, no .exe */
+EOF
+	    fi
+
+
+	    cat <<"EOF"
+
+#define LTWRAPPER_OPTION_PREFIX         "--lt-"
+#define LTWRAPPER_OPTION_PREFIX_LENGTH  5
+
+static const size_t opt_prefix_len         = LTWRAPPER_OPTION_PREFIX_LENGTH;
+static const char *ltwrapper_option_prefix = LTWRAPPER_OPTION_PREFIX;
+
+static const char *dumpscript_opt       = LTWRAPPER_OPTION_PREFIX "dump-script";
+
+static const size_t env_set_opt_len     = LTWRAPPER_OPTION_PREFIX_LENGTH + 7;
+static const char *env_set_opt          = LTWRAPPER_OPTION_PREFIX "env-set";
+  /* argument is putenv-style "foo=bar", value of foo is set to bar */
+
+static const size_t env_prepend_opt_len = LTWRAPPER_OPTION_PREFIX_LENGTH + 11;
+static const char *env_prepend_opt      = LTWRAPPER_OPTION_PREFIX "env-prepend";
+  /* argument is putenv-style "foo=bar", new value of foo is bar${foo} */
+
+static const size_t env_append_opt_len  = LTWRAPPER_OPTION_PREFIX_LENGTH + 10;
+static const char *env_append_opt       = LTWRAPPER_OPTION_PREFIX "env-append";
+  /* argument is putenv-style "foo=bar", new value of foo is ${foo}bar */
+
+int
+main (int argc, char *argv[])
+{
+  char **newargz;
+  int  newargc;
+  char *tmp_pathspec;
+  char *actual_cwrapper_path;
+  char *actual_cwrapper_name;
+  char *target_name;
+  char *lt_argv_zero;
+  intptr_t rval = 127;
+
+  int i;
+
+  program_name = (char *) xstrdup (base_name (argv[0]));
+  LTWRAPPER_DEBUGPRINTF (("(main) argv[0]      : %s\n", argv[0]));
+  LTWRAPPER_DEBUGPRINTF (("(main) program_name : %s\n", program_name));
+
+  /* very simple arg parsing; don't want to rely on getopt */
+  for (i = 1; i < argc; i++)
+    {
+      if (strcmp (argv[i], dumpscript_opt) == 0)
+	{
+EOF
+	    case "$host" in
+	      *mingw* | *cygwin* )
+		# make stdout use "unix" line endings
+		echo "          setmode(1,_O_BINARY);"
+		;;
+	      esac
+
+	    cat <<"EOF"
+	  printf ("%s", script_text_part1);
+	  printf ("%s", script_text_part2);
+	  return 0;
+	}
+    }
+
+  newargz = XMALLOC (char *, argc + 1);
+  tmp_pathspec = find_executable (argv[0]);
+  if (tmp_pathspec == NULL)
+    lt_fatal ("Couldn't find %s", argv[0]);
+  LTWRAPPER_DEBUGPRINTF (("(main) found exe (before symlink chase) at : %s\n",
+			  tmp_pathspec));
+
+  actual_cwrapper_path = chase_symlinks (tmp_pathspec);
+  LTWRAPPER_DEBUGPRINTF (("(main) found exe (after symlink chase) at : %s\n",
+			  actual_cwrapper_path));
+  XFREE (tmp_pathspec);
+
+  actual_cwrapper_name = xstrdup( base_name (actual_cwrapper_path));
+  strendzap (actual_cwrapper_path, actual_cwrapper_name);
+
+  /* wrapper name transforms */
+  strendzap (actual_cwrapper_name, ".exe");
+  tmp_pathspec = lt_extend_str (actual_cwrapper_name, ".exe", 1);
+  XFREE (actual_cwrapper_name);
+  actual_cwrapper_name = tmp_pathspec;
+  tmp_pathspec = 0;
+
+  /* target_name transforms -- use actual target program name; might have lt- prefix */
+  target_name = xstrdup (base_name (TARGET_PROGRAM_NAME));
+  strendzap (target_name, ".exe");
+  tmp_pathspec = lt_extend_str (target_name, ".exe", 1);
+  XFREE (target_name);
+  target_name = tmp_pathspec;
+  tmp_pathspec = 0;
+
+  LTWRAPPER_DEBUGPRINTF (("(main) libtool target name: %s\n",
+			  target_name));
+EOF
+
+	    cat <<EOF
+  newargz[0] =
+    XMALLOC (char, (strlen (actual_cwrapper_path) +
+		    strlen ("$objdir") + 1 + strlen (actual_cwrapper_name) + 1));
+  strcpy (newargz[0], actual_cwrapper_path);
+  strcat (newargz[0], "$objdir");
+  strcat (newargz[0], "/");
+EOF
+
+	    cat <<"EOF"
+  /* stop here, and copy so we don't have to do this twice */
+  tmp_pathspec = xstrdup (newargz[0]);
+
+  /* do NOT want the lt- prefix here, so use actual_cwrapper_name */
+  strcat (newargz[0], actual_cwrapper_name);
+
+  /* DO want the lt- prefix here if it exists, so use target_name */
+  lt_argv_zero = lt_extend_str (tmp_pathspec, target_name, 1);
+  XFREE (tmp_pathspec);
+  tmp_pathspec = NULL;
+EOF
+
+	    case $host_os in
+	      mingw*)
+	    cat <<"EOF"
+  {
+    char* p;
+    while ((p = strchr (newargz[0], '\\')) != NULL)
+      {
+	*p = '/';
+      }
+    while ((p = strchr (lt_argv_zero, '\\')) != NULL)
+      {
+	*p = '/';
+      }
+  }
+EOF
+	    ;;
+	    esac
+
+	    cat <<"EOF"
+  XFREE (target_name);
+  XFREE (actual_cwrapper_path);
+  XFREE (actual_cwrapper_name);
+
+  lt_setenv ("BIN_SH", "xpg4"); /* for Tru64 */
+  lt_setenv ("DUALCASE", "1");  /* for MSK sh */
+  lt_update_lib_path (LIB_PATH_VARNAME, LIB_PATH_VALUE);
+  lt_update_exe_path (EXE_PATH_VARNAME, EXE_PATH_VALUE);
+
+  newargc=0;
+  for (i = 1; i < argc; i++)
+    {
+      if (strncmp (argv[i], env_set_opt, env_set_opt_len) == 0)
+        {
+          if (argv[i][env_set_opt_len] == '=')
+            {
+              const char *p = argv[i] + env_set_opt_len + 1;
+              lt_opt_process_env_set (p);
+            }
+          else if (argv[i][env_set_opt_len] == '\0' && i + 1 < argc)
+            {
+              lt_opt_process_env_set (argv[++i]); /* don't copy */
+            }
+          else
+            lt_fatal ("%s missing required argument", env_set_opt);
+          continue;
+        }
+      if (strncmp (argv[i], env_prepend_opt, env_prepend_opt_len) == 0)
+        {
+          if (argv[i][env_prepend_opt_len] == '=')
+            {
+              const char *p = argv[i] + env_prepend_opt_len + 1;
+              lt_opt_process_env_prepend (p);
+            }
+          else if (argv[i][env_prepend_opt_len] == '\0' && i + 1 < argc)
+            {
+              lt_opt_process_env_prepend (argv[++i]); /* don't copy */
+            }
+          else
+            lt_fatal ("%s missing required argument", env_prepend_opt);
+          continue;
+        }
+      if (strncmp (argv[i], env_append_opt, env_append_opt_len) == 0)
+        {
+          if (argv[i][env_append_opt_len] == '=')
+            {
+              const char *p = argv[i] + env_append_opt_len + 1;
+              lt_opt_process_env_append (p);
+            }
+          else if (argv[i][env_append_opt_len] == '\0' && i + 1 < argc)
+            {
+              lt_opt_process_env_append (argv[++i]); /* don't copy */
+            }
+          else
+            lt_fatal ("%s missing required argument", env_append_opt);
+          continue;
+        }
+      if (strncmp (argv[i], ltwrapper_option_prefix, opt_prefix_len) == 0)
+        {
+          /* however, if there is an option in the LTWRAPPER_OPTION_PREFIX
+             namespace, but it is not one of the ones we know about and
+             have already dealt with, above (inluding dump-script), then
+             report an error. Otherwise, targets might begin to believe
+             they are allowed to use options in the LTWRAPPER_OPTION_PREFIX
+             namespace. The first time any user complains about this, we'll
+             need to make LTWRAPPER_OPTION_PREFIX a configure-time option
+             or a configure.ac-settable value.
+           */
+          lt_fatal ("Unrecognized option in %s namespace: '%s'",
+                    ltwrapper_option_prefix, argv[i]);
+        }
+      /* otherwise ... */
+      newargz[++newargc] = xstrdup (argv[i]);
+    }
+  newargz[++newargc] = NULL;
+
+  LTWRAPPER_DEBUGPRINTF     (("(main) lt_argv_zero : %s\n", (lt_argv_zero ? lt_argv_zero : "<NULL>")));
+  for (i = 0; i < newargc; i++)
+    {
+      LTWRAPPER_DEBUGPRINTF (("(main) newargz[%d]   : %s\n", i, (newargz[i] ? newargz[i] : "<NULL>")));
+    }
+
+EOF
+
+	    case $host_os in
+	      mingw*)
+		cat <<"EOF"
+  /* execv doesn't actually work on mingw as expected on unix */
+  rval = _spawnv (_P_WAIT, lt_argv_zero, (const char * const *) newargz);
+  if (rval == -1)
+    {
+      /* failed to start process */
+      LTWRAPPER_DEBUGPRINTF (("(main) failed to launch target \"%s\": errno = %d\n", lt_argv_zero, errno));
+      return 127;
+    }
+  return rval;
+EOF
+		;;
+	      *)
+		cat <<"EOF"
+  execv (lt_argv_zero, newargz);
+  return rval; /* =127, but avoids unused variable warning */
+EOF
+		;;
+	    esac
+
+	    cat <<"EOF"
+}
+
+void *
+xmalloc (size_t num)
+{
+  void *p = (void *) malloc (num);
+  if (!p)
+    lt_fatal ("Memory exhausted");
+
+  return p;
+}
+
+char *
+xstrdup (const char *string)
+{
+  return string ? strcpy ((char *) xmalloc (strlen (string) + 1),
+			  string) : NULL;
+}
+
+const char *
+base_name (const char *name)
+{
+  const char *base;
+
+#if defined (HAVE_DOS_BASED_FILE_SYSTEM)
+  /* Skip over the disk name in MSDOS pathnames. */
+  if (isalpha ((unsigned char) name[0]) && name[1] == ':')
+    name += 2;
+#endif
+
+  for (base = name; *name; name++)
+    if (IS_DIR_SEPARATOR (*name))
+      base = name + 1;
+  return base;
+}
+
+int
+check_executable (const char *path)
+{
+  struct stat st;
+
+  LTWRAPPER_DEBUGPRINTF (("(check_executable)  : %s\n",
+			  path ? (*path ? path : "EMPTY!") : "NULL!"));
+  if ((!path) || (!*path))
+    return 0;
+
+  if ((stat (path, &st) >= 0)
+      && (st.st_mode & (S_IXUSR | S_IXGRP | S_IXOTH)))
+    return 1;
+  else
+    return 0;
+}
+
+int
+make_executable (const char *path)
+{
+  int rval = 0;
+  struct stat st;
+
+  LTWRAPPER_DEBUGPRINTF (("(make_executable)   : %s\n",
+			  path ? (*path ? path : "EMPTY!") : "NULL!"));
+  if ((!path) || (!*path))
+    return 0;
+
+  if (stat (path, &st) >= 0)
+    {
+      rval = chmod (path, st.st_mode | S_IXOTH | S_IXGRP | S_IXUSR);
+    }
+  return rval;
+}
+
+/* Searches for the full path of the wrapper.  Returns
+   newly allocated full path name if found, NULL otherwise
+   Does not chase symlinks, even on platforms that support them.
+*/
+char *
+find_executable (const char *wrapper)
+{
+  int has_slash = 0;
+  const char *p;
+  const char *p_next;
+  /* static buffer for getcwd */
+  char tmp[LT_PATHMAX + 1];
+  int tmp_len;
+  char *concat_name;
+
+  LTWRAPPER_DEBUGPRINTF (("(find_executable)   : %s\n",
+			  wrapper ? (*wrapper ? wrapper : "EMPTY!") : "NULL!"));
+
+  if ((wrapper == NULL) || (*wrapper == '\0'))
+    return NULL;
+
+  /* Absolute path? */
+#if defined (HAVE_DOS_BASED_FILE_SYSTEM)
+  if (isalpha ((unsigned char) wrapper[0]) && wrapper[1] == ':')
+    {
+      concat_name = xstrdup (wrapper);
+      if (check_executable (concat_name))
+	return concat_name;
+      XFREE (concat_name);
+    }
+  else
+    {
+#endif
+      if (IS_DIR_SEPARATOR (wrapper[0]))
+	{
+	  concat_name = xstrdup (wrapper);
+	  if (check_executable (concat_name))
+	    return concat_name;
+	  XFREE (concat_name);
+	}
+#if defined (HAVE_DOS_BASED_FILE_SYSTEM)
+    }
+#endif
+
+  for (p = wrapper; *p; p++)
+    if (*p == '/')
+      {
+	has_slash = 1;
+	break;
+      }
+  if (!has_slash)
+    {
+      /* no slashes; search PATH */
+      const char *path = getenv ("PATH");
+      if (path != NULL)
+	{
+	  for (p = path; *p; p = p_next)
+	    {
+	      const char *q;
+	      size_t p_len;
+	      for (q = p; *q; q++)
+		if (IS_PATH_SEPARATOR (*q))
+		  break;
+	      p_len = q - p;
+	      p_next = (*q == '\0' ? q : q + 1);
+	      if (p_len == 0)
+		{
+		  /* empty path: current directory */
+		  if (getcwd (tmp, LT_PATHMAX) == NULL)
+		    lt_fatal ("getcwd failed");
+		  tmp_len = strlen (tmp);
+		  concat_name =
+		    XMALLOC (char, tmp_len + 1 + strlen (wrapper) + 1);
+		  memcpy (concat_name, tmp, tmp_len);
+		  concat_name[tmp_len] = '/';
+		  strcpy (concat_name + tmp_len + 1, wrapper);
+		}
+	      else
+		{
+		  concat_name =
+		    XMALLOC (char, p_len + 1 + strlen (wrapper) + 1);
+		  memcpy (concat_name, p, p_len);
+		  concat_name[p_len] = '/';
+		  strcpy (concat_name + p_len + 1, wrapper);
+		}
+	      if (check_executable (concat_name))
+		return concat_name;
+	      XFREE (concat_name);
+	    }
+	}
+      /* not found in PATH; assume curdir */
+    }
+  /* Relative path | not found in path: prepend cwd */
+  if (getcwd (tmp, LT_PATHMAX) == NULL)
+    lt_fatal ("getcwd failed");
+  tmp_len = strlen (tmp);
+  concat_name = XMALLOC (char, tmp_len + 1 + strlen (wrapper) + 1);
+  memcpy (concat_name, tmp, tmp_len);
+  concat_name[tmp_len] = '/';
+  strcpy (concat_name + tmp_len + 1, wrapper);
+
+  if (check_executable (concat_name))
+    return concat_name;
+  XFREE (concat_name);
+  return NULL;
+}
+
+char *
+chase_symlinks (const char *pathspec)
+{
+#ifndef S_ISLNK
+  return xstrdup (pathspec);
+#else
+  char buf[LT_PATHMAX];
+  struct stat s;
+  char *tmp_pathspec = xstrdup (pathspec);
+  char *p;
+  int has_symlinks = 0;
+  while (strlen (tmp_pathspec) && !has_symlinks)
+    {
+      LTWRAPPER_DEBUGPRINTF (("checking path component for symlinks: %s\n",
+			      tmp_pathspec));
+      if (lstat (tmp_pathspec, &s) == 0)
+	{
+	  if (S_ISLNK (s.st_mode) != 0)
+	    {
+	      has_symlinks = 1;
+	      break;
+	    }
+
+	  /* search backwards for last DIR_SEPARATOR */
+	  p = tmp_pathspec + strlen (tmp_pathspec) - 1;
+	  while ((p > tmp_pathspec) && (!IS_DIR_SEPARATOR (*p)))
+	    p--;
+	  if ((p == tmp_pathspec) && (!IS_DIR_SEPARATOR (*p)))
+	    {
+	      /* no more DIR_SEPARATORS left */
+	      break;
+	    }
+	  *p = '\0';
+	}
+      else
+	{
+	  char *errstr = strerror (errno);
+	  lt_fatal ("Error accessing file %s (%s)", tmp_pathspec, errstr);
+	}
+    }
+  XFREE (tmp_pathspec);
+
+  if (!has_symlinks)
+    {
+      return xstrdup (pathspec);
+    }
+
+  tmp_pathspec = realpath (pathspec, buf);
+  if (tmp_pathspec == 0)
+    {
+      lt_fatal ("Could not follow symlinks for %s", pathspec);
+    }
+  return xstrdup (tmp_pathspec);
+#endif
+}
+
+char *
+strendzap (char *str, const char *pat)
+{
+  size_t len, patlen;
+
+  assert (str != NULL);
+  assert (pat != NULL);
+
+  len = strlen (str);
+  patlen = strlen (pat);
+
+  if (patlen <= len)
+    {
+      str += len - patlen;
+      if (strcmp (str, pat) == 0)
+	*str = '\0';
+    }
+  return str;
+}
+
+static void
+lt_error_core (int exit_status, const char *mode,
+	       const char *message, va_list ap)
+{
+  fprintf (stderr, "%s: %s: ", program_name, mode);
+  vfprintf (stderr, message, ap);
+  fprintf (stderr, ".\n");
+
+  if (exit_status >= 0)
+    exit (exit_status);
+}
+
+void
+lt_fatal (const char *message, ...)
+{
+  va_list ap;
+  va_start (ap, message);
+  lt_error_core (EXIT_FAILURE, "FATAL", message, ap);
+  va_end (ap);
+}
+
+void
+lt_setenv (const char *name, const char *value)
+{
+  LTWRAPPER_DEBUGPRINTF (("(lt_setenv) setting '%s' to '%s'\n",
+                          (name ? name : "<NULL>"),
+                          (value ? value : "<NULL>")));
+  {
+#ifdef HAVE_SETENV
+    /* always make a copy, for consistency with !HAVE_SETENV */
+    char *str = xstrdup (value);
+    setenv (name, str, 1);
+#else
+    int len = strlen (name) + 1 + strlen (value) + 1;
+    char *str = XMALLOC (char, len);
+    sprintf (str, "%s=%s", name, value);
+    if (putenv (str) != EXIT_SUCCESS)
+      {
+        XFREE (str);
+      }
+#endif
+  }
+}
+
+char *
+lt_extend_str (const char *orig_value, const char *add, int to_end)
+{
+  char *new_value;
+  if (orig_value && *orig_value)
+    {
+      int orig_value_len = strlen (orig_value);
+      int add_len = strlen (add);
+      new_value = XMALLOC (char, add_len + orig_value_len + 1);
+      if (to_end)
+        {
+          strcpy (new_value, orig_value);
+          strcpy (new_value + orig_value_len, add);
+        }
+      else
+        {
+          strcpy (new_value, add);
+          strcpy (new_value + add_len, orig_value);
+        }
+    }
+  else
+    {
+      new_value = xstrdup (add);
+    }
+  return new_value;
+}
+
+int
+lt_split_name_value (const char *arg, char** name, char** value)
+{
+  const char *p;
+  int len;
+  if (!arg || !*arg)
+    return 1;
+
+  p = strchr (arg, (int)'=');
+
+  if (!p)
+    return 1;
+
+  *value = xstrdup (++p);
+
+  len = strlen (arg) - strlen (*value);
+  *name = XMALLOC (char, len);
+  strncpy (*name, arg, len-1);
+  (*name)[len - 1] = '\0';
+
+  return 0;
+}
+
+void
+lt_opt_process_env_set (const char *arg)
+{
+  char *name = NULL;
+  char *value = NULL;
+
+  if (lt_split_name_value (arg, &name, &value) != 0)
+    {
+      XFREE (name);
+      XFREE (value);
+      lt_fatal ("bad argument for %s: '%s'", env_set_opt, arg);
+    }
+
+  lt_setenv (name, value);
+  XFREE (name);
+  XFREE (value);
+}
+
+void
+lt_opt_process_env_prepend (const char *arg)
+{
+  char *name = NULL;
+  char *value = NULL;
+  char *new_value = NULL;
+
+  if (lt_split_name_value (arg, &name, &value) != 0)
+    {
+      XFREE (name);
+      XFREE (value);
+      lt_fatal ("bad argument for %s: '%s'", env_prepend_opt, arg);
+    }
+
+  new_value = lt_extend_str (getenv (name), value, 0);
+  lt_setenv (name, new_value);
+  XFREE (new_value);
+  XFREE (name);
+  XFREE (value);
+}
+
+void
+lt_opt_process_env_append (const char *arg)
+{
+  char *name = NULL;
+  char *value = NULL;
+  char *new_value = NULL;
+
+  if (lt_split_name_value (arg, &name, &value) != 0)
+    {
+      XFREE (name);
+      XFREE (value);
+      lt_fatal ("bad argument for %s: '%s'", env_append_opt, arg);
+    }
+
+  new_value = lt_extend_str (getenv (name), value, 1);
+  lt_setenv (name, new_value);
+  XFREE (new_value);
+  XFREE (name);
+  XFREE (value);
+}
+
+void
+lt_update_exe_path (const char *name, const char *value)
+{
+  LTWRAPPER_DEBUGPRINTF (("(lt_update_exe_path) modifying '%s' by prepending '%s'\n",
+                          (name ? name : "<NULL>"),
+                          (value ? value : "<NULL>")));
+
+  if (name && *name && value && *value)
+    {
+      char *new_value = lt_extend_str (getenv (name), value, 0);
+      /* some systems can't cope with a ':'-terminated path #' */
+      int len = strlen (new_value);
+      while (((len = strlen (new_value)) > 0) && IS_PATH_SEPARATOR (new_value[len-1]))
+        {
+          new_value[len-1] = '\0';
+        }
+      lt_setenv (name, new_value);
+      XFREE (new_value);
+    }
+}
+
+void
+lt_update_lib_path (const char *name, const char *value)
+{
+  LTWRAPPER_DEBUGPRINTF (("(lt_update_lib_path) modifying '%s' by prepending '%s'\n",
+                          (name ? name : "<NULL>"),
+                          (value ? value : "<NULL>")));
+
+  if (name && *name && value && *value)
+    {
+      char *new_value = lt_extend_str (getenv (name), value, 0);
+      lt_setenv (name, new_value);
+      XFREE (new_value);
+    }
+}
+
+
+EOF
+}
+# end: func_emit_cwrapperexe_src
+
+# func_mode_link arg...
+func_mode_link ()
+{
+    $opt_debug
+    case $host in
+    *-*-cygwin* | *-*-mingw* | *-*-pw32* | *-*-os2* | *-cegcc*)
+      # It is impossible to link a dll without this setting, and
+      # we shouldn't force the makefile maintainer to figure out
+      # which system we are compiling for in order to pass an extra
+      # flag for every libtool invocation.
+      # allow_undefined=no
+
+      # FIXME: Unfortunately, there are problems with the above when trying
+      # to make a dll which has undefined symbols, in which case not
+      # even a static library is built.  For now, we need to specify
+      # -no-undefined on the libtool link line when we can be certain
+      # that all symbols are satisfied, otherwise we get a static library.
+      allow_undefined=yes
+      ;;
+    *)
+      allow_undefined=yes
+      ;;
+    esac
+    libtool_args=$nonopt
+    base_compile="$nonopt $@"
+    compile_command=$nonopt
+    finalize_command=$nonopt
+
+    compile_rpath=
+    finalize_rpath=
+    compile_shlibpath=
+    finalize_shlibpath=
+    convenience=
+    old_convenience=
+    deplibs=
+    old_deplibs=
+    compiler_flags=
+    linker_flags=
+    dllsearchpath=
+    lib_search_path=`pwd`
+    inst_prefix_dir=
+    new_inherited_linker_flags=
+
+    avoid_version=no
+    dlfiles=
+    dlprefiles=
+    dlself=no
+    export_dynamic=no
+    export_symbols=
+    export_symbols_regex=
+    generated=
+    libobjs=
+    ltlibs=
+    module=no
+    no_install=no
+    objs=
+    non_pic_objects=
+    precious_files_regex=
+    prefer_static_libs=no
+    preload=no
+    prev=
+    prevarg=
+    release=
+    rpath=
+    xrpath=
+    perm_rpath=
+    temp_rpath=
+    thread_safe=no
+    vinfo=
+    vinfo_number=no
+    weak_libs=
+    single_module="${wl}-single_module"
+    func_infer_tag $base_compile
+
+    # We need to know -static, to get the right output filenames.
+    for arg
+    do
+      case $arg in
+      -shared)
+	test "$build_libtool_libs" != yes && \
+	  func_fatal_configuration "can not build a shared library"
+	build_old_libs=no
+	break
+	;;
+      -all-static | -static | -static-libtool-libs)
+	case $arg in
+	-all-static)
+	  if test "$build_libtool_libs" = yes && test -z "$link_static_flag"; then
+	    func_warning "complete static linking is impossible in this configuration"
+	  fi
+	  if test -n "$link_static_flag"; then
+	    dlopen_self=$dlopen_self_static
+	  fi
+	  prefer_static_libs=yes
+	  ;;
+	-static)
+	  if test -z "$pic_flag" && test -n "$link_static_flag"; then
+	    dlopen_self=$dlopen_self_static
+	  fi
+	  prefer_static_libs=built
+	  ;;
+	-static-libtool-libs)
+	  if test -z "$pic_flag" && test -n "$link_static_flag"; then
+	    dlopen_self=$dlopen_self_static
+	  fi
+	  prefer_static_libs=yes
+	  ;;
+	esac
+	build_libtool_libs=no
+	build_old_libs=yes
+	break
+	;;
+      esac
+    done
+
+    # See if our shared archives depend on static archives.
+    test -n "$old_archive_from_new_cmds" && build_old_libs=yes
+
+    # Go through the arguments, transforming them on the way.
+    while test "$#" -gt 0; do
+      arg="$1"
+      shift
+      func_quote_for_eval "$arg"
+      qarg=$func_quote_for_eval_unquoted_result
+      func_append libtool_args " $func_quote_for_eval_result"
+
+      # If the previous option needs an argument, assign it.
+      if test -n "$prev"; then
+	case $prev in
+	output)
+	  func_append compile_command " @OUTPUT@"
+	  func_append finalize_command " @OUTPUT@"
+	  ;;
+	esac
+
+	case $prev in
+	dlfiles|dlprefiles)
+	  if test "$preload" = no; then
+	    # Add the symbol object into the linking commands.
+	    func_append compile_command " @SYMFILE@"
+	    func_append finalize_command " @SYMFILE@"
+	    preload=yes
+	  fi
+	  case $arg in
+	  *.la | *.lo) ;;  # We handle these cases below.
+	  force)
+	    if test "$dlself" = no; then
+	      dlself=needless
+	      export_dynamic=yes
+	    fi
+	    prev=
+	    continue
+	    ;;
+	  self)
+	    if test "$prev" = dlprefiles; then
+	      dlself=yes
+	    elif test "$prev" = dlfiles && test "$dlopen_self" != yes; then
+	      dlself=yes
+	    else
+	      dlself=needless
+	      export_dynamic=yes
+	    fi
+	    prev=
+	    continue
+	    ;;
+	  *)
+	    if test "$prev" = dlfiles; then
+	      dlfiles="$dlfiles $arg"
+	    else
+	      dlprefiles="$dlprefiles $arg"
+	    fi
+	    prev=
+	    continue
+	    ;;
+	  esac
+	  ;;
+	expsyms)
+	  export_symbols="$arg"
+	  test -f "$arg" \
+	    || func_fatal_error "symbol file \`$arg' does not exist"
+	  prev=
+	  continue
+	  ;;
+	expsyms_regex)
+	  export_symbols_regex="$arg"
+	  prev=
+	  continue
+	  ;;
+	framework)
+	  case $host in
+	    *-*-darwin*)
+	      case "$deplibs " in
+		*" $qarg.ltframework "*) ;;
+		*) deplibs="$deplibs $qarg.ltframework" # this is fixed later
+		   ;;
+	      esac
+	      ;;
+	  esac
+	  prev=
+	  continue
+	  ;;
+	inst_prefix)
+	  inst_prefix_dir="$arg"
+	  prev=
+	  continue
+	  ;;
+	objectlist)
+	  if test -f "$arg"; then
+	    save_arg=$arg
+	    moreargs=
+	    for fil in `cat "$save_arg"`
+	    do
+#	      moreargs="$moreargs $fil"
+	      arg=$fil
+	      # A libtool-controlled object.
+
+	      # Check to see that this really is a libtool object.
+	      if func_lalib_unsafe_p "$arg"; then
+		pic_object=
+		non_pic_object=
+
+		# Read the .lo file
+		func_source "$arg"
+
+		if test -z "$pic_object" ||
+		   test -z "$non_pic_object" ||
+		   test "$pic_object" = none &&
+		   test "$non_pic_object" = none; then
+		  func_fatal_error "cannot find name of object for \`$arg'"
+		fi
+
+		# Extract subdirectory from the argument.
+		func_dirname "$arg" "/" ""
+		xdir="$func_dirname_result"
+
+		if test "$pic_object" != none; then
+		  # Prepend the subdirectory the object is found in.
+		  pic_object="$xdir$pic_object"
+
+		  if test "$prev" = dlfiles; then
+		    if test "$build_libtool_libs" = yes && test "$dlopen_support" = yes; then
+		      dlfiles="$dlfiles $pic_object"
+		      prev=
+		      continue
+		    else
+		      # If libtool objects are unsupported, then we need to preload.
+		      prev=dlprefiles
+		    fi
+		  fi
+
+		  # CHECK ME:  I think I busted this.  -Ossama
+		  if test "$prev" = dlprefiles; then
+		    # Preload the old-style object.
+		    dlprefiles="$dlprefiles $pic_object"
+		    prev=
+		  fi
+
+		  # A PIC object.
+		  func_append libobjs " $pic_object"
+		  arg="$pic_object"
+		fi
+
+		# Non-PIC object.
+		if test "$non_pic_object" != none; then
+		  # Prepend the subdirectory the object is found in.
+		  non_pic_object="$xdir$non_pic_object"
+
+		  # A standard non-PIC object
+		  func_append non_pic_objects " $non_pic_object"
+		  if test -z "$pic_object" || test "$pic_object" = none ; then
+		    arg="$non_pic_object"
+		  fi
+		else
+		  # If the PIC object exists, use it instead.
+		  # $xdir was prepended to $pic_object above.
+		  non_pic_object="$pic_object"
+		  func_append non_pic_objects " $non_pic_object"
+		fi
+	      else
+		# Only an error if not doing a dry-run.
+		if $opt_dry_run; then
+		  # Extract subdirectory from the argument.
+		  func_dirname "$arg" "/" ""
+		  xdir="$func_dirname_result"
+
+		  func_lo2o "$arg"
+		  pic_object=$xdir$objdir/$func_lo2o_result
+		  non_pic_object=$xdir$func_lo2o_result
+		  func_append libobjs " $pic_object"
+		  func_append non_pic_objects " $non_pic_object"
+	        else
+		  func_fatal_error "\`$arg' is not a valid libtool object"
+		fi
+	      fi
+	    done
+	  else
+	    func_fatal_error "link input file \`$arg' does not exist"
+	  fi
+	  arg=$save_arg
+	  prev=
+	  continue
+	  ;;
+	precious_regex)
+	  precious_files_regex="$arg"
+	  prev=
+	  continue
+	  ;;
+	release)
+	  release="-$arg"
+	  prev=
+	  continue
+	  ;;
+	rpath | xrpath)
+	  # We need an absolute path.
+	  case $arg in
+	  [\\/]* | [A-Za-z]:[\\/]*) ;;
+	  *)
+	    func_fatal_error "only absolute run-paths are allowed"
+	    ;;
+	  esac
+	  if test "$prev" = rpath; then
+	    case "$rpath " in
+	    *" $arg "*) ;;
+	    *) rpath="$rpath $arg" ;;
+	    esac
+	  else
+	    case "$xrpath " in
+	    *" $arg "*) ;;
+	    *) xrpath="$xrpath $arg" ;;
+	    esac
+	  fi
+	  prev=
+	  continue
+	  ;;
+	shrext)
+	  shrext_cmds="$arg"
+	  prev=
+	  continue
+	  ;;
+	weak)
+	  weak_libs="$weak_libs $arg"
+	  prev=
+	  continue
+	  ;;
+	xcclinker)
+	  linker_flags="$linker_flags $qarg"
+	  compiler_flags="$compiler_flags $qarg"
+	  prev=
+	  func_append compile_command " $qarg"
+	  func_append finalize_command " $qarg"
+	  continue
+	  ;;
+	xcompiler)
+	  compiler_flags="$compiler_flags $qarg"
+	  prev=
+	  func_append compile_command " $qarg"
+	  func_append finalize_command " $qarg"
+	  continue
+	  ;;
+	xlinker)
+	  linker_flags="$linker_flags $qarg"
+	  compiler_flags="$compiler_flags $wl$qarg"
+	  prev=
+	  func_append compile_command " $wl$qarg"
+	  func_append finalize_command " $wl$qarg"
+	  continue
+	  ;;
+	*)
+	  eval "$prev=\"\$arg\""
+	  prev=
+	  continue
+	  ;;
+	esac
+      fi # test -n "$prev"
+
+      prevarg="$arg"
+
+      case $arg in
+      -all-static)
+	if test -n "$link_static_flag"; then
+	  # See comment for -static flag below, for more details.
+	  func_append compile_command " $link_static_flag"
+	  func_append finalize_command " $link_static_flag"
+	fi
+	continue
+	;;
+
+      -allow-undefined)
+	# FIXME: remove this flag sometime in the future.
+	func_fatal_error "\`-allow-undefined' must not be used because it is the default"
+	;;
+
+      -avoid-version)
+	avoid_version=yes
+	continue
+	;;
+
+      -dlopen)
+	prev=dlfiles
+	continue
+	;;
+
+      -dlpreopen)
+	prev=dlprefiles
+	continue
+	;;
+
+      -export-dynamic)
+	export_dynamic=yes
+	continue
+	;;
+
+      -export-symbols | -export-symbols-regex)
+	if test -n "$export_symbols" || test -n "$export_symbols_regex"; then
+	  func_fatal_error "more than one -exported-symbols argument is not allowed"
+	fi
+	if test "X$arg" = "X-export-symbols"; then
+	  prev=expsyms
+	else
+	  prev=expsyms_regex
+	fi
+	continue
+	;;
+
+      -framework)
+	prev=framework
+	continue
+	;;
+
+      -inst-prefix-dir)
+	prev=inst_prefix
+	continue
+	;;
+
+      # The native IRIX linker understands -LANG:*, -LIST:* and -LNO:*
+      # so, if we see these flags be careful not to treat them like -L
+      -L[A-Z][A-Z]*:*)
+	case $with_gcc/$host in
+	no/*-*-irix* | /*-*-irix*)
+	  func_append compile_command " $arg"
+	  func_append finalize_command " $arg"
+	  ;;
+	esac
+	continue
+	;;
+
+      -L*)
+	func_stripname '-L' '' "$arg"
+	dir=$func_stripname_result
+	if test -z "$dir"; then
+	  if test "$#" -gt 0; then
+	    func_fatal_error "require no space between \`-L' and \`$1'"
+	  else
+	    func_fatal_error "need path for \`-L' option"
+	  fi
+	fi
+	# We need an absolute path.
+	case $dir in
+	[\\/]* | [A-Za-z]:[\\/]*) ;;
+	*)
+	  absdir=`cd "$dir" && pwd`
+	  test -z "$absdir" && \
+	    func_fatal_error "cannot determine absolute directory name of \`$dir'"
+	  dir="$absdir"
+	  ;;
+	esac
+	case "$deplibs " in
+	*" -L$dir "*) ;;
+	*)
+	  deplibs="$deplibs -L$dir"
+	  lib_search_path="$lib_search_path $dir"
+	  ;;
+	esac
+	case $host in
+	*-*-cygwin* | *-*-mingw* | *-*-pw32* | *-*-os2* | *-cegcc*)
+	  testbindir=`$ECHO "X$dir" | $Xsed -e 's*/lib$*/bin*'`
+	  case :$dllsearchpath: in
+	  *":$dir:"*) ;;
+	  ::) dllsearchpath=$dir;;
+	  *) dllsearchpath="$dllsearchpath:$dir";;
+	  esac
+	  case :$dllsearchpath: in
+	  *":$testbindir:"*) ;;
+	  ::) dllsearchpath=$testbindir;;
+	  *) dllsearchpath="$dllsearchpath:$testbindir";;
+	  esac
+	  ;;
+	esac
+	continue
+	;;
+
+      -l*)
+	if test "X$arg" = "X-lc" || test "X$arg" = "X-lm"; then
+	  case $host in
+	  *-*-cygwin* | *-*-mingw* | *-*-pw32* | *-*-beos* | *-cegcc*)
+	    # These systems don't actually have a C or math library (as such)
+	    continue
+	    ;;
+	  *-*-os2*)
+	    # These systems don't actually have a C library (as such)
+	    test "X$arg" = "X-lc" && continue
+	    ;;
+	  *-*-openbsd* | *-*-freebsd* | *-*-dragonfly*)
+	    # Do not include libc due to us having libc/libc_r.
+	    test "X$arg" = "X-lc" && continue
+	    ;;
+	  *-*-rhapsody* | *-*-darwin1.[012])
+	    # Rhapsody C and math libraries are in the System framework
+	    deplibs="$deplibs System.ltframework"
+	    continue
+	    ;;
+	  *-*-sco3.2v5* | *-*-sco5v6*)
+	    # Causes problems with __ctype
+	    test "X$arg" = "X-lc" && continue
+	    ;;
+	  *-*-sysv4.2uw2* | *-*-sysv5* | *-*-unixware* | *-*-OpenUNIX*)
+	    # Compiler inserts libc in the correct place for threads to work
+	    test "X$arg" = "X-lc" && continue
+	    ;;
+	  esac
+	elif test "X$arg" = "X-lc_r"; then
+	 case $host in
+	 *-*-openbsd* | *-*-freebsd* | *-*-dragonfly*)
+	   # Do not include libc_r directly, use -pthread flag.
+	   continue
+	   ;;
+	 esac
+	fi
+	deplibs="$deplibs $arg"
+	continue
+	;;
+
+      -module)
+	module=yes
+	continue
+	;;
+
+      # Tru64 UNIX uses -model [arg] to determine the layout of C++
+      # classes, name mangling, and exception handling.
+      # Darwin uses the -arch flag to determine output architecture.
+      -model|-arch|-isysroot)
+	compiler_flags="$compiler_flags $arg"
+	func_append compile_command " $arg"
+	func_append finalize_command " $arg"
+	prev=xcompiler
+	continue
+	;;
+
+      -mt|-mthreads|-kthread|-Kthread|-pthread|-pthreads|--thread-safe|-threads)
+	compiler_flags="$compiler_flags $arg"
+	func_append compile_command " $arg"
+	func_append finalize_command " $arg"
+	case "$new_inherited_linker_flags " in
+	    *" $arg "*) ;;
+	    * ) new_inherited_linker_flags="$new_inherited_linker_flags $arg" ;;
+	esac
+	continue
+	;;
+
+      -multi_module)
+	single_module="${wl}-multi_module"
+	continue
+	;;
+
+      -no-fast-install)
+	fast_install=no
+	continue
+	;;
+
+      -no-install)
+	case $host in
+	*-*-cygwin* | *-*-mingw* | *-*-pw32* | *-*-os2* | *-*-darwin* | *-cegcc*)
+	  # The PATH hackery in wrapper scripts is required on Windows
+	  # and Darwin in order for the loader to find any dlls it needs.
+	  func_warning "\`-no-install' is ignored for $host"
+	  func_warning "assuming \`-no-fast-install' instead"
+	  fast_install=no
+	  ;;
+	*) no_install=yes ;;
+	esac
+	continue
+	;;
+
+      -no-undefined)
+	allow_undefined=no
+	continue
+	;;
+
+      -objectlist)
+	prev=objectlist
+	continue
+	;;
+
+      -o) prev=output ;;
+
+      -precious-files-regex)
+	prev=precious_regex
+	continue
+	;;
+
+      -release)
+	prev=release
+	continue
+	;;
+
+      -rpath)
+	prev=rpath
+	continue
+	;;
+
+      -R)
+	prev=xrpath
+	continue
+	;;
+
+      -R*)
+	func_stripname '-R' '' "$arg"
+	dir=$func_stripname_result
+	# We need an absolute path.
+	case $dir in
+	[\\/]* | [A-Za-z]:[\\/]*) ;;
+	*)
+	  func_fatal_error "only absolute run-paths are allowed"
+	  ;;
+	esac
+	case "$xrpath " in
+	*" $dir "*) ;;
+	*) xrpath="$xrpath $dir" ;;
+	esac
+	continue
+	;;
+
+      -shared)
+	# The effects of -shared are defined in a previous loop.
+	continue
+	;;
+
+      -shrext)
+	prev=shrext
+	continue
+	;;
+
+      -static | -static-libtool-libs)
+	# The effects of -static are defined in a previous loop.
+	# We used to do the same as -all-static on platforms that
+	# didn't have a PIC flag, but the assumption that the effects
+	# would be equivalent was wrong.  It would break on at least
+	# Digital Unix and AIX.
+	continue
+	;;
+
+      -thread-safe)
+	thread_safe=yes
+	continue
+	;;
+
+      -version-info)
+	prev=vinfo
+	continue
+	;;
+
+      -version-number)
+	prev=vinfo
+	vinfo_number=yes
+	continue
+	;;
+
+      -weak)
+        prev=weak
+	continue
+	;;
+
+      -Wc,*)
+	func_stripname '-Wc,' '' "$arg"
+	args=$func_stripname_result
+	arg=
+	save_ifs="$IFS"; IFS=','
+	for flag in $args; do
+	  IFS="$save_ifs"
+          func_quote_for_eval "$flag"
+	  arg="$arg $wl$func_quote_for_eval_result"
+	  compiler_flags="$compiler_flags $func_quote_for_eval_result"
+	done
+	IFS="$save_ifs"
+	func_stripname ' ' '' "$arg"
+	arg=$func_stripname_result
+	;;
+
+      -Wl,*)
+	func_stripname '-Wl,' '' "$arg"
+	args=$func_stripname_result
+	arg=
+	save_ifs="$IFS"; IFS=','
+	for flag in $args; do
+	  IFS="$save_ifs"
+          func_quote_for_eval "$flag"
+	  arg="$arg $wl$func_quote_for_eval_result"
+	  compiler_flags="$compiler_flags $wl$func_quote_for_eval_result"
+	  linker_flags="$linker_flags $func_quote_for_eval_result"
+	done
+	IFS="$save_ifs"
+	func_stripname ' ' '' "$arg"
+	arg=$func_stripname_result
+	;;
+
+      -Xcompiler)
+	prev=xcompiler
+	continue
+	;;
+
+      -Xlinker)
+	prev=xlinker
+	continue
+	;;
+
+      -XCClinker)
+	prev=xcclinker
+	continue
+	;;
+
+      # -msg_* for osf cc
+      -msg_*)
+	func_quote_for_eval "$arg"
+	arg="$func_quote_for_eval_result"
+	;;
+
+      # -64, -mips[0-9] enable 64-bit mode on the SGI compiler
+      # -r[0-9][0-9]* specifies the processor on the SGI compiler
+      # -xarch=*, -xtarget=* enable 64-bit mode on the Sun compiler
+      # +DA*, +DD* enable 64-bit mode on the HP compiler
+      # -q* pass through compiler args for the IBM compiler
+      # -m*, -t[45]*, -txscale* pass through architecture-specific
+      # compiler args for GCC
+      # -F/path gives path to uninstalled frameworks, gcc on darwin
+      # -p, -pg, --coverage, -fprofile-* pass through profiling flag for GCC
+      # @file GCC response files
+      -64|-mips[0-9]|-r[0-9][0-9]*|-xarch=*|-xtarget=*|+DA*|+DD*|-q*|-m*| \
+      -t[45]*|-txscale*|-p|-pg|--coverage|-fprofile-*|-F*|@*)
+        func_quote_for_eval "$arg"
+	arg="$func_quote_for_eval_result"
+        func_append compile_command " $arg"
+        func_append finalize_command " $arg"
+        compiler_flags="$compiler_flags $arg"
+        continue
+        ;;
+
+      # Some other compiler flag.
+      -* | +*)
+        func_quote_for_eval "$arg"
+	arg="$func_quote_for_eval_result"
+	;;
+
+      *.$objext)
+	# A standard object.
+	objs="$objs $arg"
+	;;
+
+      *.lo)
+	# A libtool-controlled object.
+
+	# Check to see that this really is a libtool object.
+	if func_lalib_unsafe_p "$arg"; then
+	  pic_object=
+	  non_pic_object=
+
+	  # Read the .lo file
+	  func_source "$arg"
+
+	  if test -z "$pic_object" ||
+	     test -z "$non_pic_object" ||
+	     test "$pic_object" = none &&
+	     test "$non_pic_object" = none; then
+	    func_fatal_error "cannot find name of object for \`$arg'"
+	  fi
+
+	  # Extract subdirectory from the argument.
+	  func_dirname "$arg" "/" ""
+	  xdir="$func_dirname_result"
+
+	  if test "$pic_object" != none; then
+	    # Prepend the subdirectory the object is found in.
+	    pic_object="$xdir$pic_object"
+
+	    if test "$prev" = dlfiles; then
+	      if test "$build_libtool_libs" = yes && test "$dlopen_support" = yes; then
+		dlfiles="$dlfiles $pic_object"
+		prev=
+		continue
+	      else
+		# If libtool objects are unsupported, then we need to preload.
+		prev=dlprefiles
+	      fi
+	    fi
+
+	    # CHECK ME:  I think I busted this.  -Ossama
+	    if test "$prev" = dlprefiles; then
+	      # Preload the old-style object.
+	      dlprefiles="$dlprefiles $pic_object"
+	      prev=
+	    fi
+
+	    # A PIC object.
+	    func_append libobjs " $pic_object"
+	    arg="$pic_object"
+	  fi
+
+	  # Non-PIC object.
+	  if test "$non_pic_object" != none; then
+	    # Prepend the subdirectory the object is found in.
+	    non_pic_object="$xdir$non_pic_object"
+
+	    # A standard non-PIC object
+	    func_append non_pic_objects " $non_pic_object"
+	    if test -z "$pic_object" || test "$pic_object" = none ; then
+	      arg="$non_pic_object"
+	    fi
+	  else
+	    # If the PIC object exists, use it instead.
+	    # $xdir was prepended to $pic_object above.
+	    non_pic_object="$pic_object"
+	    func_append non_pic_objects " $non_pic_object"
+	  fi
+	else
+	  # Only an error if not doing a dry-run.
+	  if $opt_dry_run; then
+	    # Extract subdirectory from the argument.
+	    func_dirname "$arg" "/" ""
+	    xdir="$func_dirname_result"
+
+	    func_lo2o "$arg"
+	    pic_object=$xdir$objdir/$func_lo2o_result
+	    non_pic_object=$xdir$func_lo2o_result
+	    func_append libobjs " $pic_object"
+	    func_append non_pic_objects " $non_pic_object"
+	  else
+	    func_fatal_error "\`$arg' is not a valid libtool object"
+	  fi
+	fi
+	;;
+
+      *.$libext)
+	# An archive.
+	deplibs="$deplibs $arg"
+	old_deplibs="$old_deplibs $arg"
+	continue
+	;;
+
+      *.la)
+	# A libtool-controlled library.
+
+	if test "$prev" = dlfiles; then
+	  # This library was specified with -dlopen.
+	  dlfiles="$dlfiles $arg"
+	  prev=
+	elif test "$prev" = dlprefiles; then
+	  # The library was specified with -dlpreopen.
+	  dlprefiles="$dlprefiles $arg"
+	  prev=
+	else
+	  deplibs="$deplibs $arg"
+	fi
+	continue
+	;;
+
+      # Some other compiler argument.
+      *)
+	# Unknown arguments in both finalize_command and compile_command need
+	# to be aesthetically quoted because they are evaled later.
+	func_quote_for_eval "$arg"
+	arg="$func_quote_for_eval_result"
+	;;
+      esac # arg
+
+      # Now actually substitute the argument into the commands.
+      if test -n "$arg"; then
+	func_append compile_command " $arg"
+	func_append finalize_command " $arg"
+      fi
+    done # argument parsing loop
+
+    test -n "$prev" && \
+      func_fatal_help "the \`$prevarg' option requires an argument"
+
+    if test "$export_dynamic" = yes && test -n "$export_dynamic_flag_spec"; then
+      eval arg=\"$export_dynamic_flag_spec\"
+      func_append compile_command " $arg"
+      func_append finalize_command " $arg"
+    fi
+
+    oldlibs=
+    # calculate the name of the file, without its directory
+    func_basename "$output"
+    outputname="$func_basename_result"
+    libobjs_save="$libobjs"
+
+    if test -n "$shlibpath_var"; then
+      # get the directories listed in $shlibpath_var
+      eval shlib_search_path=\`\$ECHO \"X\${$shlibpath_var}\" \| \$Xsed -e \'s/:/ /g\'\`
+    else
+      shlib_search_path=
+    fi
+    eval sys_lib_search_path=\"$sys_lib_search_path_spec\"
+    eval sys_lib_dlsearch_path=\"$sys_lib_dlsearch_path_spec\"
+
+    func_dirname "$output" "/" ""
+    output_objdir="$func_dirname_result$objdir"
+    # Create the object directory.
+    func_mkdir_p "$output_objdir"
+
+    # Determine the type of output
+    case $output in
+    "")
+      func_fatal_help "you must specify an output file"
+      ;;
+    *.$libext) linkmode=oldlib ;;
+    *.lo | *.$objext) linkmode=obj ;;
+    *.la) linkmode=lib ;;
+    *) linkmode=prog ;; # Anything else should be a program.
+    esac
+
+    specialdeplibs=
+
+    libs=
+    # Find all interdependent deplibs by searching for libraries
+    # that are linked more than once (e.g. -la -lb -la)
+    for deplib in $deplibs; do
+      if $opt_duplicate_deps ; then
+	case "$libs " in
+	*" $deplib "*) specialdeplibs="$specialdeplibs $deplib" ;;
+	esac
+      fi
+      libs="$libs $deplib"
+    done
+
+    if test "$linkmode" = lib; then
+      libs="$predeps $libs $compiler_lib_search_path $postdeps"
+
+      # Compute libraries that are listed more than once in $predeps
+      # $postdeps and mark them as special (i.e., whose duplicates are
+      # not to be eliminated).
+      pre_post_deps=
+      if $opt_duplicate_compiler_generated_deps; then
+	for pre_post_dep in $predeps $postdeps; do
+	  case "$pre_post_deps " in
+	  *" $pre_post_dep "*) specialdeplibs="$specialdeplibs $pre_post_deps" ;;
+	  esac
+	  pre_post_deps="$pre_post_deps $pre_post_dep"
+	done
+      fi
+      pre_post_deps=
+    fi
+
+    deplibs=
+    newdependency_libs=
+    newlib_search_path=
+    need_relink=no # whether we're linking any uninstalled libtool libraries
+    notinst_deplibs= # not-installed libtool libraries
+    notinst_path= # paths that contain not-installed libtool libraries
+
+    case $linkmode in
+    lib)
+	passes="conv dlpreopen link"
+	for file in $dlfiles $dlprefiles; do
+	  case $file in
+	  *.la) ;;
+	  *)
+	    func_fatal_help "libraries can \`-dlopen' only libtool libraries: $file"
+	    ;;
+	  esac
+	done
+	;;
+    prog)
+	compile_deplibs=
+	finalize_deplibs=
+	alldeplibs=no
+	newdlfiles=
+	newdlprefiles=
+	passes="conv scan dlopen dlpreopen link"
+	;;
+    *)  passes="conv"
+	;;
+    esac
+
+    for pass in $passes; do
+      # The preopen pass in lib mode reverses $deplibs; put it back here
+      # so that -L comes before libs that need it for instance...
+      if test "$linkmode,$pass" = "lib,link"; then
+	## FIXME: Find the place where the list is rebuilt in the wrong
+	##        order, and fix it there properly
+        tmp_deplibs=
+	for deplib in $deplibs; do
+	  tmp_deplibs="$deplib $tmp_deplibs"
+	done
+	deplibs="$tmp_deplibs"
+      fi
+
+      if test "$linkmode,$pass" = "lib,link" ||
+	 test "$linkmode,$pass" = "prog,scan"; then
+	libs="$deplibs"
+	deplibs=
+      fi
+      if test "$linkmode" = prog; then
+	case $pass in
+	dlopen) libs="$dlfiles" ;;
+	dlpreopen) libs="$dlprefiles" ;;
+	link) libs="$deplibs %DEPLIBS% $dependency_libs" ;;
+	esac
+      fi
+      if test "$linkmode,$pass" = "lib,dlpreopen"; then
+	# Collect and forward deplibs of preopened libtool libs
+	for lib in $dlprefiles; do
+	  # Ignore non-libtool-libs
+	  dependency_libs=
+	  case $lib in
+	  *.la)	func_source "$lib" ;;
+	  esac
+
+	  # Collect preopened libtool deplibs, except any this library
+	  # has declared as weak libs
+	  for deplib in $dependency_libs; do
+            deplib_base=`$ECHO "X$deplib" | $Xsed -e "$basename"`
+	    case " $weak_libs " in
+	    *" $deplib_base "*) ;;
+	    *) deplibs="$deplibs $deplib" ;;
+	    esac
+	  done
+	done
+	libs="$dlprefiles"
+      fi
+      if test "$pass" = dlopen; then
+	# Collect dlpreopened libraries
+	save_deplibs="$deplibs"
+	deplibs=
+      fi
+
+      for deplib in $libs; do
+	lib=
+	found=no
+	case $deplib in
+	-mt|-mthreads|-kthread|-Kthread|-pthread|-pthreads|--thread-safe|-threads)
+	  if test "$linkmode,$pass" = "prog,link"; then
+	    compile_deplibs="$deplib $compile_deplibs"
+	    finalize_deplibs="$deplib $finalize_deplibs"
+	  else
+	    compiler_flags="$compiler_flags $deplib"
+	    if test "$linkmode" = lib ; then
+		case "$new_inherited_linker_flags " in
+		    *" $deplib "*) ;;
+		    * ) new_inherited_linker_flags="$new_inherited_linker_flags $deplib" ;;
+		esac
+	    fi
+	  fi
+	  continue
+	  ;;
+	-l*)
+	  if test "$linkmode" != lib && test "$linkmode" != prog; then
+	    func_warning "\`-l' is ignored for archives/objects"
+	    continue
+	  fi
+	  func_stripname '-l' '' "$deplib"
+	  name=$func_stripname_result
+	  if test "$linkmode" = lib; then
+	    searchdirs="$newlib_search_path $lib_search_path $compiler_lib_search_dirs $sys_lib_search_path $shlib_search_path"
+	  else
+	    searchdirs="$newlib_search_path $lib_search_path $sys_lib_search_path $shlib_search_path"
+	  fi
+	  for searchdir in $searchdirs; do
+	    for search_ext in .la $std_shrext .so .a; do
+	      # Search the libtool library
+	      lib="$searchdir/lib${name}${search_ext}"
+	      if test -f "$lib"; then
+		if test "$search_ext" = ".la"; then
+		  found=yes
+		else
+		  found=no
+		fi
+		break 2
+	      fi
+	    done
+	  done
+	  if test "$found" != yes; then
+	    # deplib doesn't seem to be a libtool library
+	    if test "$linkmode,$pass" = "prog,link"; then
+	      compile_deplibs="$deplib $compile_deplibs"
+	      finalize_deplibs="$deplib $finalize_deplibs"
+	    else
+	      deplibs="$deplib $deplibs"
+	      test "$linkmode" = lib && newdependency_libs="$deplib $newdependency_libs"
+	    fi
+	    continue
+	  else # deplib is a libtool library
+	    # If $allow_libtool_libs_with_static_runtimes && $deplib is a stdlib,
+	    # We need to do some special things here, and not later.
+	    if test "X$allow_libtool_libs_with_static_runtimes" = "Xyes" ; then
+	      case " $predeps $postdeps " in
+	      *" $deplib "*)
+		if func_lalib_p "$lib"; then
+		  library_names=
+		  old_library=
+		  func_source "$lib"
+		  for l in $old_library $library_names; do
+		    ll="$l"
+		  done
+		  if test "X$ll" = "X$old_library" ; then # only static version available
+		    found=no
+		    func_dirname "$lib" "" "."
+		    ladir="$func_dirname_result"
+		    lib=$ladir/$old_library
+		    if test "$linkmode,$pass" = "prog,link"; then
+		      compile_deplibs="$deplib $compile_deplibs"
+		      finalize_deplibs="$deplib $finalize_deplibs"
+		    else
+		      deplibs="$deplib $deplibs"
+		      test "$linkmode" = lib && newdependency_libs="$deplib $newdependency_libs"
+		    fi
+		    continue
+		  fi
+		fi
+		;;
+	      *) ;;
+	      esac
+	    fi
+	  fi
+	  ;; # -l
+	*.ltframework)
+	  if test "$linkmode,$pass" = "prog,link"; then
+	    compile_deplibs="$deplib $compile_deplibs"
+	    finalize_deplibs="$deplib $finalize_deplibs"
+	  else
+	    deplibs="$deplib $deplibs"
+	    if test "$linkmode" = lib ; then
+		case "$new_inherited_linker_flags " in
+		    *" $deplib "*) ;;
+		    * ) new_inherited_linker_flags="$new_inherited_linker_flags $deplib" ;;
+		esac
+	    fi
+	  fi
+	  continue
+	  ;;
+	-L*)
+	  case $linkmode in
+	  lib)
+	    deplibs="$deplib $deplibs"
+	    test "$pass" = conv && continue
+	    newdependency_libs="$deplib $newdependency_libs"
+	    func_stripname '-L' '' "$deplib"
+	    newlib_search_path="$newlib_search_path $func_stripname_result"
+	    ;;
+	  prog)
+	    if test "$pass" = conv; then
+	      deplibs="$deplib $deplibs"
+	      continue
+	    fi
+	    if test "$pass" = scan; then
+	      deplibs="$deplib $deplibs"
+	    else
+	      compile_deplibs="$deplib $compile_deplibs"
+	      finalize_deplibs="$deplib $finalize_deplibs"
+	    fi
+	    func_stripname '-L' '' "$deplib"
+	    newlib_search_path="$newlib_search_path $func_stripname_result"
+	    ;;
+	  *)
+	    func_warning "\`-L' is ignored for archives/objects"
+	    ;;
+	  esac # linkmode
+	  continue
+	  ;; # -L
+	-R*)
+	  if test "$pass" = link; then
+	    func_stripname '-R' '' "$deplib"
+	    dir=$func_stripname_result
+	    # Make sure the xrpath contains only unique directories.
+	    case "$xrpath " in
+	    *" $dir "*) ;;
+	    *) xrpath="$xrpath $dir" ;;
+	    esac
+	  fi
+	  deplibs="$deplib $deplibs"
+	  continue
+	  ;;
+	*.la) lib="$deplib" ;;
+	*.$libext)
+	  if test "$pass" = conv; then
+	    deplibs="$deplib $deplibs"
+	    continue
+	  fi
+	  case $linkmode in
+	  lib)
+	    # Linking convenience modules into shared libraries is allowed,
+	    # but linking other static libraries is non-portable.
+	    case " $dlpreconveniencelibs " in
+	    *" $deplib "*) ;;
+	    *)
+	      valid_a_lib=no
+	      case $deplibs_check_method in
+		match_pattern*)
+		  set dummy $deplibs_check_method; shift
+		  match_pattern_regex=`expr "$deplibs_check_method" : "$1 \(.*\)"`
+		  if eval "\$ECHO \"X$deplib\"" 2>/dev/null | $Xsed -e 10q \
+		    | $EGREP "$match_pattern_regex" > /dev/null; then
+		    valid_a_lib=yes
+		  fi
+		;;
+		pass_all)
+		  valid_a_lib=yes
+		;;
+	      esac
+	      if test "$valid_a_lib" != yes; then
+		$ECHO
+		$ECHO "*** Warning: Trying to link with static lib archive $deplib."
+		$ECHO "*** I have the capability to make that library automatically link in when"
+		$ECHO "*** you link to this library.  But I can only do this if you have a"
+		$ECHO "*** shared version of the library, which you do not appear to have"
+		$ECHO "*** because the file extensions .$libext of this argument makes me believe"
+		$ECHO "*** that it is just a static archive that I should not use here."
+	      else
+		$ECHO
+		$ECHO "*** Warning: Linking the shared library $output against the"
+		$ECHO "*** static library $deplib is not portable!"
+		deplibs="$deplib $deplibs"
+	      fi
+	      ;;
+	    esac
+	    continue
+	    ;;
+	  prog)
+	    if test "$pass" != link; then
+	      deplibs="$deplib $deplibs"
+	    else
+	      compile_deplibs="$deplib $compile_deplibs"
+	      finalize_deplibs="$deplib $finalize_deplibs"
+	    fi
+	    continue
+	    ;;
+	  esac # linkmode
+	  ;; # *.$libext
+	*.lo | *.$objext)
+	  if test "$pass" = conv; then
+	    deplibs="$deplib $deplibs"
+	  elif test "$linkmode" = prog; then
+	    if test "$pass" = dlpreopen || test "$dlopen_support" != yes || test "$build_libtool_libs" = no; then
+	      # If there is no dlopen support or we're linking statically,
+	      # we need to preload.
+	      newdlprefiles="$newdlprefiles $deplib"
+	      compile_deplibs="$deplib $compile_deplibs"
+	      finalize_deplibs="$deplib $finalize_deplibs"
+	    else
+	      newdlfiles="$newdlfiles $deplib"
+	    fi
+	  fi
+	  continue
+	  ;;
+	%DEPLIBS%)
+	  alldeplibs=yes
+	  continue
+	  ;;
+	esac # case $deplib
+
+	if test "$found" = yes || test -f "$lib"; then :
+	else
+	  func_fatal_error "cannot find the library \`$lib' or unhandled argument \`$deplib'"
+	fi
+
+	# Check to see that this really is a libtool archive.
+	func_lalib_unsafe_p "$lib" \
+	  || func_fatal_error "\`$lib' is not a valid libtool archive"
+
+	func_dirname "$lib" "" "."
+	ladir="$func_dirname_result"
+
+	dlname=
+	dlopen=
+	dlpreopen=
+	libdir=
+	library_names=
+	old_library=
+	inherited_linker_flags=
+	# If the library was installed with an old release of libtool,
+	# it will not redefine variables installed, or shouldnotlink
+	installed=yes
+	shouldnotlink=no
+	avoidtemprpath=
+
+
+	# Read the .la file
+	func_source "$lib"
+
+	# Convert "-framework foo" to "foo.ltframework"
+	if test -n "$inherited_linker_flags"; then
+	  tmp_inherited_linker_flags=`$ECHO "X$inherited_linker_flags" | $Xsed -e 's/-framework \([^ $]*\)/\1.ltframework/g'`
+	  for tmp_inherited_linker_flag in $tmp_inherited_linker_flags; do
+	    case " $new_inherited_linker_flags " in
+	      *" $tmp_inherited_linker_flag "*) ;;
+	      *) new_inherited_linker_flags="$new_inherited_linker_flags $tmp_inherited_linker_flag";;
+	    esac
+	  done
+	fi
+	dependency_libs=`$ECHO "X $dependency_libs" | $Xsed -e 's% \([^ $]*\).ltframework% -framework \1%g'`
+	if test "$linkmode,$pass" = "lib,link" ||
+	   test "$linkmode,$pass" = "prog,scan" ||
+	   { test "$linkmode" != prog && test "$linkmode" != lib; }; then
+	  test -n "$dlopen" && dlfiles="$dlfiles $dlopen"
+	  test -n "$dlpreopen" && dlprefiles="$dlprefiles $dlpreopen"
+	fi
+
+	if test "$pass" = conv; then
+	  # Only check for convenience libraries
+	  deplibs="$lib $deplibs"
+	  if test -z "$libdir"; then
+	    if test -z "$old_library"; then
+	      func_fatal_error "cannot find name of link library for \`$lib'"
+	    fi
+	    # It is a libtool convenience library, so add in its objects.
+	    convenience="$convenience $ladir/$objdir/$old_library"
+	    old_convenience="$old_convenience $ladir/$objdir/$old_library"
+	  elif test "$linkmode" != prog && test "$linkmode" != lib; then
+	    func_fatal_error "\`$lib' is not a convenience library"
+	  fi
+	  tmp_libs=
+	  for deplib in $dependency_libs; do
+	    deplibs="$deplib $deplibs"
+	    if $opt_duplicate_deps ; then
+	      case "$tmp_libs " in
+	      *" $deplib "*) specialdeplibs="$specialdeplibs $deplib" ;;
+	      esac
+	    fi
+	    tmp_libs="$tmp_libs $deplib"
+	  done
+	  continue
+	fi # $pass = conv
+
+
+	# Get the name of the library we link against.
+	linklib=
+	for l in $old_library $library_names; do
+	  linklib="$l"
+	done
+	if test -z "$linklib"; then
+	  func_fatal_error "cannot find name of link library for \`$lib'"
+	fi
+
+	# This library was specified with -dlopen.
+	if test "$pass" = dlopen; then
+	  if test -z "$libdir"; then
+	    func_fatal_error "cannot -dlopen a convenience library: \`$lib'"
+	  fi
+	  if test -z "$dlname" ||
+	     test "$dlopen_support" != yes ||
+	     test "$build_libtool_libs" = no; then
+	    # If there is no dlname, no dlopen support or we're linking
+	    # statically, we need to preload.  We also need to preload any
+	    # dependent libraries so libltdl's deplib preloader doesn't
+	    # bomb out in the load deplibs phase.
+	    dlprefiles="$dlprefiles $lib $dependency_libs"
+	  else
+	    newdlfiles="$newdlfiles $lib"
+	  fi
+	  continue
+	fi # $pass = dlopen
+
+	# We need an absolute path.
+	case $ladir in
+	[\\/]* | [A-Za-z]:[\\/]*) abs_ladir="$ladir" ;;
+	*)
+	  abs_ladir=`cd "$ladir" && pwd`
+	  if test -z "$abs_ladir"; then
+	    func_warning "cannot determine absolute directory name of \`$ladir'"
+	    func_warning "passing it literally to the linker, although it might fail"
+	    abs_ladir="$ladir"
+	  fi
+	  ;;
+	esac
+	func_basename "$lib"
+	laname="$func_basename_result"
+
+	# Find the relevant object directory and library name.
+	if test "X$installed" = Xyes; then
+	  if test ! -f "$libdir/$linklib" && test -f "$abs_ladir/$linklib"; then
+	    func_warning "library \`$lib' was moved."
+	    dir="$ladir"
+	    absdir="$abs_ladir"
+	    libdir="$abs_ladir"
+	  else
+	    dir="$libdir"
+	    absdir="$libdir"
+	  fi
+	  test "X$hardcode_automatic" = Xyes && avoidtemprpath=yes
+	else
+	  if test ! -f "$ladir/$objdir/$linklib" && test -f "$abs_ladir/$linklib"; then
+	    dir="$ladir"
+	    absdir="$abs_ladir"
+	    # Remove this search path later
+	    notinst_path="$notinst_path $abs_ladir"
+	  else
+	    dir="$ladir/$objdir"
+	    absdir="$abs_ladir/$objdir"
+	    # Remove this search path later
+	    notinst_path="$notinst_path $abs_ladir"
+	  fi
+	fi # $installed = yes
+	func_stripname 'lib' '.la' "$laname"
+	name=$func_stripname_result
+
+	# This library was specified with -dlpreopen.
+	if test "$pass" = dlpreopen; then
+	  if test -z "$libdir" && test "$linkmode" = prog; then
+	    func_fatal_error "only libraries may -dlpreopen a convenience library: \`$lib'"
+	  fi
+	  # Prefer using a static library (so that no silly _DYNAMIC symbols
+	  # are required to link).
+	  if test -n "$old_library"; then
+	    newdlprefiles="$newdlprefiles $dir/$old_library"
+	    # Keep a list of preopened convenience libraries to check
+	    # that they are being used correctly in the link pass.
+	    test -z "$libdir" && \
+		dlpreconveniencelibs="$dlpreconveniencelibs $dir/$old_library"
+	  # Otherwise, use the dlname, so that lt_dlopen finds it.
+	  elif test -n "$dlname"; then
+	    newdlprefiles="$newdlprefiles $dir/$dlname"
+	  else
+	    newdlprefiles="$newdlprefiles $dir/$linklib"
+	  fi
+	fi # $pass = dlpreopen
+
+	if test -z "$libdir"; then
+	  # Link the convenience library
+	  if test "$linkmode" = lib; then
+	    deplibs="$dir/$old_library $deplibs"
+	  elif test "$linkmode,$pass" = "prog,link"; then
+	    compile_deplibs="$dir/$old_library $compile_deplibs"
+	    finalize_deplibs="$dir/$old_library $finalize_deplibs"
+	  else
+	    deplibs="$lib $deplibs" # used for prog,scan pass
+	  fi
+	  continue
+	fi
+
+
+	if test "$linkmode" = prog && test "$pass" != link; then
+	  newlib_search_path="$newlib_search_path $ladir"
+	  deplibs="$lib $deplibs"
+
+	  linkalldeplibs=no
+	  if test "$link_all_deplibs" != no || test -z "$library_names" ||
+	     test "$build_libtool_libs" = no; then
+	    linkalldeplibs=yes
+	  fi
+
+	  tmp_libs=
+	  for deplib in $dependency_libs; do
+	    case $deplib in
+	    -L*) func_stripname '-L' '' "$deplib"
+	         newlib_search_path="$newlib_search_path $func_stripname_result"
+		 ;;
+	    esac
+	    # Need to link against all dependency_libs?
+	    if test "$linkalldeplibs" = yes; then
+	      deplibs="$deplib $deplibs"
+	    else
+	      # Need to hardcode shared library paths
+	      # or/and link against static libraries
+	      newdependency_libs="$deplib $newdependency_libs"
+	    fi
+	    if $opt_duplicate_deps ; then
+	      case "$tmp_libs " in
+	      *" $deplib "*) specialdeplibs="$specialdeplibs $deplib" ;;
+	      esac
+	    fi
+	    tmp_libs="$tmp_libs $deplib"
+	  done # for deplib
+	  continue
+	fi # $linkmode = prog...
+
+	if test "$linkmode,$pass" = "prog,link"; then
+	  if test -n "$library_names" &&
+	     { { test "$prefer_static_libs" = no ||
+	         test "$prefer_static_libs,$installed" = "built,yes"; } ||
+	       test -z "$old_library"; }; then
+	    # We need to hardcode the library path
+	    if test -n "$shlibpath_var" && test -z "$avoidtemprpath" ; then
+	      # Make sure the rpath contains only unique directories.
+	      case "$temp_rpath:" in
+	      *"$absdir:"*) ;;
+	      *) temp_rpath="$temp_rpath$absdir:" ;;
+	      esac
+	    fi
+
+	    # Hardcode the library path.
+	    # Skip directories that are in the system default run-time
+	    # search path.
+	    case " $sys_lib_dlsearch_path " in
+	    *" $absdir "*) ;;
+	    *)
+	      case "$compile_rpath " in
+	      *" $absdir "*) ;;
+	      *) compile_rpath="$compile_rpath $absdir"
+	      esac
+	      ;;
+	    esac
+	    case " $sys_lib_dlsearch_path " in
+	    *" $libdir "*) ;;
+	    *)
+	      case "$finalize_rpath " in
+	      *" $libdir "*) ;;
+	      *) finalize_rpath="$finalize_rpath $libdir"
+	      esac
+	      ;;
+	    esac
+	  fi # $linkmode,$pass = prog,link...
+
+	  if test "$alldeplibs" = yes &&
+	     { test "$deplibs_check_method" = pass_all ||
+	       { test "$build_libtool_libs" = yes &&
+		 test -n "$library_names"; }; }; then
+	    # We only need to search for static libraries
+	    continue
+	  fi
+	fi
+
+	link_static=no # Whether the deplib will be linked statically
+	use_static_libs=$prefer_static_libs
+	if test "$use_static_libs" = built && test "$installed" = yes; then
+	  use_static_libs=no
+	fi
+	if test -n "$library_names" &&
+	   { test "$use_static_libs" = no || test -z "$old_library"; }; then
+	  case $host in
+	  *cygwin* | *mingw* | *cegcc*)
+	      # No point in relinking DLLs because paths are not encoded
+	      notinst_deplibs="$notinst_deplibs $lib"
+	      need_relink=no
+	    ;;
+	  *)
+	    if test "$installed" = no; then
+	      notinst_deplibs="$notinst_deplibs $lib"
+	      need_relink=yes
+	    fi
+	    ;;
+	  esac
+	  # This is a shared library
+
+	  # Warn about portability, can't link against -module's on some
+	  # systems (darwin).  Don't bleat about dlopened modules though!
+	  dlopenmodule=""
+	  for dlpremoduletest in $dlprefiles; do
+	    if test "X$dlpremoduletest" = "X$lib"; then
+	      dlopenmodule="$dlpremoduletest"
+	      break
+	    fi
+	  done
+	  if test -z "$dlopenmodule" && test "$shouldnotlink" = yes && test "$pass" = link; then
+	    $ECHO
+	    if test "$linkmode" = prog; then
+	      $ECHO "*** Warning: Linking the executable $output against the loadable module"
+	    else
+	      $ECHO "*** Warning: Linking the shared library $output against the loadable module"
+	    fi
+	    $ECHO "*** $linklib is not portable!"
+	  fi
+	  if test "$linkmode" = lib &&
+	     test "$hardcode_into_libs" = yes; then
+	    # Hardcode the library path.
+	    # Skip directories that are in the system default run-time
+	    # search path.
+	    case " $sys_lib_dlsearch_path " in
+	    *" $absdir "*) ;;
+	    *)
+	      case "$compile_rpath " in
+	      *" $absdir "*) ;;
+	      *) compile_rpath="$compile_rpath $absdir"
+	      esac
+	      ;;
+	    esac
+	    case " $sys_lib_dlsearch_path " in
+	    *" $libdir "*) ;;
+	    *)
+	      case "$finalize_rpath " in
+	      *" $libdir "*) ;;
+	      *) finalize_rpath="$finalize_rpath $libdir"
+	      esac
+	      ;;
+	    esac
+	  fi
+
+	  if test -n "$old_archive_from_expsyms_cmds"; then
+	    # figure out the soname
+	    set dummy $library_names
+	    shift
+	    realname="$1"
+	    shift
+	    libname=`eval "\\$ECHO \"$libname_spec\""`
+	    # use dlname if we got it. it's perfectly good, no?
+	    if test -n "$dlname"; then
+	      soname="$dlname"
+	    elif test -n "$soname_spec"; then
+	      # bleh windows
+	      case $host in
+	      *cygwin* | mingw* | *cegcc*)
+	        func_arith $current - $age
+		major=$func_arith_result
+		versuffix="-$major"
+		;;
+	      esac
+	      eval soname=\"$soname_spec\"
+	    else
+	      soname="$realname"
+	    fi
+
+	    # Make a new name for the extract_expsyms_cmds to use
+	    soroot="$soname"
+	    func_basename "$soroot"
+	    soname="$func_basename_result"
+	    func_stripname 'lib' '.dll' "$soname"
+	    newlib=libimp-$func_stripname_result.a
+
+	    # If the library has no export list, then create one now
+	    if test -f "$output_objdir/$soname-def"; then :
+	    else
+	      func_verbose "extracting exported symbol list from \`$soname'"
+	      func_execute_cmds "$extract_expsyms_cmds" 'exit $?'
+	    fi
+
+	    # Create $newlib
+	    if test -f "$output_objdir/$newlib"; then :; else
+	      func_verbose "generating import library for \`$soname'"
+	      func_execute_cmds "$old_archive_from_expsyms_cmds" 'exit $?'
+	    fi
+	    # make sure the library variables are pointing to the new library
+	    dir=$output_objdir
+	    linklib=$newlib
+	  fi # test -n "$old_archive_from_expsyms_cmds"
+
+	  if test "$linkmode" = prog || test "$mode" != relink; then
+	    add_shlibpath=
+	    add_dir=
+	    add=
+	    lib_linked=yes
+	    case $hardcode_action in
+	    immediate | unsupported)
+	      if test "$hardcode_direct" = no; then
+		add="$dir/$linklib"
+		case $host in
+		  *-*-sco3.2v5.0.[024]*) add_dir="-L$dir" ;;
+		  *-*-sysv4*uw2*) add_dir="-L$dir" ;;
+		  *-*-sysv5OpenUNIX* | *-*-sysv5UnixWare7.[01].[10]* | \
+		    *-*-unixware7*) add_dir="-L$dir" ;;
+		  *-*-darwin* )
+		    # if the lib is a (non-dlopened) module then we can not
+		    # link against it, someone is ignoring the earlier warnings
+		    if /usr/bin/file -L $add 2> /dev/null |
+			 $GREP ": [^:]* bundle" >/dev/null ; then
+		      if test "X$dlopenmodule" != "X$lib"; then
+			$ECHO "*** Warning: lib $linklib is a module, not a shared library"
+			if test -z "$old_library" ; then
+			  $ECHO
+			  $ECHO "*** And there doesn't seem to be a static archive available"
+			  $ECHO "*** The link will probably fail, sorry"
+			else
+			  add="$dir/$old_library"
+			fi
+		      elif test -n "$old_library"; then
+			add="$dir/$old_library"
+		      fi
+		    fi
+		esac
+	      elif test "$hardcode_minus_L" = no; then
+		case $host in
+		*-*-sunos*) add_shlibpath="$dir" ;;
+		esac
+		add_dir="-L$dir"
+		add="-l$name"
+	      elif test "$hardcode_shlibpath_var" = no; then
+		add_shlibpath="$dir"
+		add="-l$name"
+	      else
+		lib_linked=no
+	      fi
+	      ;;
+	    relink)
+	      if test "$hardcode_direct" = yes &&
+	         test "$hardcode_direct_absolute" = no; then
+		add="$dir/$linklib"
+	      elif test "$hardcode_minus_L" = yes; then
+		add_dir="-L$dir"
+		# Try looking first in the location we're being installed to.
+		if test -n "$inst_prefix_dir"; then
+		  case $libdir in
+		    [\\/]*)
+		      add_dir="$add_dir -L$inst_prefix_dir$libdir"
+		      ;;
+		  esac
+		fi
+		add="-l$name"
+	      elif test "$hardcode_shlibpath_var" = yes; then
+		add_shlibpath="$dir"
+		add="-l$name"
+	      else
+		lib_linked=no
+	      fi
+	      ;;
+	    *) lib_linked=no ;;
+	    esac
+
+	    if test "$lib_linked" != yes; then
+	      func_fatal_configuration "unsupported hardcode properties"
+	    fi
+
+	    if test -n "$add_shlibpath"; then
+	      case :$compile_shlibpath: in
+	      *":$add_shlibpath:"*) ;;
+	      *) compile_shlibpath="$compile_shlibpath$add_shlibpath:" ;;
+	      esac
+	    fi
+	    if test "$linkmode" = prog; then
+	      test -n "$add_dir" && compile_deplibs="$add_dir $compile_deplibs"
+	      test -n "$add" && compile_deplibs="$add $compile_deplibs"
+	    else
+	      test -n "$add_dir" && deplibs="$add_dir $deplibs"
+	      test -n "$add" && deplibs="$add $deplibs"
+	      if test "$hardcode_direct" != yes &&
+		 test "$hardcode_minus_L" != yes &&
+		 test "$hardcode_shlibpath_var" = yes; then
+		case :$finalize_shlibpath: in
+		*":$libdir:"*) ;;
+		*) finalize_shlibpath="$finalize_shlibpath$libdir:" ;;
+		esac
+	      fi
+	    fi
+	  fi
+
+	  if test "$linkmode" = prog || test "$mode" = relink; then
+	    add_shlibpath=
+	    add_dir=
+	    add=
+	    # Finalize command for both is simple: just hardcode it.
+	    if test "$hardcode_direct" = yes &&
+	       test "$hardcode_direct_absolute" = no; then
+	      add="$libdir/$linklib"
+	    elif test "$hardcode_minus_L" = yes; then
+	      add_dir="-L$libdir"
+	      add="-l$name"
+	    elif test "$hardcode_shlibpath_var" = yes; then
+	      case :$finalize_shlibpath: in
+	      *":$libdir:"*) ;;
+	      *) finalize_shlibpath="$finalize_shlibpath$libdir:" ;;
+	      esac
+	      add="-l$name"
+	    elif test "$hardcode_automatic" = yes; then
+	      if test -n "$inst_prefix_dir" &&
+		 test -f "$inst_prefix_dir$libdir/$linklib" ; then
+		add="$inst_prefix_dir$libdir/$linklib"
+	      else
+		add="$libdir/$linklib"
+	      fi
+	    else
+	      # We cannot seem to hardcode it, guess we'll fake it.
+	      add_dir="-L$libdir"
+	      # Try looking first in the location we're being installed to.
+	      if test -n "$inst_prefix_dir"; then
+		case $libdir in
+		  [\\/]*)
+		    add_dir="$add_dir -L$inst_prefix_dir$libdir"
+		    ;;
+		esac
+	      fi
+	      add="-l$name"
+	    fi
+
+	    if test "$linkmode" = prog; then
+	      test -n "$add_dir" && finalize_deplibs="$add_dir $finalize_deplibs"
+	      test -n "$add" && finalize_deplibs="$add $finalize_deplibs"
+	    else
+	      test -n "$add_dir" && deplibs="$add_dir $deplibs"
+	      test -n "$add" && deplibs="$add $deplibs"
+	    fi
+	  fi
+	elif test "$linkmode" = prog; then
+	  # Here we assume that one of hardcode_direct or hardcode_minus_L
+	  # is not unsupported.  This is valid on all known static and
+	  # shared platforms.
+	  if test "$hardcode_direct" != unsupported; then
+	    test -n "$old_library" && linklib="$old_library"
+	    compile_deplibs="$dir/$linklib $compile_deplibs"
+	    finalize_deplibs="$dir/$linklib $finalize_deplibs"
+	  else
+	    compile_deplibs="-l$name -L$dir $compile_deplibs"
+	    finalize_deplibs="-l$name -L$dir $finalize_deplibs"
+	  fi
+	elif test "$build_libtool_libs" = yes; then
+	  # Not a shared library
+	  if test "$deplibs_check_method" != pass_all; then
+	    # We're trying link a shared library against a static one
+	    # but the system doesn't support it.
+
+	    # Just print a warning and add the library to dependency_libs so
+	    # that the program can be linked against the static library.
+	    $ECHO
+	    $ECHO "*** Warning: This system can not link to static lib archive $lib."
+	    $ECHO "*** I have the capability to make that library automatically link in when"
+	    $ECHO "*** you link to this library.  But I can only do this if you have a"
+	    $ECHO "*** shared version of the library, which you do not appear to have."
+	    if test "$module" = yes; then
+	      $ECHO "*** But as you try to build a module library, libtool will still create "
+	      $ECHO "*** a static module, that should work as long as the dlopening application"
+	      $ECHO "*** is linked with the -dlopen flag to resolve symbols at runtime."
+	      if test -z "$global_symbol_pipe"; then
+		$ECHO
+		$ECHO "*** However, this would only work if libtool was able to extract symbol"
+		$ECHO "*** lists from a program, using \`nm' or equivalent, but libtool could"
+		$ECHO "*** not find such a program.  So, this module is probably useless."
+		$ECHO "*** \`nm' from GNU binutils and a full rebuild may help."
+	      fi
+	      if test "$build_old_libs" = no; then
+		build_libtool_libs=module
+		build_old_libs=yes
+	      else
+		build_libtool_libs=no
+	      fi
+	    fi
+	  else
+	    deplibs="$dir/$old_library $deplibs"
+	    link_static=yes
+	  fi
+	fi # link shared/static library?
+
+	if test "$linkmode" = lib; then
+	  if test -n "$dependency_libs" &&
+	     { test "$hardcode_into_libs" != yes ||
+	       test "$build_old_libs" = yes ||
+	       test "$link_static" = yes; }; then
+	    # Extract -R from dependency_libs
+	    temp_deplibs=
+	    for libdir in $dependency_libs; do
+	      case $libdir in
+	      -R*) func_stripname '-R' '' "$libdir"
+	           temp_xrpath=$func_stripname_result
+		   case " $xrpath " in
+		   *" $temp_xrpath "*) ;;
+		   *) xrpath="$xrpath $temp_xrpath";;
+		   esac;;
+	      *) temp_deplibs="$temp_deplibs $libdir";;
+	      esac
+	    done
+	    dependency_libs="$temp_deplibs"
+	  fi
+
+	  newlib_search_path="$newlib_search_path $absdir"
+	  # Link against this library
+	  test "$link_static" = no && newdependency_libs="$abs_ladir/$laname $newdependency_libs"
+	  # ... and its dependency_libs
+	  tmp_libs=
+	  for deplib in $dependency_libs; do
+	    newdependency_libs="$deplib $newdependency_libs"
+	    if $opt_duplicate_deps ; then
+	      case "$tmp_libs " in
+	      *" $deplib "*) specialdeplibs="$specialdeplibs $deplib" ;;
+	      esac
+	    fi
+	    tmp_libs="$tmp_libs $deplib"
+	  done
+
+	  if test "$link_all_deplibs" != no; then
+	    # Add the search paths of all dependency libraries
+	    for deplib in $dependency_libs; do
+	      case $deplib in
+	      -L*) path="$deplib" ;;
+	      *.la)
+	        func_dirname "$deplib" "" "."
+		dir="$func_dirname_result"
+		# We need an absolute path.
+		case $dir in
+		[\\/]* | [A-Za-z]:[\\/]*) absdir="$dir" ;;
+		*)
+		  absdir=`cd "$dir" && pwd`
+		  if test -z "$absdir"; then
+		    func_warning "cannot determine absolute directory name of \`$dir'"
+		    absdir="$dir"
+		  fi
+		  ;;
+		esac
+		if $GREP "^installed=no" $deplib > /dev/null; then
+		case $host in
+		*-*-darwin*)
+		  depdepl=
+		  eval deplibrary_names=`${SED} -n -e 's/^library_names=\(.*\)$/\1/p' $deplib`
+		  if test -n "$deplibrary_names" ; then
+		    for tmp in $deplibrary_names ; do
+		      depdepl=$tmp
+		    done
+		    if test -f "$absdir/$objdir/$depdepl" ; then
+		      depdepl="$absdir/$objdir/$depdepl"
+		      darwin_install_name=`${OTOOL} -L $depdepl | awk '{if (NR == 2) {print $1;exit}}'`
+                      if test -z "$darwin_install_name"; then
+                          darwin_install_name=`${OTOOL64} -L $depdepl  | awk '{if (NR == 2) {print $1;exit}}'`
+                      fi
+		      compiler_flags="$compiler_flags ${wl}-dylib_file ${wl}${darwin_install_name}:${depdepl}"
+		      linker_flags="$linker_flags -dylib_file ${darwin_install_name}:${depdepl}"
+		      path=
+		    fi
+		  fi
+		  ;;
+		*)
+		  path="-L$absdir/$objdir"
+		  ;;
+		esac
+		else
+		  eval libdir=`${SED} -n -e 's/^libdir=\(.*\)$/\1/p' $deplib`
+		  test -z "$libdir" && \
+		    func_fatal_error "\`$deplib' is not a valid libtool archive"
+		  test "$absdir" != "$libdir" && \
+		    func_warning "\`$deplib' seems to be moved"
+
+		  path="-L$absdir"
+		fi
+		;;
+	      esac
+	      case " $deplibs " in
+	      *" $path "*) ;;
+	      *) deplibs="$path $deplibs" ;;
+	      esac
+	    done
+	  fi # link_all_deplibs != no
+	fi # linkmode = lib
+      done # for deplib in $libs
+      if test "$pass" = link; then
+	if test "$linkmode" = "prog"; then
+	  compile_deplibs="$new_inherited_linker_flags $compile_deplibs"
+	  finalize_deplibs="$new_inherited_linker_flags $finalize_deplibs"
+	else
+	  compiler_flags="$compiler_flags "`$ECHO "X $new_inherited_linker_flags" | $Xsed -e 's% \([^ $]*\).ltframework% -framework \1%g'`
+	fi
+      fi
+      dependency_libs="$newdependency_libs"
+      if test "$pass" = dlpreopen; then
+	# Link the dlpreopened libraries before other libraries
+	for deplib in $save_deplibs; do
+	  deplibs="$deplib $deplibs"
+	done
+      fi
+      if test "$pass" != dlopen; then
+	if test "$pass" != conv; then
+	  # Make sure lib_search_path contains only unique directories.
+	  lib_search_path=
+	  for dir in $newlib_search_path; do
+	    case "$lib_search_path " in
+	    *" $dir "*) ;;
+	    *) lib_search_path="$lib_search_path $dir" ;;
+	    esac
+	  done
+	  newlib_search_path=
+	fi
+
+	if test "$linkmode,$pass" != "prog,link"; then
+	  vars="deplibs"
+	else
+	  vars="compile_deplibs finalize_deplibs"
+	fi
+	for var in $vars dependency_libs; do
+	  # Add libraries to $var in reverse order
+	  eval tmp_libs=\"\$$var\"
+	  new_libs=
+	  for deplib in $tmp_libs; do
+	    # FIXME: Pedantically, this is the right thing to do, so
+	    #        that some nasty dependency loop isn't accidentally
+	    #        broken:
+	    #new_libs="$deplib $new_libs"
+	    # Pragmatically, this seems to cause very few problems in
+	    # practice:
+	    case $deplib in
+	    -L*) new_libs="$deplib $new_libs" ;;
+	    -R*) ;;
+	    *)
+	      # And here is the reason: when a library appears more
+	      # than once as an explicit dependence of a library, or
+	      # is implicitly linked in more than once by the
+	      # compiler, it is considered special, and multiple
+	      # occurrences thereof are not removed.  Compare this
+	      # with having the same library being listed as a
+	      # dependency of multiple other libraries: in this case,
+	      # we know (pedantically, we assume) the library does not
+	      # need to be listed more than once, so we keep only the
+	      # last copy.  This is not always right, but it is rare
+	      # enough that we require users that really mean to play
+	      # such unportable linking tricks to link the library
+	      # using -Wl,-lname, so that libtool does not consider it
+	      # for duplicate removal.
+	      case " $specialdeplibs " in
+	      *" $deplib "*) new_libs="$deplib $new_libs" ;;
+	      *)
+		case " $new_libs " in
+		*" $deplib "*) ;;
+		*) new_libs="$deplib $new_libs" ;;
+		esac
+		;;
+	      esac
+	      ;;
+	    esac
+	  done
+	  tmp_libs=
+	  for deplib in $new_libs; do
+	    case $deplib in
+	    -L*)
+	      case " $tmp_libs " in
+	      *" $deplib "*) ;;
+	      *) tmp_libs="$tmp_libs $deplib" ;;
+	      esac
+	      ;;
+	    *) tmp_libs="$tmp_libs $deplib" ;;
+	    esac
+	  done
+	  eval $var=\"$tmp_libs\"
+	done # for var
+      fi
+      # Last step: remove runtime libs from dependency_libs
+      # (they stay in deplibs)
+      tmp_libs=
+      for i in $dependency_libs ; do
+	case " $predeps $postdeps $compiler_lib_search_path " in
+	*" $i "*)
+	  i=""
+	  ;;
+	esac
+	if test -n "$i" ; then
+	  tmp_libs="$tmp_libs $i"
+	fi
+      done
+      dependency_libs=$tmp_libs
+    done # for pass
+    if test "$linkmode" = prog; then
+      dlfiles="$newdlfiles"
+    fi
+    if test "$linkmode" = prog || test "$linkmode" = lib; then
+      dlprefiles="$newdlprefiles"
+    fi
+
+    case $linkmode in
+    oldlib)
+      if test -n "$dlfiles$dlprefiles" || test "$dlself" != no; then
+	func_warning "\`-dlopen' is ignored for archives"
+      fi
+
+      case " $deplibs" in
+      *\ -l* | *\ -L*)
+	func_warning "\`-l' and \`-L' are ignored for archives" ;;
+      esac
+
+      test -n "$rpath" && \
+	func_warning "\`-rpath' is ignored for archives"
+
+      test -n "$xrpath" && \
+	func_warning "\`-R' is ignored for archives"
+
+      test -n "$vinfo" && \
+	func_warning "\`-version-info/-version-number' is ignored for archives"
+
+      test -n "$release" && \
+	func_warning "\`-release' is ignored for archives"
+
+      test -n "$export_symbols$export_symbols_regex" && \
+	func_warning "\`-export-symbols' is ignored for archives"
+
+      # Now set the variables for building old libraries.
+      build_libtool_libs=no
+      oldlibs="$output"
+      objs="$objs$old_deplibs"
+      ;;
+
+    lib)
+      # Make sure we only generate libraries of the form `libNAME.la'.
+      case $outputname in
+      lib*)
+	func_stripname 'lib' '.la' "$outputname"
+	name=$func_stripname_result
+	eval shared_ext=\"$shrext_cmds\"
+	eval libname=\"$libname_spec\"
+	;;
+      *)
+	test "$module" = no && \
+	  func_fatal_help "libtool library \`$output' must begin with \`lib'"
+
+	if test "$need_lib_prefix" != no; then
+	  # Add the "lib" prefix for modules if required
+	  func_stripname '' '.la' "$outputname"
+	  name=$func_stripname_result
+	  eval shared_ext=\"$shrext_cmds\"
+	  eval libname=\"$libname_spec\"
+	else
+	  func_stripname '' '.la' "$outputname"
+	  libname=$func_stripname_result
+	fi
+	;;
+      esac
+
+      if test -n "$objs"; then
+	if test "$deplibs_check_method" != pass_all; then
+	  func_fatal_error "cannot build libtool library \`$output' from non-libtool objects on this host:$objs"
+	else
+	  $ECHO
+	  $ECHO "*** Warning: Linking the shared library $output against the non-libtool"
+	  $ECHO "*** objects $objs is not portable!"
+	  libobjs="$libobjs $objs"
+	fi
+      fi
+
+      test "$dlself" != no && \
+	func_warning "\`-dlopen self' is ignored for libtool libraries"
+
+      set dummy $rpath
+      shift
+      test "$#" -gt 1 && \
+	func_warning "ignoring multiple \`-rpath's for a libtool library"
+
+      install_libdir="$1"
+
+      oldlibs=
+      if test -z "$rpath"; then
+	if test "$build_libtool_libs" = yes; then
+	  # Building a libtool convenience library.
+	  # Some compilers have problems with a `.al' extension so
+	  # convenience libraries should have the same extension an
+	  # archive normally would.
+	  oldlibs="$output_objdir/$libname.$libext $oldlibs"
+	  build_libtool_libs=convenience
+	  build_old_libs=yes
+	fi
+
+	test -n "$vinfo" && \
+	  func_warning "\`-version-info/-version-number' is ignored for convenience libraries"
+
+	test -n "$release" && \
+	  func_warning "\`-release' is ignored for convenience libraries"
+      else
+
+	# Parse the version information argument.
+	save_ifs="$IFS"; IFS=':'
+	set dummy $vinfo 0 0 0
+	shift
+	IFS="$save_ifs"
+
+	test -n "$7" && \
+	  func_fatal_help "too many parameters to \`-version-info'"
+
+	# convert absolute version numbers to libtool ages
+	# this retains compatibility with .la files and attempts
+	# to make the code below a bit more comprehensible
+
+	case $vinfo_number in
+	yes)
+	  number_major="$1"
+	  number_minor="$2"
+	  number_revision="$3"
+	  #
+	  # There are really only two kinds -- those that
+	  # use the current revision as the major version
+	  # and those that subtract age and use age as
+	  # a minor version.  But, then there is irix
+	  # which has an extra 1 added just for fun
+	  #
+	  case $version_type in
+	  darwin|linux|osf|windows|none)
+	    func_arith $number_major + $number_minor
+	    current=$func_arith_result
+	    age="$number_minor"
+	    revision="$number_revision"
+	    ;;
+	  freebsd-aout|freebsd-elf|sunos)
+	    current="$number_major"
+	    revision="$number_minor"
+	    age="0"
+	    ;;
+	  irix|nonstopux)
+	    func_arith $number_major + $number_minor
+	    current=$func_arith_result
+	    age="$number_minor"
+	    revision="$number_minor"
+	    lt_irix_increment=no
+	    ;;
+	  esac
+	  ;;
+	no)
+	  current="$1"
+	  revision="$2"
+	  age="$3"
+	  ;;
+	esac
+
+	# Check that each of the things are valid numbers.
+	case $current in
+	0|[1-9]|[1-9][0-9]|[1-9][0-9][0-9]|[1-9][0-9][0-9][0-9]|[1-9][0-9][0-9][0-9][0-9]) ;;
+	*)
+	  func_error "CURRENT \`$current' must be a nonnegative integer"
+	  func_fatal_error "\`$vinfo' is not valid version information"
+	  ;;
+	esac
+
+	case $revision in
+	0|[1-9]|[1-9][0-9]|[1-9][0-9][0-9]|[1-9][0-9][0-9][0-9]|[1-9][0-9][0-9][0-9][0-9]) ;;
+	*)
+	  func_error "REVISION \`$revision' must be a nonnegative integer"
+	  func_fatal_error "\`$vinfo' is not valid version information"
+	  ;;
+	esac
+
+	case $age in
+	0|[1-9]|[1-9][0-9]|[1-9][0-9][0-9]|[1-9][0-9][0-9][0-9]|[1-9][0-9][0-9][0-9][0-9]) ;;
+	*)
+	  func_error "AGE \`$age' must be a nonnegative integer"
+	  func_fatal_error "\`$vinfo' is not valid version information"
+	  ;;
+	esac
+
+	if test "$age" -gt "$current"; then
+	  func_error "AGE \`$age' is greater than the current interface number \`$current'"
+	  func_fatal_error "\`$vinfo' is not valid version information"
+	fi
+
+	# Calculate the version variables.
+	major=
+	versuffix=
+	verstring=
+	case $version_type in
+	none) ;;
+
+	darwin)
+	  # Like Linux, but with the current version available in
+	  # verstring for coding it into the library header
+	  func_arith $current - $age
+	  major=.$func_arith_result
+	  versuffix="$major.$age.$revision"
+	  # Darwin ld doesn't like 0 for these options...
+	  func_arith $current + 1
+	  minor_current=$func_arith_result
+	  xlcverstring="${wl}-compatibility_version ${wl}$minor_current ${wl}-current_version ${wl}$minor_current.$revision"
+	  verstring="-compatibility_version $minor_current -current_version $minor_current.$revision"
+	  ;;
+
+	freebsd-aout)
+	  major=".$current"
+	  versuffix=".$current.$revision";
+	  ;;
+
+	freebsd-elf)
+	  major=".$current"
+	  versuffix=".$current"
+	  ;;
+
+	irix | nonstopux)
+	  if test "X$lt_irix_increment" = "Xno"; then
+	    func_arith $current - $age
+	  else
+	    func_arith $current - $age + 1
+	  fi
+	  major=$func_arith_result
+
+	  case $version_type in
+	    nonstopux) verstring_prefix=nonstopux ;;
+	    *)         verstring_prefix=sgi ;;
+	  esac
+	  verstring="$verstring_prefix$major.$revision"
+
+	  # Add in all the interfaces that we are compatible with.
+	  loop=$revision
+	  while test "$loop" -ne 0; do
+	    func_arith $revision - $loop
+	    iface=$func_arith_result
+	    func_arith $loop - 1
+	    loop=$func_arith_result
+	    verstring="$verstring_prefix$major.$iface:$verstring"
+	  done
+
+	  # Before this point, $major must not contain `.'.
+	  major=.$major
+	  versuffix="$major.$revision"
+	  ;;
+
+	linux)
+	  func_arith $current - $age
+	  major=.$func_arith_result
+	  versuffix="$major.$age.$revision"
+	  ;;
+
+	osf)
+	  func_arith $current - $age
+	  major=.$func_arith_result
+	  versuffix=".$current.$age.$revision"
+	  verstring="$current.$age.$revision"
+
+	  # Add in all the interfaces that we are compatible with.
+	  loop=$age
+	  while test "$loop" -ne 0; do
+	    func_arith $current - $loop
+	    iface=$func_arith_result
+	    func_arith $loop - 1
+	    loop=$func_arith_result
+	    verstring="$verstring:${iface}.0"
+	  done
+
+	  # Make executables depend on our current version.
+	  verstring="$verstring:${current}.0"
+	  ;;
+
+	qnx)
+	  major=".$current"
+	  versuffix=".$current"
+	  ;;
+
+	sunos)
+	  major=".$current"
+	  versuffix=".$current.$revision"
+	  ;;
+
+	windows)
+	  # Use '-' rather than '.', since we only want one
+	  # extension on DOS 8.3 filesystems.
+	  func_arith $current - $age
+	  major=$func_arith_result
+	  versuffix="-$major"
+	  ;;
+
+	*)
+	  func_fatal_configuration "unknown library version type \`$version_type'"
+	  ;;
+	esac
+
+	# Clear the version info if we defaulted, and they specified a release.
+	if test -z "$vinfo" && test -n "$release"; then
+	  major=
+	  case $version_type in
+	  darwin)
+	    # we can't check for "0.0" in archive_cmds due to quoting
+	    # problems, so we reset it completely
+	    verstring=
+	    ;;
+	  *)
+	    verstring="0.0"
+	    ;;
+	  esac
+	  if test "$need_version" = no; then
+	    versuffix=
+	  else
+	    versuffix=".0.0"
+	  fi
+	fi
+
+	# Remove version info from name if versioning should be avoided
+	if test "$avoid_version" = yes && test "$need_version" = no; then
+	  major=
+	  versuffix=
+	  verstring=""
+	fi
+
+	# Check to see if the archive will have undefined symbols.
+	if test "$allow_undefined" = yes; then
+	  if test "$allow_undefined_flag" = unsupported; then
+	    func_warning "undefined symbols not allowed in $host shared libraries"
+	    build_libtool_libs=no
+	    build_old_libs=yes
+	  fi
+	else
+	  # Don't allow undefined symbols.
+	  allow_undefined_flag="$no_undefined_flag"
+	fi
+
+      fi
+
+      func_generate_dlsyms "$libname" "$libname" "yes"
+      libobjs="$libobjs $symfileobj"
+      test "X$libobjs" = "X " && libobjs=
+
+      if test "$mode" != relink; then
+	# Remove our outputs, but don't remove object files since they
+	# may have been created when compiling PIC objects.
+	removelist=
+	tempremovelist=`$ECHO "$output_objdir/*"`
+	for p in $tempremovelist; do
+	  case $p in
+	    *.$objext | *.gcno)
+	       ;;
+	    $output_objdir/$outputname | $output_objdir/$libname.* | $output_objdir/${libname}${release}.*)
+	       if test "X$precious_files_regex" != "X"; then
+		 if $ECHO "$p" | $EGREP -e "$precious_files_regex" >/dev/null 2>&1
+		 then
+		   continue
+		 fi
+	       fi
+	       removelist="$removelist $p"
+	       ;;
+	    *) ;;
+	  esac
+	done
+	test -n "$removelist" && \
+	  func_show_eval "${RM}r \$removelist"
+      fi
+
+      # Now set the variables for building old libraries.
+      if test "$build_old_libs" = yes && test "$build_libtool_libs" != convenience ; then
+	oldlibs="$oldlibs $output_objdir/$libname.$libext"
+
+	# Transform .lo files to .o files.
+	oldobjs="$objs "`$ECHO "X$libobjs" | $SP2NL | $Xsed -e '/\.'${libext}'$/d' -e "$lo2o" | $NL2SP`
+      fi
+
+      # Eliminate all temporary directories.
+      #for path in $notinst_path; do
+      #	lib_search_path=`$ECHO "X$lib_search_path " | $Xsed -e "s% $path % %g"`
+      #	deplibs=`$ECHO "X$deplibs " | $Xsed -e "s% -L$path % %g"`
+      #	dependency_libs=`$ECHO "X$dependency_libs " | $Xsed -e "s% -L$path % %g"`
+      #done
+
+      if test -n "$xrpath"; then
+	# If the user specified any rpath flags, then add them.
+	temp_xrpath=
+	for libdir in $xrpath; do
+	  temp_xrpath="$temp_xrpath -R$libdir"
+	  case "$finalize_rpath " in
+	  *" $libdir "*) ;;
+	  *) finalize_rpath="$finalize_rpath $libdir" ;;
+	  esac
+	done
+	if test "$hardcode_into_libs" != yes || test "$build_old_libs" = yes; then
+	  dependency_libs="$temp_xrpath $dependency_libs"
+	fi
+      fi
+
+      # Make sure dlfiles contains only unique files that won't be dlpreopened
+      old_dlfiles="$dlfiles"
+      dlfiles=
+      for lib in $old_dlfiles; do
+	case " $dlprefiles $dlfiles " in
+	*" $lib "*) ;;
+	*) dlfiles="$dlfiles $lib" ;;
+	esac
+      done
+
+      # Make sure dlprefiles contains only unique files
+      old_dlprefiles="$dlprefiles"
+      dlprefiles=
+      for lib in $old_dlprefiles; do
+	case "$dlprefiles " in
+	*" $lib "*) ;;
+	*) dlprefiles="$dlprefiles $lib" ;;
+	esac
+      done
+
+      if test "$build_libtool_libs" = yes; then
+	if test -n "$rpath"; then
+	  case $host in
+	  *-*-cygwin* | *-*-mingw* | *-*-pw32* | *-*-os2* | *-*-beos* | *-cegcc*)
+	    # these systems don't actually have a c library (as such)!
+	    ;;
+	  *-*-rhapsody* | *-*-darwin1.[012])
+	    # Rhapsody C library is in the System framework
+	    deplibs="$deplibs System.ltframework"
+	    ;;
+	  *-*-netbsd*)
+	    # Don't link with libc until the a.out ld.so is fixed.
+	    ;;
+	  *-*-openbsd* | *-*-freebsd* | *-*-dragonfly*)
+	    # Do not include libc due to us having libc/libc_r.
+	    ;;
+	  *-*-sco3.2v5* | *-*-sco5v6*)
+	    # Causes problems with __ctype
+	    ;;
+	  *-*-sysv4.2uw2* | *-*-sysv5* | *-*-unixware* | *-*-OpenUNIX*)
+	    # Compiler inserts libc in the correct place for threads to work
+	    ;;
+	  *)
+	    # Add libc to deplibs on all other systems if necessary.
+	    if test "$build_libtool_need_lc" = "yes"; then
+	      deplibs="$deplibs -lc"
+	    fi
+	    ;;
+	  esac
+	fi
+
+	# Transform deplibs into only deplibs that can be linked in shared.
+	name_save=$name
+	libname_save=$libname
+	release_save=$release
+	versuffix_save=$versuffix
+	major_save=$major
+	# I'm not sure if I'm treating the release correctly.  I think
+	# release should show up in the -l (ie -lgmp5) so we don't want to
+	# add it in twice.  Is that correct?
+	release=""
+	versuffix=""
+	major=""
+	newdeplibs=
+	droppeddeps=no
+	case $deplibs_check_method in
+	pass_all)
+	  # Don't check for shared/static.  Everything works.
+	  # This might be a little naive.  We might want to check
+	  # whether the library exists or not.  But this is on
+	  # osf3 & osf4 and I'm not really sure... Just
+	  # implementing what was already the behavior.
+	  newdeplibs=$deplibs
+	  ;;
+	test_compile)
+	  # This code stresses the "libraries are programs" paradigm to its
+	  # limits. Maybe even breaks it.  We compile a program, linking it
+	  # against the deplibs as a proxy for the library.  Then we can check
+	  # whether they linked in statically or dynamically with ldd.
+	  $opt_dry_run || $RM conftest.c
+	  cat > conftest.c <<EOF
+	  int main() { return 0; }
+EOF
+	  $opt_dry_run || $RM conftest
+	  if $LTCC $LTCFLAGS -o conftest conftest.c $deplibs; then
+	    ldd_output=`ldd conftest`
+	    for i in $deplibs; do
+	      case $i in
+	      -l*)
+		func_stripname -l '' "$i"
+		name=$func_stripname_result
+		if test "X$allow_libtool_libs_with_static_runtimes" = "Xyes" ; then
+		  case " $predeps $postdeps " in
+		  *" $i "*)
+		    newdeplibs="$newdeplibs $i"
+		    i=""
+		    ;;
+		  esac
+		fi
+		if test -n "$i" ; then
+		  libname=`eval "\\$ECHO \"$libname_spec\""`
+		  deplib_matches=`eval "\\$ECHO \"$library_names_spec\""`
+		  set dummy $deplib_matches; shift
+		  deplib_match=$1
+		  if test `expr "$ldd_output" : ".*$deplib_match"` -ne 0 ; then
+		    newdeplibs="$newdeplibs $i"
+		  else
+		    droppeddeps=yes
+		    $ECHO
+		    $ECHO "*** Warning: dynamic linker does not accept needed library $i."
+		    $ECHO "*** I have the capability to make that library automatically link in when"
+		    $ECHO "*** you link to this library.  But I can only do this if you have a"
+		    $ECHO "*** shared version of the library, which I believe you do not have"
+		    $ECHO "*** because a test_compile did reveal that the linker did not use it for"
+		    $ECHO "*** its dynamic dependency list that programs get resolved with at runtime."
+		  fi
+		fi
+		;;
+	      *)
+		newdeplibs="$newdeplibs $i"
+		;;
+	      esac
+	    done
+	  else
+	    # Error occurred in the first compile.  Let's try to salvage
+	    # the situation: Compile a separate program for each library.
+	    for i in $deplibs; do
+	      case $i in
+	      -l*)
+		func_stripname -l '' "$i"
+		name=$func_stripname_result
+		$opt_dry_run || $RM conftest
+		if $LTCC $LTCFLAGS -o conftest conftest.c $i; then
+		  ldd_output=`ldd conftest`
+		  if test "X$allow_libtool_libs_with_static_runtimes" = "Xyes" ; then
+		    case " $predeps $postdeps " in
+		    *" $i "*)
+		      newdeplibs="$newdeplibs $i"
+		      i=""
+		      ;;
+		    esac
+		  fi
+		  if test -n "$i" ; then
+		    libname=`eval "\\$ECHO \"$libname_spec\""`
+		    deplib_matches=`eval "\\$ECHO \"$library_names_spec\""`
+		    set dummy $deplib_matches; shift
+		    deplib_match=$1
+		    if test `expr "$ldd_output" : ".*$deplib_match"` -ne 0 ; then
+		      newdeplibs="$newdeplibs $i"
+		    else
+		      droppeddeps=yes
+		      $ECHO
+		      $ECHO "*** Warning: dynamic linker does not accept needed library $i."
+		      $ECHO "*** I have the capability to make that library automatically link in when"
+		      $ECHO "*** you link to this library.  But I can only do this if you have a"
+		      $ECHO "*** shared version of the library, which you do not appear to have"
+		      $ECHO "*** because a test_compile did reveal that the linker did not use this one"
+		      $ECHO "*** as a dynamic dependency that programs can get resolved with at runtime."
+		    fi
+		  fi
+		else
+		  droppeddeps=yes
+		  $ECHO
+		  $ECHO "*** Warning!  Library $i is needed by this library but I was not able to"
+		  $ECHO "*** make it link in!  You will probably need to install it or some"
+		  $ECHO "*** library that it depends on before this library will be fully"
+		  $ECHO "*** functional.  Installing it before continuing would be even better."
+		fi
+		;;
+	      *)
+		newdeplibs="$newdeplibs $i"
+		;;
+	      esac
+	    done
+	  fi
+	  ;;
+	file_magic*)
+	  set dummy $deplibs_check_method; shift
+	  file_magic_regex=`expr "$deplibs_check_method" : "$1 \(.*\)"`
+	  for a_deplib in $deplibs; do
+	    case $a_deplib in
+	    -l*)
+	      func_stripname -l '' "$a_deplib"
+	      name=$func_stripname_result
+	      if test "X$allow_libtool_libs_with_static_runtimes" = "Xyes" ; then
+		case " $predeps $postdeps " in
+		*" $a_deplib "*)
+		  newdeplibs="$newdeplibs $a_deplib"
+		  a_deplib=""
+		  ;;
+		esac
+	      fi
+	      if test -n "$a_deplib" ; then
+		libname=`eval "\\$ECHO \"$libname_spec\""`
+		for i in $lib_search_path $sys_lib_search_path $shlib_search_path; do
+		  potential_libs=`ls $i/$libname[.-]* 2>/dev/null`
+		  for potent_lib in $potential_libs; do
+		      # Follow soft links.
+		      if ls -lLd "$potent_lib" 2>/dev/null |
+			 $GREP " -> " >/dev/null; then
+			continue
+		      fi
+		      # The statement above tries to avoid entering an
+		      # endless loop below, in case of cyclic links.
+		      # We might still enter an endless loop, since a link
+		      # loop can be closed while we follow links,
+		      # but so what?
+		      potlib="$potent_lib"
+		      while test -h "$potlib" 2>/dev/null; do
+			potliblink=`ls -ld $potlib | ${SED} 's/.* -> //'`
+			case $potliblink in
+			[\\/]* | [A-Za-z]:[\\/]*) potlib="$potliblink";;
+			*) potlib=`$ECHO "X$potlib" | $Xsed -e 's,[^/]*$,,'`"$potliblink";;
+			esac
+		      done
+		      if eval $file_magic_cmd \"\$potlib\" 2>/dev/null |
+			 $SED -e 10q |
+			 $EGREP "$file_magic_regex" > /dev/null; then
+			newdeplibs="$newdeplibs $a_deplib"
+			a_deplib=""
+			break 2
+		      fi
+		  done
+		done
+	      fi
+	      if test -n "$a_deplib" ; then
+		droppeddeps=yes
+		$ECHO
+		$ECHO "*** Warning: linker path does not have real file for library $a_deplib."
+		$ECHO "*** I have the capability to make that library automatically link in when"
+		$ECHO "*** you link to this library.  But I can only do this if you have a"
+		$ECHO "*** shared version of the library, which you do not appear to have"
+		$ECHO "*** because I did check the linker path looking for a file starting"
+		if test -z "$potlib" ; then
+		  $ECHO "*** with $libname but no candidates were found. (...for file magic test)"
+		else
+		  $ECHO "*** with $libname and none of the candidates passed a file format test"
+		  $ECHO "*** using a file magic. Last file checked: $potlib"
+		fi
+	      fi
+	      ;;
+	    *)
+	      # Add a -L argument.
+	      newdeplibs="$newdeplibs $a_deplib"
+	      ;;
+	    esac
+	  done # Gone through all deplibs.
+	  ;;
+	match_pattern*)
+	  set dummy $deplibs_check_method; shift
+	  match_pattern_regex=`expr "$deplibs_check_method" : "$1 \(.*\)"`
+	  for a_deplib in $deplibs; do
+	    case $a_deplib in
+	    -l*)
+	      func_stripname -l '' "$a_deplib"
+	      name=$func_stripname_result
+	      if test "X$allow_libtool_libs_with_static_runtimes" = "Xyes" ; then
+		case " $predeps $postdeps " in
+		*" $a_deplib "*)
+		  newdeplibs="$newdeplibs $a_deplib"
+		  a_deplib=""
+		  ;;
+		esac
+	      fi
+	      if test -n "$a_deplib" ; then
+		libname=`eval "\\$ECHO \"$libname_spec\""`
+		for i in $lib_search_path $sys_lib_search_path $shlib_search_path; do
+		  potential_libs=`ls $i/$libname[.-]* 2>/dev/null`
+		  for potent_lib in $potential_libs; do
+		    potlib="$potent_lib" # see symlink-check above in file_magic test
+		    if eval "\$ECHO \"X$potent_lib\"" 2>/dev/null | $Xsed -e 10q | \
+		       $EGREP "$match_pattern_regex" > /dev/null; then
+		      newdeplibs="$newdeplibs $a_deplib"
+		      a_deplib=""
+		      break 2
+		    fi
+		  done
+		done
+	      fi
+	      if test -n "$a_deplib" ; then
+		droppeddeps=yes
+		$ECHO
+		$ECHO "*** Warning: linker path does not have real file for library $a_deplib."
+		$ECHO "*** I have the capability to make that library automatically link in when"
+		$ECHO "*** you link to this library.  But I can only do this if you have a"
+		$ECHO "*** shared version of the library, which you do not appear to have"
+		$ECHO "*** because I did check the linker path looking for a file starting"
+		if test -z "$potlib" ; then
+		  $ECHO "*** with $libname but no candidates were found. (...for regex pattern test)"
+		else
+		  $ECHO "*** with $libname and none of the candidates passed a file format test"
+		  $ECHO "*** using a regex pattern. Last file checked: $potlib"
+		fi
+	      fi
+	      ;;
+	    *)
+	      # Add a -L argument.
+	      newdeplibs="$newdeplibs $a_deplib"
+	      ;;
+	    esac
+	  done # Gone through all deplibs.
+	  ;;
+	none | unknown | *)
+	  newdeplibs=""
+	  tmp_deplibs=`$ECHO "X $deplibs" | $Xsed \
+	      -e 's/ -lc$//' -e 's/ -[LR][^ ]*//g'`
+	  if test "X$allow_libtool_libs_with_static_runtimes" = "Xyes" ; then
+	    for i in $predeps $postdeps ; do
+	      # can't use Xsed below, because $i might contain '/'
+	      tmp_deplibs=`$ECHO "X $tmp_deplibs" | $Xsed -e "s,$i,,"`
+	    done
+	  fi
+	  if $ECHO "X $tmp_deplibs" | $Xsed -e 's/[	 ]//g' |
+	     $GREP . >/dev/null; then
+	    $ECHO
+	    if test "X$deplibs_check_method" = "Xnone"; then
+	      $ECHO "*** Warning: inter-library dependencies are not supported in this platform."
+	    else
+	      $ECHO "*** Warning: inter-library dependencies are not known to be supported."
+	    fi
+	    $ECHO "*** All declared inter-library dependencies are being dropped."
+	    droppeddeps=yes
+	  fi
+	  ;;
+	esac
+	versuffix=$versuffix_save
+	major=$major_save
+	release=$release_save
+	libname=$libname_save
+	name=$name_save
+
+	case $host in
+	*-*-rhapsody* | *-*-darwin1.[012])
+	  # On Rhapsody replace the C library with the System framework
+	  newdeplibs=`$ECHO "X $newdeplibs" | $Xsed -e 's/ -lc / System.ltframework /'`
+	  ;;
+	esac
+
+	if test "$droppeddeps" = yes; then
+	  if test "$module" = yes; then
+	    $ECHO
+	    $ECHO "*** Warning: libtool could not satisfy all declared inter-library"
+	    $ECHO "*** dependencies of module $libname.  Therefore, libtool will create"
+	    $ECHO "*** a static module, that should work as long as the dlopening"
+	    $ECHO "*** application is linked with the -dlopen flag."
+	    if test -z "$global_symbol_pipe"; then
+	      $ECHO
+	      $ECHO "*** However, this would only work if libtool was able to extract symbol"
+	      $ECHO "*** lists from a program, using \`nm' or equivalent, but libtool could"
+	      $ECHO "*** not find such a program.  So, this module is probably useless."
+	      $ECHO "*** \`nm' from GNU binutils and a full rebuild may help."
+	    fi
+	    if test "$build_old_libs" = no; then
+	      oldlibs="$output_objdir/$libname.$libext"
+	      build_libtool_libs=module
+	      build_old_libs=yes
+	    else
+	      build_libtool_libs=no
+	    fi
+	  else
+	    $ECHO "*** The inter-library dependencies that have been dropped here will be"
+	    $ECHO "*** automatically added whenever a program is linked with this library"
+	    $ECHO "*** or is declared to -dlopen it."
+
+	    if test "$allow_undefined" = no; then
+	      $ECHO
+	      $ECHO "*** Since this library must not contain undefined symbols,"
+	      $ECHO "*** because either the platform does not support them or"
+	      $ECHO "*** it was explicitly requested with -no-undefined,"
+	      $ECHO "*** libtool will only create a static version of it."
+	      if test "$build_old_libs" = no; then
+		oldlibs="$output_objdir/$libname.$libext"
+		build_libtool_libs=module
+		build_old_libs=yes
+	      else
+		build_libtool_libs=no
+	      fi
+	    fi
+	  fi
+	fi
+	# Done checking deplibs!
+	deplibs=$newdeplibs
+      fi
+      # Time to change all our "foo.ltframework" stuff back to "-framework foo"
+      case $host in
+	*-*-darwin*)
+	  newdeplibs=`$ECHO "X $newdeplibs" | $Xsed -e 's% \([^ $]*\).ltframework% -framework \1%g'`
+	  new_inherited_linker_flags=`$ECHO "X $new_inherited_linker_flags" | $Xsed -e 's% \([^ $]*\).ltframework% -framework \1%g'`
+	  deplibs=`$ECHO "X $deplibs" | $Xsed -e 's% \([^ $]*\).ltframework% -framework \1%g'`
+	  ;;
+      esac
+
+      # move library search paths that coincide with paths to not yet
+      # installed libraries to the beginning of the library search list
+      new_libs=
+      for path in $notinst_path; do
+	case " $new_libs " in
+	*" -L$path/$objdir "*) ;;
+	*)
+	  case " $deplibs " in
+	  *" -L$path/$objdir "*)
+	    new_libs="$new_libs -L$path/$objdir" ;;
+	  esac
+	  ;;
+	esac
+      done
+      for deplib in $deplibs; do
+	case $deplib in
+	-L*)
+	  case " $new_libs " in
+	  *" $deplib "*) ;;
+	  *) new_libs="$new_libs $deplib" ;;
+	  esac
+	  ;;
+	*) new_libs="$new_libs $deplib" ;;
+	esac
+      done
+      deplibs="$new_libs"
+
+      # All the library-specific variables (install_libdir is set above).
+      library_names=
+      old_library=
+      dlname=
+
+      # Test again, we may have decided not to build it any more
+      if test "$build_libtool_libs" = yes; then
+	if test "$hardcode_into_libs" = yes; then
+	  # Hardcode the library paths
+	  hardcode_libdirs=
+	  dep_rpath=
+	  rpath="$finalize_rpath"
+	  test "$mode" != relink && rpath="$compile_rpath$rpath"
+	  for libdir in $rpath; do
+	    if test -n "$hardcode_libdir_flag_spec"; then
+	      if test -n "$hardcode_libdir_separator"; then
+		if test -z "$hardcode_libdirs"; then
+		  hardcode_libdirs="$libdir"
+		else
+		  # Just accumulate the unique libdirs.
+		  case $hardcode_libdir_separator$hardcode_libdirs$hardcode_libdir_separator in
+		  *"$hardcode_libdir_separator$libdir$hardcode_libdir_separator"*)
+		    ;;
+		  *)
+		    hardcode_libdirs="$hardcode_libdirs$hardcode_libdir_separator$libdir"
+		    ;;
+		  esac
+		fi
+	      else
+		eval flag=\"$hardcode_libdir_flag_spec\"
+		dep_rpath="$dep_rpath $flag"
+	      fi
+	    elif test -n "$runpath_var"; then
+	      case "$perm_rpath " in
+	      *" $libdir "*) ;;
+	      *) perm_rpath="$perm_rpath $libdir" ;;
+	      esac
+	    fi
+	  done
+	  # Substitute the hardcoded libdirs into the rpath.
+	  if test -n "$hardcode_libdir_separator" &&
+	     test -n "$hardcode_libdirs"; then
+	    libdir="$hardcode_libdirs"
+	    if test -n "$hardcode_libdir_flag_spec_ld"; then
+	      eval dep_rpath=\"$hardcode_libdir_flag_spec_ld\"
+	    else
+	      eval dep_rpath=\"$hardcode_libdir_flag_spec\"
+	    fi
+	  fi
+	  if test -n "$runpath_var" && test -n "$perm_rpath"; then
+	    # We should set the runpath_var.
+	    rpath=
+	    for dir in $perm_rpath; do
+	      rpath="$rpath$dir:"
+	    done
+	    eval "$runpath_var='$rpath\$$runpath_var'; export $runpath_var"
+	  fi
+	  test -n "$dep_rpath" && deplibs="$dep_rpath $deplibs"
+	fi
+
+	shlibpath="$finalize_shlibpath"
+	test "$mode" != relink && shlibpath="$compile_shlibpath$shlibpath"
+	if test -n "$shlibpath"; then
+	  eval "$shlibpath_var='$shlibpath\$$shlibpath_var'; export $shlibpath_var"
+	fi
+
+	# Get the real and link names of the library.
+	eval shared_ext=\"$shrext_cmds\"
+	eval library_names=\"$library_names_spec\"
+	set dummy $library_names
+	shift
+	realname="$1"
+	shift
+
+	if test -n "$soname_spec"; then
+	  eval soname=\"$soname_spec\"
+	else
+	  soname="$realname"
+	fi
+	if test -z "$dlname"; then
+	  dlname=$soname
+	fi
+
+	lib="$output_objdir/$realname"
+	linknames=
+	for link
+	do
+	  linknames="$linknames $link"
+	done
+
+	# Use standard objects if they are pic
+	test -z "$pic_flag" && libobjs=`$ECHO "X$libobjs" | $SP2NL | $Xsed -e "$lo2o" | $NL2SP`
+	test "X$libobjs" = "X " && libobjs=
+
+	delfiles=
+	if test -n "$export_symbols" && test -n "$include_expsyms"; then
+	  $opt_dry_run || cp "$export_symbols" "$output_objdir/$libname.uexp"
+	  export_symbols="$output_objdir/$libname.uexp"
+	  delfiles="$delfiles $export_symbols"
+	fi
+
+	orig_export_symbols=
+	case $host_os in
+	cygwin* | mingw* | cegcc*)
+	  if test -n "$export_symbols" && test -z "$export_symbols_regex"; then
+	    # exporting using user supplied symfile
+	    if test "x`$SED 1q $export_symbols`" != xEXPORTS; then
+	      # and it's NOT already a .def file. Must figure out
+	      # which of the given symbols are data symbols and tag
+	      # them as such. So, trigger use of export_symbols_cmds.
+	      # export_symbols gets reassigned inside the "prepare
+	      # the list of exported symbols" if statement, so the
+	      # include_expsyms logic still works.
+	      orig_export_symbols="$export_symbols"
+	      export_symbols=
+	      always_export_symbols=yes
+	    fi
+	  fi
+	  ;;
+	esac
+
+	# Prepare the list of exported symbols
+	if test -z "$export_symbols"; then
+	  if test "$always_export_symbols" = yes || test -n "$export_symbols_regex"; then
+	    func_verbose "generating symbol list for \`$libname.la'"
+	    export_symbols="$output_objdir/$libname.exp"
+	    $opt_dry_run || $RM $export_symbols
+	    cmds=$export_symbols_cmds
+	    save_ifs="$IFS"; IFS='~'
+	    for cmd in $cmds; do
+	      IFS="$save_ifs"
+	      eval cmd=\"$cmd\"
+	      func_len " $cmd"
+	      len=$func_len_result
+	      if test "$len" -lt "$max_cmd_len" || test "$max_cmd_len" -le -1; then
+		func_show_eval "$cmd" 'exit $?'
+		skipped_export=false
+	      else
+		# The command line is too long to execute in one step.
+		func_verbose "using reloadable object file for export list..."
+		skipped_export=:
+		# Break out early, otherwise skipped_export may be
+		# set to false by a later but shorter cmd.
+		break
+	      fi
+	    done
+	    IFS="$save_ifs"
+	    if test -n "$export_symbols_regex" && test "X$skipped_export" != "X:"; then
+	      func_show_eval '$EGREP -e "$export_symbols_regex" "$export_symbols" > "${export_symbols}T"'
+	      func_show_eval '$MV "${export_symbols}T" "$export_symbols"'
+	    fi
+	  fi
+	fi
+
+	if test -n "$export_symbols" && test -n "$include_expsyms"; then
+	  tmp_export_symbols="$export_symbols"
+	  test -n "$orig_export_symbols" && tmp_export_symbols="$orig_export_symbols"
+	  $opt_dry_run || eval '$ECHO "X$include_expsyms" | $Xsed | $SP2NL >> "$tmp_export_symbols"'
+	fi
+
+	if test "X$skipped_export" != "X:" && test -n "$orig_export_symbols"; then
+	  # The given exports_symbols file has to be filtered, so filter it.
+	  func_verbose "filter symbol list for \`$libname.la' to tag DATA exports"
+	  # FIXME: $output_objdir/$libname.filter potentially contains lots of
+	  # 's' commands which not all seds can handle. GNU sed should be fine
+	  # though. Also, the filter scales superlinearly with the number of
+	  # global variables. join(1) would be nice here, but unfortunately
+	  # isn't a blessed tool.
+	  $opt_dry_run || $SED -e '/[ ,]DATA/!d;s,\(.*\)\([ \,].*\),s|^\1$|\1\2|,' < $export_symbols > $output_objdir/$libname.filter
+	  delfiles="$delfiles $export_symbols $output_objdir/$libname.filter"
+	  export_symbols=$output_objdir/$libname.def
+	  $opt_dry_run || $SED -f $output_objdir/$libname.filter < $orig_export_symbols > $export_symbols
+	fi
+
+	tmp_deplibs=
+	for test_deplib in $deplibs; do
+	  case " $convenience " in
+	  *" $test_deplib "*) ;;
+	  *)
+	    tmp_deplibs="$tmp_deplibs $test_deplib"
+	    ;;
+	  esac
+	done
+	deplibs="$tmp_deplibs"
+
+	if test -n "$convenience"; then
+	  if test -n "$whole_archive_flag_spec" &&
+	    test "$compiler_needs_object" = yes &&
+	    test -z "$libobjs"; then
+	    # extract the archives, so we have objects to list.
+	    # TODO: could optimize this to just extract one archive.
+	    whole_archive_flag_spec=
+	  fi
+	  if test -n "$whole_archive_flag_spec"; then
+	    save_libobjs=$libobjs
+	    eval libobjs=\"\$libobjs $whole_archive_flag_spec\"
+	    test "X$libobjs" = "X " && libobjs=
+	  else
+	    gentop="$output_objdir/${outputname}x"
+	    generated="$generated $gentop"
+
+	    func_extract_archives $gentop $convenience
+	    libobjs="$libobjs $func_extract_archives_result"
+	    test "X$libobjs" = "X " && libobjs=
+	  fi
+	fi
+
+	if test "$thread_safe" = yes && test -n "$thread_safe_flag_spec"; then
+	  eval flag=\"$thread_safe_flag_spec\"
+	  linker_flags="$linker_flags $flag"
+	fi
+
+	# Make a backup of the uninstalled library when relinking
+	if test "$mode" = relink; then
+	  $opt_dry_run || eval '(cd $output_objdir && $RM ${realname}U && $MV $realname ${realname}U)' || exit $?
+	fi
+
+	# Do each of the archive commands.
+	if test "$module" = yes && test -n "$module_cmds" ; then
+	  if test -n "$export_symbols" && test -n "$module_expsym_cmds"; then
+	    eval test_cmds=\"$module_expsym_cmds\"
+	    cmds=$module_expsym_cmds
+	  else
+	    eval test_cmds=\"$module_cmds\"
+	    cmds=$module_cmds
+	  fi
+	else
+	  if test -n "$export_symbols" && test -n "$archive_expsym_cmds"; then
+	    eval test_cmds=\"$archive_expsym_cmds\"
+	    cmds=$archive_expsym_cmds
+	  else
+	    eval test_cmds=\"$archive_cmds\"
+	    cmds=$archive_cmds
+	  fi
+	fi
+
+	if test "X$skipped_export" != "X:" &&
+	   func_len " $test_cmds" &&
+	   len=$func_len_result &&
+	   test "$len" -lt "$max_cmd_len" || test "$max_cmd_len" -le -1; then
+	  :
+	else
+	  # The command line is too long to link in one step, link piecewise
+	  # or, if using GNU ld and skipped_export is not :, use a linker
+	  # script.
+
+	  # Save the value of $output and $libobjs because we want to
+	  # use them later.  If we have whole_archive_flag_spec, we
+	  # want to use save_libobjs as it was before
+	  # whole_archive_flag_spec was expanded, because we can't
+	  # assume the linker understands whole_archive_flag_spec.
+	  # This may have to be revisited, in case too many
+	  # convenience libraries get linked in and end up exceeding
+	  # the spec.
+	  if test -z "$convenience" || test -z "$whole_archive_flag_spec"; then
+	    save_libobjs=$libobjs
+	  fi
+	  save_output=$output
+	  output_la=`$ECHO "X$output" | $Xsed -e "$basename"`
+
+	  # Clear the reloadable object creation command queue and
+	  # initialize k to one.
+	  test_cmds=
+	  concat_cmds=
+	  objlist=
+	  last_robj=
+	  k=1
+
+	  if test -n "$save_libobjs" && test "X$skipped_export" != "X:" && test "$with_gnu_ld" = yes; then
+	    output=${output_objdir}/${output_la}.lnkscript
+	    func_verbose "creating GNU ld script: $output"
+	    $ECHO 'INPUT (' > $output
+	    for obj in $save_libobjs
+	    do
+	      $ECHO "$obj" >> $output
+	    done
+	    $ECHO ')' >> $output
+	    delfiles="$delfiles $output"
+	  elif test -n "$save_libobjs" && test "X$skipped_export" != "X:" && test "X$file_list_spec" != X; then
+	    output=${output_objdir}/${output_la}.lnk
+	    func_verbose "creating linker input file list: $output"
+	    : > $output
+	    set x $save_libobjs
+	    shift
+	    firstobj=
+	    if test "$compiler_needs_object" = yes; then
+	      firstobj="$1 "
+	      shift
+	    fi
+	    for obj
+	    do
+	      $ECHO "$obj" >> $output
+	    done
+	    delfiles="$delfiles $output"
+	    output=$firstobj\"$file_list_spec$output\"
+	  else
+	    if test -n "$save_libobjs"; then
+	      func_verbose "creating reloadable object files..."
+	      output=$output_objdir/$output_la-${k}.$objext
+	      eval test_cmds=\"$reload_cmds\"
+	      func_len " $test_cmds"
+	      len0=$func_len_result
+	      len=$len0
+
+	      # Loop over the list of objects to be linked.
+	      for obj in $save_libobjs
+	      do
+		func_len " $obj"
+		func_arith $len + $func_len_result
+		len=$func_arith_result
+		if test "X$objlist" = X ||
+		   test "$len" -lt "$max_cmd_len"; then
+		  func_append objlist " $obj"
+		else
+		  # The command $test_cmds is almost too long, add a
+		  # command to the queue.
+		  if test "$k" -eq 1 ; then
+		    # The first file doesn't have a previous command to add.
+		    eval concat_cmds=\"$reload_cmds $objlist $last_robj\"
+		  else
+		    # All subsequent reloadable object files will link in
+		    # the last one created.
+		    eval concat_cmds=\"\$concat_cmds~$reload_cmds $objlist $last_robj~\$RM $last_robj\"
+		  fi
+		  last_robj=$output_objdir/$output_la-${k}.$objext
+		  func_arith $k + 1
+		  k=$func_arith_result
+		  output=$output_objdir/$output_la-${k}.$objext
+		  objlist=$obj
+		  func_len " $last_robj"
+		  func_arith $len0 + $func_len_result
+		  len=$func_arith_result
+		fi
+	      done
+	      # Handle the remaining objects by creating one last
+	      # reloadable object file.  All subsequent reloadable object
+	      # files will link in the last one created.
+	      test -z "$concat_cmds" || concat_cmds=$concat_cmds~
+	      eval concat_cmds=\"\${concat_cmds}$reload_cmds $objlist $last_robj\"
+	      if test -n "$last_robj"; then
+	        eval concat_cmds=\"\${concat_cmds}~\$RM $last_robj\"
+	      fi
+	      delfiles="$delfiles $output"
+
+	    else
+	      output=
+	    fi
+
+	    if ${skipped_export-false}; then
+	      func_verbose "generating symbol list for \`$libname.la'"
+	      export_symbols="$output_objdir/$libname.exp"
+	      $opt_dry_run || $RM $export_symbols
+	      libobjs=$output
+	      # Append the command to create the export file.
+	      test -z "$concat_cmds" || concat_cmds=$concat_cmds~
+	      eval concat_cmds=\"\$concat_cmds$export_symbols_cmds\"
+	      if test -n "$last_robj"; then
+		eval concat_cmds=\"\$concat_cmds~\$RM $last_robj\"
+	      fi
+	    fi
+
+	    test -n "$save_libobjs" &&
+	      func_verbose "creating a temporary reloadable object file: $output"
+
+	    # Loop through the commands generated above and execute them.
+	    save_ifs="$IFS"; IFS='~'
+	    for cmd in $concat_cmds; do
+	      IFS="$save_ifs"
+	      $opt_silent || {
+		  func_quote_for_expand "$cmd"
+		  eval "func_echo $func_quote_for_expand_result"
+	      }
+	      $opt_dry_run || eval "$cmd" || {
+		lt_exit=$?
+
+		# Restore the uninstalled library and exit
+		if test "$mode" = relink; then
+		  ( cd "$output_objdir" && \
+		    $RM "${realname}T" && \
+		    $MV "${realname}U" "$realname" )
+		fi
+
+		exit $lt_exit
+	      }
+	    done
+	    IFS="$save_ifs"
+
+	    if test -n "$export_symbols_regex" && ${skipped_export-false}; then
+	      func_show_eval '$EGREP -e "$export_symbols_regex" "$export_symbols" > "${export_symbols}T"'
+	      func_show_eval '$MV "${export_symbols}T" "$export_symbols"'
+	    fi
+	  fi
+
+          if ${skipped_export-false}; then
+	    if test -n "$export_symbols" && test -n "$include_expsyms"; then
+	      tmp_export_symbols="$export_symbols"
+	      test -n "$orig_export_symbols" && tmp_export_symbols="$orig_export_symbols"
+	      $opt_dry_run || eval '$ECHO "X$include_expsyms" | $Xsed | $SP2NL >> "$tmp_export_symbols"'
+	    fi
+
+	    if test -n "$orig_export_symbols"; then
+	      # The given exports_symbols file has to be filtered, so filter it.
+	      func_verbose "filter symbol list for \`$libname.la' to tag DATA exports"
+	      # FIXME: $output_objdir/$libname.filter potentially contains lots of
+	      # 's' commands which not all seds can handle. GNU sed should be fine
+	      # though. Also, the filter scales superlinearly with the number of
+	      # global variables. join(1) would be nice here, but unfortunately
+	      # isn't a blessed tool.
+	      $opt_dry_run || $SED -e '/[ ,]DATA/!d;s,\(.*\)\([ \,].*\),s|^\1$|\1\2|,' < $export_symbols > $output_objdir/$libname.filter
+	      delfiles="$delfiles $export_symbols $output_objdir/$libname.filter"
+	      export_symbols=$output_objdir/$libname.def
+	      $opt_dry_run || $SED -f $output_objdir/$libname.filter < $orig_export_symbols > $export_symbols
+	    fi
+	  fi
+
+	  libobjs=$output
+	  # Restore the value of output.
+	  output=$save_output
+
+	  if test -n "$convenience" && test -n "$whole_archive_flag_spec"; then
+	    eval libobjs=\"\$libobjs $whole_archive_flag_spec\"
+	    test "X$libobjs" = "X " && libobjs=
+	  fi
+	  # Expand the library linking commands again to reset the
+	  # value of $libobjs for piecewise linking.
+
+	  # Do each of the archive commands.
+	  if test "$module" = yes && test -n "$module_cmds" ; then
+	    if test -n "$export_symbols" && test -n "$module_expsym_cmds"; then
+	      cmds=$module_expsym_cmds
+	    else
+	      cmds=$module_cmds
+	    fi
+	  else
+	    if test -n "$export_symbols" && test -n "$archive_expsym_cmds"; then
+	      cmds=$archive_expsym_cmds
+	    else
+	      cmds=$archive_cmds
+	    fi
+	  fi
+	fi
+
+	if test -n "$delfiles"; then
+	  # Append the command to remove temporary files to $cmds.
+	  eval cmds=\"\$cmds~\$RM $delfiles\"
+	fi
+
+	# Add any objects from preloaded convenience libraries
+	if test -n "$dlprefiles"; then
+	  gentop="$output_objdir/${outputname}x"
+	  generated="$generated $gentop"
+
+	  func_extract_archives $gentop $dlprefiles
+	  libobjs="$libobjs $func_extract_archives_result"
+	  test "X$libobjs" = "X " && libobjs=
+	fi
+
+	save_ifs="$IFS"; IFS='~'
+	for cmd in $cmds; do
+	  IFS="$save_ifs"
+	  eval cmd=\"$cmd\"
+	  $opt_silent || {
+	    func_quote_for_expand "$cmd"
+	    eval "func_echo $func_quote_for_expand_result"
+	  }
+	  $opt_dry_run || eval "$cmd" || {
+	    lt_exit=$?
+
+	    # Restore the uninstalled library and exit
+	    if test "$mode" = relink; then
+	      ( cd "$output_objdir" && \
+	        $RM "${realname}T" && \
+		$MV "${realname}U" "$realname" )
+	    fi
+
+	    exit $lt_exit
+	  }
+	done
+	IFS="$save_ifs"
+
+	# Restore the uninstalled library and exit
+	if test "$mode" = relink; then
+	  $opt_dry_run || eval '(cd $output_objdir && $RM ${realname}T && $MV $realname ${realname}T && $MV ${realname}U $realname)' || exit $?
+
+	  if test -n "$convenience"; then
+	    if test -z "$whole_archive_flag_spec"; then
+	      func_show_eval '${RM}r "$gentop"'
+	    fi
+	  fi
+
+	  exit $EXIT_SUCCESS
+	fi
+
+	# Create links to the real library.
+	for linkname in $linknames; do
+	  if test "$realname" != "$linkname"; then
+	    func_show_eval '(cd "$output_objdir" && $RM "$linkname" && $LN_S "$realname" "$linkname")' 'exit $?'
+	  fi
+	done
+
+	# If -module or -export-dynamic was specified, set the dlname.
+	if test "$module" = yes || test "$export_dynamic" = yes; then
+	  # On all known operating systems, these are identical.
+	  dlname="$soname"
+	fi
+      fi
+      ;;
+
+    obj)
+      if test -n "$dlfiles$dlprefiles" || test "$dlself" != no; then
+	func_warning "\`-dlopen' is ignored for objects"
+      fi
+
+      case " $deplibs" in
+      *\ -l* | *\ -L*)
+	func_warning "\`-l' and \`-L' are ignored for objects" ;;
+      esac
+
+      test -n "$rpath" && \
+	func_warning "\`-rpath' is ignored for objects"
+
+      test -n "$xrpath" && \
+	func_warning "\`-R' is ignored for objects"
+
+      test -n "$vinfo" && \
+	func_warning "\`-version-info' is ignored for objects"
+
+      test -n "$release" && \
+	func_warning "\`-release' is ignored for objects"
+
+      case $output in
+      *.lo)
+	test -n "$objs$old_deplibs" && \
+	  func_fatal_error "cannot build library object \`$output' from non-libtool objects"
+
+	libobj=$output
+	func_lo2o "$libobj"
+	obj=$func_lo2o_result
+	;;
+      *)
+	libobj=
+	obj="$output"
+	;;
+      esac
+
+      # Delete the old objects.
+      $opt_dry_run || $RM $obj $libobj
+
+      # Objects from convenience libraries.  This assumes
+      # single-version convenience libraries.  Whenever we create
+      # different ones for PIC/non-PIC, this we'll have to duplicate
+      # the extraction.
+      reload_conv_objs=
+      gentop=
+      # reload_cmds runs $LD directly, so let us get rid of
+      # -Wl from whole_archive_flag_spec and hope we can get by with
+      # turning comma into space..
+      wl=
+
+      if test -n "$convenience"; then
+	if test -n "$whole_archive_flag_spec"; then
+	  eval tmp_whole_archive_flags=\"$whole_archive_flag_spec\"
+	  reload_conv_objs=$reload_objs\ `$ECHO "X$tmp_whole_archive_flags" | $Xsed -e 's|,| |g'`
+	else
+	  gentop="$output_objdir/${obj}x"
+	  generated="$generated $gentop"
+
+	  func_extract_archives $gentop $convenience
+	  reload_conv_objs="$reload_objs $func_extract_archives_result"
+	fi
+      fi
+
+      # Create the old-style object.
+      reload_objs="$objs$old_deplibs "`$ECHO "X$libobjs" | $SP2NL | $Xsed -e '/\.'${libext}$'/d' -e '/\.lib$/d' -e "$lo2o" | $NL2SP`" $reload_conv_objs" ### testsuite: skip nested quoting test
+
+      output="$obj"
+      func_execute_cmds "$reload_cmds" 'exit $?'
+
+      # Exit if we aren't doing a library object file.
+      if test -z "$libobj"; then
+	if test -n "$gentop"; then
+	  func_show_eval '${RM}r "$gentop"'
+	fi
+
+	exit $EXIT_SUCCESS
+      fi
+
+      if test "$build_libtool_libs" != yes; then
+	if test -n "$gentop"; then
+	  func_show_eval '${RM}r "$gentop"'
+	fi
+
+	# Create an invalid libtool object if no PIC, so that we don't
+	# accidentally link it into a program.
+	# $show "echo timestamp > $libobj"
+	# $opt_dry_run || eval "echo timestamp > $libobj" || exit $?
+	exit $EXIT_SUCCESS
+      fi
+
+      if test -n "$pic_flag" || test "$pic_mode" != default; then
+	# Only do commands if we really have different PIC objects.
+	reload_objs="$libobjs $reload_conv_objs"
+	output="$libobj"
+	func_execute_cmds "$reload_cmds" 'exit $?'
+      fi
+
+      if test -n "$gentop"; then
+	func_show_eval '${RM}r "$gentop"'
+      fi
+
+      exit $EXIT_SUCCESS
+      ;;
+
+    prog)
+      case $host in
+	*cygwin*) func_stripname '' '.exe' "$output"
+	          output=$func_stripname_result.exe;;
+      esac
+      test -n "$vinfo" && \
+	func_warning "\`-version-info' is ignored for programs"
+
+      test -n "$release" && \
+	func_warning "\`-release' is ignored for programs"
+
+      test "$preload" = yes \
+        && test "$dlopen_support" = unknown \
+	&& test "$dlopen_self" = unknown \
+	&& test "$dlopen_self_static" = unknown && \
+	  func_warning "\`LT_INIT([dlopen])' not used. Assuming no dlopen support."
+
+      case $host in
+      *-*-rhapsody* | *-*-darwin1.[012])
+	# On Rhapsody replace the C library is the System framework
+	compile_deplibs=`$ECHO "X $compile_deplibs" | $Xsed -e 's/ -lc / System.ltframework /'`
+	finalize_deplibs=`$ECHO "X $finalize_deplibs" | $Xsed -e 's/ -lc / System.ltframework /'`
+	;;
+      esac
+
+      case $host in
+      *-*-darwin*)
+	# Don't allow lazy linking, it breaks C++ global constructors
+	# But is supposedly fixed on 10.4 or later (yay!).
+	if test "$tagname" = CXX ; then
+	  case ${MACOSX_DEPLOYMENT_TARGET-10.0} in
+	    10.[0123])
+	      compile_command="$compile_command ${wl}-bind_at_load"
+	      finalize_command="$finalize_command ${wl}-bind_at_load"
+	    ;;
+	  esac
+	fi
+	# Time to change all our "foo.ltframework" stuff back to "-framework foo"
+	compile_deplibs=`$ECHO "X $compile_deplibs" | $Xsed -e 's% \([^ $]*\).ltframework% -framework \1%g'`
+	finalize_deplibs=`$ECHO "X $finalize_deplibs" | $Xsed -e 's% \([^ $]*\).ltframework% -framework \1%g'`
+	;;
+      esac
+
+
+      # move library search paths that coincide with paths to not yet
+      # installed libraries to the beginning of the library search list
+      new_libs=
+      for path in $notinst_path; do
+	case " $new_libs " in
+	*" -L$path/$objdir "*) ;;
+	*)
+	  case " $compile_deplibs " in
+	  *" -L$path/$objdir "*)
+	    new_libs="$new_libs -L$path/$objdir" ;;
+	  esac
+	  ;;
+	esac
+      done
+      for deplib in $compile_deplibs; do
+	case $deplib in
+	-L*)
+	  case " $new_libs " in
+	  *" $deplib "*) ;;
+	  *) new_libs="$new_libs $deplib" ;;
+	  esac
+	  ;;
+	*) new_libs="$new_libs $deplib" ;;
+	esac
+      done
+      compile_deplibs="$new_libs"
+
+
+      compile_command="$compile_command $compile_deplibs"
+      finalize_command="$finalize_command $finalize_deplibs"
+
+      if test -n "$rpath$xrpath"; then
+	# If the user specified any rpath flags, then add them.
+	for libdir in $rpath $xrpath; do
+	  # This is the magic to use -rpath.
+	  case "$finalize_rpath " in
+	  *" $libdir "*) ;;
+	  *) finalize_rpath="$finalize_rpath $libdir" ;;
+	  esac
+	done
+      fi
+
+      # Now hardcode the library paths
+      rpath=
+      hardcode_libdirs=
+      for libdir in $compile_rpath $finalize_rpath; do
+	if test -n "$hardcode_libdir_flag_spec"; then
+	  if test -n "$hardcode_libdir_separator"; then
+	    if test -z "$hardcode_libdirs"; then
+	      hardcode_libdirs="$libdir"
+	    else
+	      # Just accumulate the unique libdirs.
+	      case $hardcode_libdir_separator$hardcode_libdirs$hardcode_libdir_separator in
+	      *"$hardcode_libdir_separator$libdir$hardcode_libdir_separator"*)
+		;;
+	      *)
+		hardcode_libdirs="$hardcode_libdirs$hardcode_libdir_separator$libdir"
+		;;
+	      esac
+	    fi
+	  else
+	    eval flag=\"$hardcode_libdir_flag_spec\"
+	    rpath="$rpath $flag"
+	  fi
+	elif test -n "$runpath_var"; then
+	  case "$perm_rpath " in
+	  *" $libdir "*) ;;
+	  *) perm_rpath="$perm_rpath $libdir" ;;
+	  esac
+	fi
+	case $host in
+	*-*-cygwin* | *-*-mingw* | *-*-pw32* | *-*-os2* | *-cegcc*)
+	  testbindir=`${ECHO} "$libdir" | ${SED} -e 's*/lib$*/bin*'`
+	  case :$dllsearchpath: in
+	  *":$libdir:"*) ;;
+	  ::) dllsearchpath=$libdir;;
+	  *) dllsearchpath="$dllsearchpath:$libdir";;
+	  esac
+	  case :$dllsearchpath: in
+	  *":$testbindir:"*) ;;
+	  ::) dllsearchpath=$testbindir;;
+	  *) dllsearchpath="$dllsearchpath:$testbindir";;
+	  esac
+	  ;;
+	esac
+      done
+      # Substitute the hardcoded libdirs into the rpath.
+      if test -n "$hardcode_libdir_separator" &&
+	 test -n "$hardcode_libdirs"; then
+	libdir="$hardcode_libdirs"
+	eval rpath=\" $hardcode_libdir_flag_spec\"
+      fi
+      compile_rpath="$rpath"
+
+      rpath=
+      hardcode_libdirs=
+      for libdir in $finalize_rpath; do
+	if test -n "$hardcode_libdir_flag_spec"; then
+	  if test -n "$hardcode_libdir_separator"; then
+	    if test -z "$hardcode_libdirs"; then
+	      hardcode_libdirs="$libdir"
+	    else
+	      # Just accumulate the unique libdirs.
+	      case $hardcode_libdir_separator$hardcode_libdirs$hardcode_libdir_separator in
+	      *"$hardcode_libdir_separator$libdir$hardcode_libdir_separator"*)
+		;;
+	      *)
+		hardcode_libdirs="$hardcode_libdirs$hardcode_libdir_separator$libdir"
+		;;
+	      esac
+	    fi
+	  else
+	    eval flag=\"$hardcode_libdir_flag_spec\"
+	    rpath="$rpath $flag"
+	  fi
+	elif test -n "$runpath_var"; then
+	  case "$finalize_perm_rpath " in
+	  *" $libdir "*) ;;
+	  *) finalize_perm_rpath="$finalize_perm_rpath $libdir" ;;
+	  esac
+	fi
+      done
+      # Substitute the hardcoded libdirs into the rpath.
+      if test -n "$hardcode_libdir_separator" &&
+	 test -n "$hardcode_libdirs"; then
+	libdir="$hardcode_libdirs"
+	eval rpath=\" $hardcode_libdir_flag_spec\"
+      fi
+      finalize_rpath="$rpath"
+
+      if test -n "$libobjs" && test "$build_old_libs" = yes; then
+	# Transform all the library objects into standard objects.
+	compile_command=`$ECHO "X$compile_command" | $SP2NL | $Xsed -e "$lo2o" | $NL2SP`
+	finalize_command=`$ECHO "X$finalize_command" | $SP2NL | $Xsed -e "$lo2o" | $NL2SP`
+      fi
+
+      func_generate_dlsyms "$outputname" "@PROGRAM@" "no"
+
+      # template prelinking step
+      if test -n "$prelink_cmds"; then
+	func_execute_cmds "$prelink_cmds" 'exit $?'
+      fi
+
+      wrappers_required=yes
+      case $host in
+      *cygwin* | *mingw* )
+        if test "$build_libtool_libs" != yes; then
+          wrappers_required=no
+        fi
+        ;;
+      *cegcc)
+        # Disable wrappers for cegcc, we are cross compiling anyway.
+        wrappers_required=no
+        ;;
+      *)
+        if test "$need_relink" = no || test "$build_libtool_libs" != yes; then
+          wrappers_required=no
+        fi
+        ;;
+      esac
+      if test "$wrappers_required" = no; then
+	# Replace the output file specification.
+	compile_command=`$ECHO "X$compile_command" | $Xsed -e 's%@OUTPUT@%'"$output"'%g'`
+	link_command="$compile_command$compile_rpath"
+
+	# We have no uninstalled library dependencies, so finalize right now.
+	exit_status=0
+	func_show_eval "$link_command" 'exit_status=$?'
+
+	# Delete the generated files.
+	if test -f "$output_objdir/${outputname}S.${objext}"; then
+	  func_show_eval '$RM "$output_objdir/${outputname}S.${objext}"'
+	fi
+
+	exit $exit_status
+      fi
+
+      if test -n "$compile_shlibpath$finalize_shlibpath"; then
+	compile_command="$shlibpath_var=\"$compile_shlibpath$finalize_shlibpath\$$shlibpath_var\" $compile_command"
+      fi
+      if test -n "$finalize_shlibpath"; then
+	finalize_command="$shlibpath_var=\"$finalize_shlibpath\$$shlibpath_var\" $finalize_command"
+      fi
+
+      compile_var=
+      finalize_var=
+      if test -n "$runpath_var"; then
+	if test -n "$perm_rpath"; then
+	  # We should set the runpath_var.
+	  rpath=
+	  for dir in $perm_rpath; do
+	    rpath="$rpath$dir:"
+	  done
+	  compile_var="$runpath_var=\"$rpath\$$runpath_var\" "
+	fi
+	if test -n "$finalize_perm_rpath"; then
+	  # We should set the runpath_var.
+	  rpath=
+	  for dir in $finalize_perm_rpath; do
+	    rpath="$rpath$dir:"
+	  done
+	  finalize_var="$runpath_var=\"$rpath\$$runpath_var\" "
+	fi
+      fi
+
+      if test "$no_install" = yes; then
+	# We don't need to create a wrapper script.
+	link_command="$compile_var$compile_command$compile_rpath"
+	# Replace the output file specification.
+	link_command=`$ECHO "X$link_command" | $Xsed -e 's%@OUTPUT@%'"$output"'%g'`
+	# Delete the old output file.
+	$opt_dry_run || $RM $output
+	# Link the executable and exit
+	func_show_eval "$link_command" 'exit $?'
+	exit $EXIT_SUCCESS
+      fi
+
+      if test "$hardcode_action" = relink; then
+	# Fast installation is not supported
+	link_command="$compile_var$compile_command$compile_rpath"
+	relink_command="$finalize_var$finalize_command$finalize_rpath"
+
+	func_warning "this platform does not like uninstalled shared libraries"
+	func_warning "\`$output' will be relinked during installation"
+      else
+	if test "$fast_install" != no; then
+	  link_command="$finalize_var$compile_command$finalize_rpath"
+	  if test "$fast_install" = yes; then
+	    relink_command=`$ECHO "X$compile_var$compile_command$compile_rpath" | $Xsed -e 's%@OUTPUT@%\$progdir/\$file%g'`
+	  else
+	    # fast_install is set to needless
+	    relink_command=
+	  fi
+	else
+	  link_command="$compile_var$compile_command$compile_rpath"
+	  relink_command="$finalize_var$finalize_command$finalize_rpath"
+	fi
+      fi
+
+      # Replace the output file specification.
+      link_command=`$ECHO "X$link_command" | $Xsed -e 's%@OUTPUT@%'"$output_objdir/$outputname"'%g'`
+
+      # Delete the old output files.
+      $opt_dry_run || $RM $output $output_objdir/$outputname $output_objdir/lt-$outputname
+
+      func_show_eval "$link_command" 'exit $?'
+
+      # Now create the wrapper script.
+      func_verbose "creating $output"
+
+      # Quote the relink command for shipping.
+      if test -n "$relink_command"; then
+	# Preserve any variables that may affect compiler behavior
+	for var in $variables_saved_for_relink; do
+	  if eval test -z \"\${$var+set}\"; then
+	    relink_command="{ test -z \"\${$var+set}\" || $lt_unset $var || { $var=; export $var; }; }; $relink_command"
+	  elif eval var_value=\$$var; test -z "$var_value"; then
+	    relink_command="$var=; export $var; $relink_command"
+	  else
+	    func_quote_for_eval "$var_value"
+	    relink_command="$var=$func_quote_for_eval_result; export $var; $relink_command"
+	  fi
+	done
+	relink_command="(cd `pwd`; $relink_command)"
+	relink_command=`$ECHO "X$relink_command" | $Xsed -e "$sed_quote_subst"`
+      fi
+
+      # Quote $ECHO for shipping.
+      if test "X$ECHO" = "X$SHELL $progpath --fallback-echo"; then
+	case $progpath in
+	[\\/]* | [A-Za-z]:[\\/]*) qecho="$SHELL $progpath --fallback-echo";;
+	*) qecho="$SHELL `pwd`/$progpath --fallback-echo";;
+	esac
+	qecho=`$ECHO "X$qecho" | $Xsed -e "$sed_quote_subst"`
+      else
+	qecho=`$ECHO "X$ECHO" | $Xsed -e "$sed_quote_subst"`
+      fi
+
+      # Only actually do things if not in dry run mode.
+      $opt_dry_run || {
+	# win32 will think the script is a binary if it has
+	# a .exe suffix, so we strip it off here.
+	case $output in
+	  *.exe) func_stripname '' '.exe' "$output"
+	         output=$func_stripname_result ;;
+	esac
+	# test for cygwin because mv fails w/o .exe extensions
+	case $host in
+	  *cygwin*)
+	    exeext=.exe
+	    func_stripname '' '.exe' "$outputname"
+	    outputname=$func_stripname_result ;;
+	  *) exeext= ;;
+	esac
+	case $host in
+	  *cygwin* | *mingw* )
+	    func_dirname_and_basename "$output" "" "."
+	    output_name=$func_basename_result
+	    output_path=$func_dirname_result
+	    cwrappersource="$output_path/$objdir/lt-$output_name.c"
+	    cwrapper="$output_path/$output_name.exe"
+	    $RM $cwrappersource $cwrapper
+	    trap "$RM $cwrappersource $cwrapper; exit $EXIT_FAILURE" 1 2 15
+
+	    func_emit_cwrapperexe_src > $cwrappersource
+
+	    # The wrapper executable is built using the $host compiler,
+	    # because it contains $host paths and files. If cross-
+	    # compiling, it, like the target executable, must be
+	    # executed on the $host or under an emulation environment.
+	    $opt_dry_run || {
+	      $LTCC $LTCFLAGS -o $cwrapper $cwrappersource
+	      $STRIP $cwrapper
+	    }
+
+	    # Now, create the wrapper script for func_source use:
+	    func_ltwrapper_scriptname $cwrapper
+	    $RM $func_ltwrapper_scriptname_result
+	    trap "$RM $func_ltwrapper_scriptname_result; exit $EXIT_FAILURE" 1 2 15
+	    $opt_dry_run || {
+	      # note: this script will not be executed, so do not chmod.
+	      if test "x$build" = "x$host" ; then
+		$cwrapper --lt-dump-script > $func_ltwrapper_scriptname_result
+	      else
+		func_emit_wrapper no > $func_ltwrapper_scriptname_result
+	      fi
+	    }
+	  ;;
+	  * )
+	    $RM $output
+	    trap "$RM $output; exit $EXIT_FAILURE" 1 2 15
+
+	    func_emit_wrapper no > $output
+	    chmod +x $output
+	  ;;
+	esac
+      }
+      exit $EXIT_SUCCESS
+      ;;
+    esac
+
+    # See if we need to build an old-fashioned archive.
+    for oldlib in $oldlibs; do
+
+      if test "$build_libtool_libs" = convenience; then
+	oldobjs="$libobjs_save $symfileobj"
+	addlibs="$convenience"
+	build_libtool_libs=no
+      else
+	if test "$build_libtool_libs" = module; then
+	  oldobjs="$libobjs_save"
+	  build_libtool_libs=no
+	else
+	  oldobjs="$old_deplibs $non_pic_objects"
+	  if test "$preload" = yes && test -f "$symfileobj"; then
+	    oldobjs="$oldobjs $symfileobj"
+	  fi
+	fi
+	addlibs="$old_convenience"
+      fi
+
+      if test -n "$addlibs"; then
+	gentop="$output_objdir/${outputname}x"
+	generated="$generated $gentop"
+
+	func_extract_archives $gentop $addlibs
+	oldobjs="$oldobjs $func_extract_archives_result"
+      fi
+
+      # Do each command in the archive commands.
+      if test -n "$old_archive_from_new_cmds" && test "$build_libtool_libs" = yes; then
+	cmds=$old_archive_from_new_cmds
+      else
+
+	# Add any objects from preloaded convenience libraries
+	if test -n "$dlprefiles"; then
+	  gentop="$output_objdir/${outputname}x"
+	  generated="$generated $gentop"
+
+	  func_extract_archives $gentop $dlprefiles
+	  oldobjs="$oldobjs $func_extract_archives_result"
+	fi
+
+	# POSIX demands no paths to be encoded in archives.  We have
+	# to avoid creating archives with duplicate basenames if we
+	# might have to extract them afterwards, e.g., when creating a
+	# static archive out of a convenience library, or when linking
+	# the entirety of a libtool archive into another (currently
+	# not supported by libtool).
+	if (for obj in $oldobjs
+	    do
+	      func_basename "$obj"
+	      $ECHO "$func_basename_result"
+	    done | sort | sort -uc >/dev/null 2>&1); then
+	  :
+	else
+	  $ECHO "copying selected object files to avoid basename conflicts..."
+	  gentop="$output_objdir/${outputname}x"
+	  generated="$generated $gentop"
+	  func_mkdir_p "$gentop"
+	  save_oldobjs=$oldobjs
+	  oldobjs=
+	  counter=1
+	  for obj in $save_oldobjs
+	  do
+	    func_basename "$obj"
+	    objbase="$func_basename_result"
+	    case " $oldobjs " in
+	    " ") oldobjs=$obj ;;
+	    *[\ /]"$objbase "*)
+	      while :; do
+		# Make sure we don't pick an alternate name that also
+		# overlaps.
+		newobj=lt$counter-$objbase
+		func_arith $counter + 1
+		counter=$func_arith_result
+		case " $oldobjs " in
+		*[\ /]"$newobj "*) ;;
+		*) if test ! -f "$gentop/$newobj"; then break; fi ;;
+		esac
+	      done
+	      func_show_eval "ln $obj $gentop/$newobj || cp $obj $gentop/$newobj"
+	      oldobjs="$oldobjs $gentop/$newobj"
+	      ;;
+	    *) oldobjs="$oldobjs $obj" ;;
+	    esac
+	  done
+	fi
+	eval cmds=\"$old_archive_cmds\"
+
+	func_len " $cmds"
+	len=$func_len_result
+	if test "$len" -lt "$max_cmd_len" || test "$max_cmd_len" -le -1; then
+	  cmds=$old_archive_cmds
+	else
+	  # the command line is too long to link in one step, link in parts
+	  func_verbose "using piecewise archive linking..."
+	  save_RANLIB=$RANLIB
+	  RANLIB=:
+	  objlist=
+	  concat_cmds=
+	  save_oldobjs=$oldobjs
+	  oldobjs=
+	  # Is there a better way of finding the last object in the list?
+	  for obj in $save_oldobjs
+	  do
+	    last_oldobj=$obj
+	  done
+	  eval test_cmds=\"$old_archive_cmds\"
+	  func_len " $test_cmds"
+	  len0=$func_len_result
+	  len=$len0
+	  for obj in $save_oldobjs
+	  do
+	    func_len " $obj"
+	    func_arith $len + $func_len_result
+	    len=$func_arith_result
+	    func_append objlist " $obj"
+	    if test "$len" -lt "$max_cmd_len"; then
+	      :
+	    else
+	      # the above command should be used before it gets too long
+	      oldobjs=$objlist
+	      if test "$obj" = "$last_oldobj" ; then
+		RANLIB=$save_RANLIB
+	      fi
+	      test -z "$concat_cmds" || concat_cmds=$concat_cmds~
+	      eval concat_cmds=\"\${concat_cmds}$old_archive_cmds\"
+	      objlist=
+	      len=$len0
+	    fi
+	  done
+	  RANLIB=$save_RANLIB
+	  oldobjs=$objlist
+	  if test "X$oldobjs" = "X" ; then
+	    eval cmds=\"\$concat_cmds\"
+	  else
+	    eval cmds=\"\$concat_cmds~\$old_archive_cmds\"
+	  fi
+	fi
+      fi
+      func_execute_cmds "$cmds" 'exit $?'
+    done
+
+    test -n "$generated" && \
+      func_show_eval "${RM}r$generated"
+
+    # Now create the libtool archive.
+    case $output in
+    *.la)
+      old_library=
+      test "$build_old_libs" = yes && old_library="$libname.$libext"
+      func_verbose "creating $output"
+
+      # Preserve any variables that may affect compiler behavior
+      for var in $variables_saved_for_relink; do
+	if eval test -z \"\${$var+set}\"; then
+	  relink_command="{ test -z \"\${$var+set}\" || $lt_unset $var || { $var=; export $var; }; }; $relink_command"
+	elif eval var_value=\$$var; test -z "$var_value"; then
+	  relink_command="$var=; export $var; $relink_command"
+	else
+	  func_quote_for_eval "$var_value"
+	  relink_command="$var=$func_quote_for_eval_result; export $var; $relink_command"
+	fi
+      done
+      # Quote the link command for shipping.
+      relink_command="(cd `pwd`; $SHELL $progpath $preserve_args --mode=relink $libtool_args @inst_prefix_dir@)"
+      relink_command=`$ECHO "X$relink_command" | $Xsed -e "$sed_quote_subst"`
+      if test "$hardcode_automatic" = yes ; then
+	relink_command=
+      fi
+
+      # Only create the output if not a dry run.
+      $opt_dry_run || {
+	for installed in no yes; do
+	  if test "$installed" = yes; then
+	    if test -z "$install_libdir"; then
+	      break
+	    fi
+	    output="$output_objdir/$outputname"i
+	    # Replace all uninstalled libtool libraries with the installed ones
+	    newdependency_libs=
+	    for deplib in $dependency_libs; do
+	      case $deplib in
+	      *.la)
+		func_basename "$deplib"
+		name="$func_basename_result"
+		eval libdir=`${SED} -n -e 's/^libdir=\(.*\)$/\1/p' $deplib`
+		test -z "$libdir" && \
+		  func_fatal_error "\`$deplib' is not a valid libtool archive"
+		newdependency_libs="$newdependency_libs $libdir/$name"
+		;;
+	      *) newdependency_libs="$newdependency_libs $deplib" ;;
+	      esac
+	    done
+	    dependency_libs="$newdependency_libs"
+	    newdlfiles=
+
+	    for lib in $dlfiles; do
+	      case $lib in
+	      *.la)
+	        func_basename "$lib"
+		name="$func_basename_result"
+		eval libdir=`${SED} -n -e 's/^libdir=\(.*\)$/\1/p' $lib`
+		test -z "$libdir" && \
+		  func_fatal_error "\`$lib' is not a valid libtool archive"
+		newdlfiles="$newdlfiles $libdir/$name"
+		;;
+	      *) newdlfiles="$newdlfiles $lib" ;;
+	      esac
+	    done
+	    dlfiles="$newdlfiles"
+	    newdlprefiles=
+	    for lib in $dlprefiles; do
+	      case $lib in
+	      *.la)
+		# Only pass preopened files to the pseudo-archive (for
+		# eventual linking with the app. that links it) if we
+		# didn't already link the preopened objects directly into
+		# the library:
+		func_basename "$lib"
+		name="$func_basename_result"
+		eval libdir=`${SED} -n -e 's/^libdir=\(.*\)$/\1/p' $lib`
+		test -z "$libdir" && \
+		  func_fatal_error "\`$lib' is not a valid libtool archive"
+		newdlprefiles="$newdlprefiles $libdir/$name"
+		;;
+	      esac
+	    done
+	    dlprefiles="$newdlprefiles"
+	  else
+	    newdlfiles=
+	    for lib in $dlfiles; do
+	      case $lib in
+		[\\/]* | [A-Za-z]:[\\/]*) abs="$lib" ;;
+		*) abs=`pwd`"/$lib" ;;
+	      esac
+	      newdlfiles="$newdlfiles $abs"
+	    done
+	    dlfiles="$newdlfiles"
+	    newdlprefiles=
+	    for lib in $dlprefiles; do
+	      case $lib in
+		[\\/]* | [A-Za-z]:[\\/]*) abs="$lib" ;;
+		*) abs=`pwd`"/$lib" ;;
+	      esac
+	      newdlprefiles="$newdlprefiles $abs"
+	    done
+	    dlprefiles="$newdlprefiles"
+	  fi
+	  $RM $output
+	  # place dlname in correct position for cygwin
+	  tdlname=$dlname
+	  case $host,$output,$installed,$module,$dlname in
+	    *cygwin*,*lai,yes,no,*.dll | *mingw*,*lai,yes,no,*.dll | *cegcc*,*lai,yes,no,*.dll) tdlname=../bin/$dlname ;;
+	  esac
+	  $ECHO > $output "\
+# $outputname - a libtool library file
+# Generated by $PROGRAM (GNU $PACKAGE$TIMESTAMP) $VERSION
+#
+# Please DO NOT delete this file!
+# It is necessary for linking the library.
+
+# The name that we can dlopen(3).
+dlname='$tdlname'
+
+# Names of this library.
+library_names='$library_names'
+
+# The name of the static archive.
+old_library='$old_library'
+
+# Linker flags that can not go in dependency_libs.
+inherited_linker_flags='$new_inherited_linker_flags'
+
+# Libraries that this one depends upon.
+dependency_libs='$dependency_libs'
+
+# Names of additional weak libraries provided by this library
+weak_library_names='$weak_libs'
+
+# Version information for $libname.
+current=$current
+age=$age
+revision=$revision
+
+# Is this an already installed library?
+installed=$installed
+
+# Should we warn about portability when linking against -modules?
+shouldnotlink=$module
+
+# Files to dlopen/dlpreopen
+dlopen='$dlfiles'
+dlpreopen='$dlprefiles'
+
+# Directory that this library needs to be installed in:
+libdir='$install_libdir'"
+	  if test "$installed" = no && test "$need_relink" = yes; then
+	    $ECHO >> $output "\
+relink_command=\"$relink_command\""
+	  fi
+	done
+      }
+
+      # Do a symbolic link so that the libtool archive can be found in
+      # LD_LIBRARY_PATH before the program is installed.
+      func_show_eval '( cd "$output_objdir" && $RM "$outputname" && $LN_S "../$outputname" "$outputname" )' 'exit $?'
+      ;;
+    esac
+    exit $EXIT_SUCCESS
+}
+
+{ test "$mode" = link || test "$mode" = relink; } &&
+    func_mode_link ${1+"$@"}
+
+
+# func_mode_uninstall arg...
+func_mode_uninstall ()
+{
+    $opt_debug
+    RM="$nonopt"
+    files=
+    rmforce=
+    exit_status=0
+
+    # This variable tells wrapper scripts just to set variables rather
+    # than running their programs.
+    libtool_install_magic="$magic"
+
+    for arg
+    do
+      case $arg in
+      -f) RM="$RM $arg"; rmforce=yes ;;
+      -*) RM="$RM $arg" ;;
+      *) files="$files $arg" ;;
+      esac
+    done
+
+    test -z "$RM" && \
+      func_fatal_help "you must specify an RM program"
+
+    rmdirs=
+
+    origobjdir="$objdir"
+    for file in $files; do
+      func_dirname "$file" "" "."
+      dir="$func_dirname_result"
+      if test "X$dir" = X.; then
+	objdir="$origobjdir"
+      else
+	objdir="$dir/$origobjdir"
+      fi
+      func_basename "$file"
+      name="$func_basename_result"
+      test "$mode" = uninstall && objdir="$dir"
+
+      # Remember objdir for removal later, being careful to avoid duplicates
+      if test "$mode" = clean; then
+	case " $rmdirs " in
+	  *" $objdir "*) ;;
+	  *) rmdirs="$rmdirs $objdir" ;;
+	esac
+      fi
+
+      # Don't error if the file doesn't exist and rm -f was used.
+      if { test -L "$file"; } >/dev/null 2>&1 ||
+	 { test -h "$file"; } >/dev/null 2>&1 ||
+	 test -f "$file"; then
+	:
+      elif test -d "$file"; then
+	exit_status=1
+	continue
+      elif test "$rmforce" = yes; then
+	continue
+      fi
+
+      rmfiles="$file"
+
+      case $name in
+      *.la)
+	# Possibly a libtool archive, so verify it.
+	if func_lalib_p "$file"; then
+	  func_source $dir/$name
+
+	  # Delete the libtool libraries and symlinks.
+	  for n in $library_names; do
+	    rmfiles="$rmfiles $objdir/$n"
+	  done
+	  test -n "$old_library" && rmfiles="$rmfiles $objdir/$old_library"
+
+	  case "$mode" in
+	  clean)
+	    case "  $library_names " in
+	    # "  " in the beginning catches empty $dlname
+	    *" $dlname "*) ;;
+	    *) rmfiles="$rmfiles $objdir/$dlname" ;;
+	    esac
+	    test -n "$libdir" && rmfiles="$rmfiles $objdir/$name $objdir/${name}i"
+	    ;;
+	  uninstall)
+	    if test -n "$library_names"; then
+	      # Do each command in the postuninstall commands.
+	      func_execute_cmds "$postuninstall_cmds" 'test "$rmforce" = yes || exit_status=1'
+	    fi
+
+	    if test -n "$old_library"; then
+	      # Do each command in the old_postuninstall commands.
+	      func_execute_cmds "$old_postuninstall_cmds" 'test "$rmforce" = yes || exit_status=1'
+	    fi
+	    # FIXME: should reinstall the best remaining shared library.
+	    ;;
+	  esac
+	fi
+	;;
+
+      *.lo)
+	# Possibly a libtool object, so verify it.
+	if func_lalib_p "$file"; then
+
+	  # Read the .lo file
+	  func_source $dir/$name
+
+	  # Add PIC object to the list of files to remove.
+	  if test -n "$pic_object" &&
+	     test "$pic_object" != none; then
+	    rmfiles="$rmfiles $dir/$pic_object"
+	  fi
+
+	  # Add non-PIC object to the list of files to remove.
+	  if test -n "$non_pic_object" &&
+	     test "$non_pic_object" != none; then
+	    rmfiles="$rmfiles $dir/$non_pic_object"
+	  fi
+	fi
+	;;
+
+      *)
+	if test "$mode" = clean ; then
+	  noexename=$name
+	  case $file in
+	  *.exe)
+	    func_stripname '' '.exe' "$file"
+	    file=$func_stripname_result
+	    func_stripname '' '.exe' "$name"
+	    noexename=$func_stripname_result
+	    # $file with .exe has already been added to rmfiles,
+	    # add $file without .exe
+	    rmfiles="$rmfiles $file"
+	    ;;
+	  esac
+	  # Do a test to see if this is a libtool program.
+	  if func_ltwrapper_p "$file"; then
+	    if func_ltwrapper_executable_p "$file"; then
+	      func_ltwrapper_scriptname "$file"
+	      relink_command=
+	      func_source $func_ltwrapper_scriptname_result
+	      rmfiles="$rmfiles $func_ltwrapper_scriptname_result"
+	    else
+	      relink_command=
+	      func_source $dir/$noexename
+	    fi
+
+	    # note $name still contains .exe if it was in $file originally
+	    # as does the version of $file that was added into $rmfiles
+	    rmfiles="$rmfiles $objdir/$name $objdir/${name}S.${objext}"
+	    if test "$fast_install" = yes && test -n "$relink_command"; then
+	      rmfiles="$rmfiles $objdir/lt-$name"
+	    fi
+	    if test "X$noexename" != "X$name" ; then
+	      rmfiles="$rmfiles $objdir/lt-${noexename}.c"
+	    fi
+	  fi
+	fi
+	;;
+      esac
+      func_show_eval "$RM $rmfiles" 'exit_status=1'
+    done
+    objdir="$origobjdir"
+
+    # Try to remove the ${objdir}s in the directories where we deleted files
+    for dir in $rmdirs; do
+      if test -d "$dir"; then
+	func_show_eval "rmdir $dir >/dev/null 2>&1"
+      fi
+    done
+
+    exit $exit_status
+}
+
+{ test "$mode" = uninstall || test "$mode" = clean; } &&
+    func_mode_uninstall ${1+"$@"}
+
+test -z "$mode" && {
+  help="$generic_help"
+  func_fatal_help "you must specify a MODE"
+}
+
+test -z "$exec_cmd" && \
+  func_fatal_help "invalid operation mode \`$mode'"
+
+if test -n "$exec_cmd"; then
+  eval exec "$exec_cmd"
+  exit $EXIT_FAILURE
+fi
+
+exit $exit_status
+
+
+# The TAGs below are defined such that we never get into a situation
+# in which we disable both kinds of libraries.  Given conflicting
+# choices, we go for a static library, that is the most portable,
+# since we can't tell whether shared libraries were disabled because
+# the user asked for that or because the platform doesn't support
+# them.  This is particularly important on AIX, because we don't
+# support having both static and shared libraries enabled at the same
+# time on that platform, so we default to a shared-only configuration.
+# If a disable-shared tag is given, we'll fallback to a static-only
+# configuration.  But we'll never go from static-only to shared-only.
+
+# ### BEGIN LIBTOOL TAG CONFIG: disable-shared
+build_libtool_libs=no
+build_old_libs=yes
+# ### END LIBTOOL TAG CONFIG: disable-shared
+
+# ### BEGIN LIBTOOL TAG CONFIG: disable-static
+build_old_libs=`case $build_libtool_libs in yes) echo no;; *) echo yes;; esac`
+# ### END LIBTOOL TAG CONFIG: disable-static
+
+# Local Variables:
+# mode:shell-script
+# sh-indentation:2
+# End:
+# vi:sw=2
+
diff --git a/auxconf/missing b/auxconf/missing
new file mode 100755
index 0000000..28055d2
--- /dev/null
+++ b/auxconf/missing
@@ -0,0 +1,376 @@
+#! /bin/sh
+# Common stub for a few missing GNU programs while installing.
+
+scriptversion=2009-04-28.21; # UTC
+
+# Copyright (C) 1996, 1997, 1999, 2000, 2002, 2003, 2004, 2005, 2006,
+# 2008, 2009 Free Software Foundation, Inc.
+# Originally by Fran,cois Pinard <pinard at iro.umontreal.ca>, 1996.
+
+# This program is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 2, or (at your option)
+# any later version.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+
+# You should have received a copy of the GNU General Public License
+# along with this program.  If not, see <http://www.gnu.org/licenses/>.
+
+# As a special exception to the GNU General Public License, if you
+# distribute this file as part of a program that contains a
+# configuration script generated by Autoconf, you may include it under
+# the same distribution terms that you use for the rest of that program.
+
+if test $# -eq 0; then
+  echo 1>&2 "Try \`$0 --help' for more information"
+  exit 1
+fi
+
+run=:
+sed_output='s/.* --output[ =]\([^ ]*\).*/\1/p'
+sed_minuso='s/.* -o \([^ ]*\).*/\1/p'
+
+# In the cases where this matters, `missing' is being run in the
+# srcdir already.
+if test -f configure.ac; then
+  configure_ac=configure.ac
+else
+  configure_ac=configure.in
+fi
+
+msg="missing on your system"
+
+case $1 in
+--run)
+  # Try to run requested program, and just exit if it succeeds.
+  run=
+  shift
+  "$@" && exit 0
+  # Exit code 63 means version mismatch.  This often happens
+  # when the user try to use an ancient version of a tool on
+  # a file that requires a minimum version.  In this case we
+  # we should proceed has if the program had been absent, or
+  # if --run hadn't been passed.
+  if test $? = 63; then
+    run=:
+    msg="probably too old"
+  fi
+  ;;
+
+  -h|--h|--he|--hel|--help)
+    echo "\
+$0 [OPTION]... PROGRAM [ARGUMENT]...
+
+Handle \`PROGRAM [ARGUMENT]...' for when PROGRAM is missing, or return an
+error status if there is no known handling for PROGRAM.
+
+Options:
+  -h, --help      display this help and exit
+  -v, --version   output version information and exit
+  --run           try to run the given command, and emulate it if it fails
+
+Supported PROGRAM values:
+  aclocal      touch file \`aclocal.m4'
+  autoconf     touch file \`configure'
+  autoheader   touch file \`config.h.in'
+  autom4te     touch the output file, or create a stub one
+  automake     touch all \`Makefile.in' files
+  bison        create \`y.tab.[ch]', if possible, from existing .[ch]
+  flex         create \`lex.yy.c', if possible, from existing .c
+  help2man     touch the output file
+  lex          create \`lex.yy.c', if possible, from existing .c
+  makeinfo     touch the output file
+  tar          try tar, gnutar, gtar, then tar without non-portable flags
+  yacc         create \`y.tab.[ch]', if possible, from existing .[ch]
+
+Version suffixes to PROGRAM as well as the prefixes \`gnu-', \`gnu', and
+\`g' are ignored when checking the name.
+
+Send bug reports to <bug-automake at gnu.org>."
+    exit $?
+    ;;
+
+  -v|--v|--ve|--ver|--vers|--versi|--versio|--version)
+    echo "missing $scriptversion (GNU Automake)"
+    exit $?
+    ;;
+
+  -*)
+    echo 1>&2 "$0: Unknown \`$1' option"
+    echo 1>&2 "Try \`$0 --help' for more information"
+    exit 1
+    ;;
+
+esac
+
+# normalize program name to check for.
+program=`echo "$1" | sed '
+  s/^gnu-//; t
+  s/^gnu//; t
+  s/^g//; t'`
+
+# Now exit if we have it, but it failed.  Also exit now if we
+# don't have it and --version was passed (most likely to detect
+# the program).  This is about non-GNU programs, so use $1 not
+# $program.
+case $1 in
+  lex*|yacc*)
+    # Not GNU programs, they don't have --version.
+    ;;
+
+  tar*)
+    if test -n "$run"; then
+       echo 1>&2 "ERROR: \`tar' requires --run"
+       exit 1
+    elif test "x$2" = "x--version" || test "x$2" = "x--help"; then
+       exit 1
+    fi
+    ;;
+
+  *)
+    if test -z "$run" && ($1 --version) > /dev/null 2>&1; then
+       # We have it, but it failed.
+       exit 1
+    elif test "x$2" = "x--version" || test "x$2" = "x--help"; then
+       # Could not run --version or --help.  This is probably someone
+       # running `$TOOL --version' or `$TOOL --help' to check whether
+       # $TOOL exists and not knowing $TOOL uses missing.
+       exit 1
+    fi
+    ;;
+esac
+
+# If it does not exist, or fails to run (possibly an outdated version),
+# try to emulate it.
+case $program in
+  aclocal*)
+    echo 1>&2 "\
+WARNING: \`$1' is $msg.  You should only need it if
+         you modified \`acinclude.m4' or \`${configure_ac}'.  You might want
+         to install the \`Automake' and \`Perl' packages.  Grab them from
+         any GNU archive site."
+    touch aclocal.m4
+    ;;
+
+  autoconf*)
+    echo 1>&2 "\
+WARNING: \`$1' is $msg.  You should only need it if
+         you modified \`${configure_ac}'.  You might want to install the
+         \`Autoconf' and \`GNU m4' packages.  Grab them from any GNU
+         archive site."
+    touch configure
+    ;;
+
+  autoheader*)
+    echo 1>&2 "\
+WARNING: \`$1' is $msg.  You should only need it if
+         you modified \`acconfig.h' or \`${configure_ac}'.  You might want
+         to install the \`Autoconf' and \`GNU m4' packages.  Grab them
+         from any GNU archive site."
+    files=`sed -n 's/^[ ]*A[CM]_CONFIG_HEADER(\([^)]*\)).*/\1/p' ${configure_ac}`
+    test -z "$files" && files="config.h"
+    touch_files=
+    for f in $files; do
+      case $f in
+      *:*) touch_files="$touch_files "`echo "$f" |
+				       sed -e 's/^[^:]*://' -e 's/:.*//'`;;
+      *) touch_files="$touch_files $f.in";;
+      esac
+    done
+    touch $touch_files
+    ;;
+
+  automake*)
+    echo 1>&2 "\
+WARNING: \`$1' is $msg.  You should only need it if
+         you modified \`Makefile.am', \`acinclude.m4' or \`${configure_ac}'.
+         You might want to install the \`Automake' and \`Perl' packages.
+         Grab them from any GNU archive site."
+    find . -type f -name Makefile.am -print |
+	   sed 's/\.am$/.in/' |
+	   while read f; do touch "$f"; done
+    ;;
+
+  autom4te*)
+    echo 1>&2 "\
+WARNING: \`$1' is needed, but is $msg.
+         You might have modified some files without having the
+         proper tools for further handling them.
+         You can get \`$1' as part of \`Autoconf' from any GNU
+         archive site."
+
+    file=`echo "$*" | sed -n "$sed_output"`
+    test -z "$file" && file=`echo "$*" | sed -n "$sed_minuso"`
+    if test -f "$file"; then
+	touch $file
+    else
+	test -z "$file" || exec >$file
+	echo "#! /bin/sh"
+	echo "# Created by GNU Automake missing as a replacement of"
+	echo "#  $ $@"
+	echo "exit 0"
+	chmod +x $file
+	exit 1
+    fi
+    ;;
+
+  bison*|yacc*)
+    echo 1>&2 "\
+WARNING: \`$1' $msg.  You should only need it if
+         you modified a \`.y' file.  You may need the \`Bison' package
+         in order for those modifications to take effect.  You can get
+         \`Bison' from any GNU archive site."
+    rm -f y.tab.c y.tab.h
+    if test $# -ne 1; then
+        eval LASTARG="\${$#}"
+	case $LASTARG in
+	*.y)
+	    SRCFILE=`echo "$LASTARG" | sed 's/y$/c/'`
+	    if test -f "$SRCFILE"; then
+	         cp "$SRCFILE" y.tab.c
+	    fi
+	    SRCFILE=`echo "$LASTARG" | sed 's/y$/h/'`
+	    if test -f "$SRCFILE"; then
+	         cp "$SRCFILE" y.tab.h
+	    fi
+	  ;;
+	esac
+    fi
+    if test ! -f y.tab.h; then
+	echo >y.tab.h
+    fi
+    if test ! -f y.tab.c; then
+	echo 'main() { return 0; }' >y.tab.c
+    fi
+    ;;
+
+  lex*|flex*)
+    echo 1>&2 "\
+WARNING: \`$1' is $msg.  You should only need it if
+         you modified a \`.l' file.  You may need the \`Flex' package
+         in order for those modifications to take effect.  You can get
+         \`Flex' from any GNU archive site."
+    rm -f lex.yy.c
+    if test $# -ne 1; then
+        eval LASTARG="\${$#}"
+	case $LASTARG in
+	*.l)
+	    SRCFILE=`echo "$LASTARG" | sed 's/l$/c/'`
+	    if test -f "$SRCFILE"; then
+	         cp "$SRCFILE" lex.yy.c
+	    fi
+	  ;;
+	esac
+    fi
+    if test ! -f lex.yy.c; then
+	echo 'main() { return 0; }' >lex.yy.c
+    fi
+    ;;
+
+  help2man*)
+    echo 1>&2 "\
+WARNING: \`$1' is $msg.  You should only need it if
+	 you modified a dependency of a manual page.  You may need the
+	 \`Help2man' package in order for those modifications to take
+	 effect.  You can get \`Help2man' from any GNU archive site."
+
+    file=`echo "$*" | sed -n "$sed_output"`
+    test -z "$file" && file=`echo "$*" | sed -n "$sed_minuso"`
+    if test -f "$file"; then
+	touch $file
+    else
+	test -z "$file" || exec >$file
+	echo ".ab help2man is required to generate this page"
+	exit $?
+    fi
+    ;;
+
+  makeinfo*)
+    echo 1>&2 "\
+WARNING: \`$1' is $msg.  You should only need it if
+         you modified a \`.texi' or \`.texinfo' file, or any other file
+         indirectly affecting the aspect of the manual.  The spurious
+         call might also be the consequence of using a buggy \`make' (AIX,
+         DU, IRIX).  You might want to install the \`Texinfo' package or
+         the \`GNU make' package.  Grab either from any GNU archive site."
+    # The file to touch is that specified with -o ...
+    file=`echo "$*" | sed -n "$sed_output"`
+    test -z "$file" && file=`echo "$*" | sed -n "$sed_minuso"`
+    if test -z "$file"; then
+      # ... or it is the one specified with @setfilename ...
+      infile=`echo "$*" | sed 's/.* \([^ ]*\) *$/\1/'`
+      file=`sed -n '
+	/^@setfilename/{
+	  s/.* \([^ ]*\) *$/\1/
+	  p
+	  q
+	}' $infile`
+      # ... or it is derived from the source name (dir/f.texi becomes f.info)
+      test -z "$file" && file=`echo "$infile" | sed 's,.*/,,;s,.[^.]*$,,'`.info
+    fi
+    # If the file does not exist, the user really needs makeinfo;
+    # let's fail without touching anything.
+    test -f $file || exit 1
+    touch $file
+    ;;
+
+  tar*)
+    shift
+
+    # We have already tried tar in the generic part.
+    # Look for gnutar/gtar before invocation to avoid ugly error
+    # messages.
+    if (gnutar --version > /dev/null 2>&1); then
+       gnutar "$@" && exit 0
+    fi
+    if (gtar --version > /dev/null 2>&1); then
+       gtar "$@" && exit 0
+    fi
+    firstarg="$1"
+    if shift; then
+	case $firstarg in
+	*o*)
+	    firstarg=`echo "$firstarg" | sed s/o//`
+	    tar "$firstarg" "$@" && exit 0
+	    ;;
+	esac
+	case $firstarg in
+	*h*)
+	    firstarg=`echo "$firstarg" | sed s/h//`
+	    tar "$firstarg" "$@" && exit 0
+	    ;;
+	esac
+    fi
+
+    echo 1>&2 "\
+WARNING: I can't seem to be able to run \`tar' with the given arguments.
+         You may want to install GNU tar or Free paxutils, or check the
+         command line arguments."
+    exit 1
+    ;;
+
+  *)
+    echo 1>&2 "\
+WARNING: \`$1' is needed, and is $msg.
+         You might have modified some files without having the
+         proper tools for further handling them.  Check the \`README' file,
+         it often tells you about the needed prerequisites for installing
+         this package.  You may also peek at any GNU archive site, in case
+         some other package would contain this missing \`$1' program."
+    exit 1
+    ;;
+esac
+
+exit 0
+
+# Local variables:
+# eval: (add-hook 'write-file-hooks 'time-stamp)
+# time-stamp-start: "scriptversion="
+# time-stamp-format: "%:y-%02m-%02d.%02H"
+# time-stamp-time-zone: "UTC"
+# time-stamp-end: "; # UTC"
+# End:
diff --git a/auxconf/ylwrap b/auxconf/ylwrap
new file mode 100755
index 0000000..84d5634
--- /dev/null
+++ b/auxconf/ylwrap
@@ -0,0 +1,222 @@
+#! /bin/sh
+# ylwrap - wrapper for lex/yacc invocations.
+
+scriptversion=2009-04-28.21; # UTC
+
+# Copyright (C) 1996, 1997, 1998, 1999, 2001, 2002, 2003, 2004, 2005,
+# 2007, 2009 Free Software Foundation, Inc.
+#
+# Written by Tom Tromey <tromey at cygnus.com>.
+#
+# This program is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 2, or (at your option)
+# any later version.
+#
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+#
+# You should have received a copy of the GNU General Public License
+# along with this program.  If not, see <http://www.gnu.org/licenses/>.
+
+# As a special exception to the GNU General Public License, if you
+# distribute this file as part of a program that contains a
+# configuration script generated by Autoconf, you may include it under
+# the same distribution terms that you use for the rest of that program.
+
+# This file is maintained in Automake, please report
+# bugs to <bug-automake at gnu.org> or send patches to
+# <automake-patches at gnu.org>.
+
+case "$1" in
+  '')
+    echo "$0: No files given.  Try \`$0 --help' for more information." 1>&2
+    exit 1
+    ;;
+  --basedir)
+    basedir=$2
+    shift 2
+    ;;
+  -h|--h*)
+    cat <<\EOF
+Usage: ylwrap [--help|--version] INPUT [OUTPUT DESIRED]... -- PROGRAM [ARGS]...
+
+Wrapper for lex/yacc invocations, renaming files as desired.
+
+  INPUT is the input file
+  OUTPUT is one file PROG generates
+  DESIRED is the file we actually want instead of OUTPUT
+  PROGRAM is program to run
+  ARGS are passed to PROG
+
+Any number of OUTPUT,DESIRED pairs may be used.
+
+Report bugs to <bug-automake at gnu.org>.
+EOF
+    exit $?
+    ;;
+  -v|--v*)
+    echo "ylwrap $scriptversion"
+    exit $?
+    ;;
+esac
+
+
+# The input.
+input="$1"
+shift
+case "$input" in
+  [\\/]* | ?:[\\/]*)
+    # Absolute path; do nothing.
+    ;;
+  *)
+    # Relative path.  Make it absolute.
+    input="`pwd`/$input"
+    ;;
+esac
+
+pairlist=
+while test "$#" -ne 0; do
+  if test "$1" = "--"; then
+    shift
+    break
+  fi
+  pairlist="$pairlist $1"
+  shift
+done
+
+# The program to run.
+prog="$1"
+shift
+# Make any relative path in $prog absolute.
+case "$prog" in
+  [\\/]* | ?:[\\/]*) ;;
+  *[\\/]*) prog="`pwd`/$prog" ;;
+esac
+
+# FIXME: add hostname here for parallel makes that run commands on
+# other machines.  But that might take us over the 14-char limit.
+dirname=ylwrap$$
+trap "cd '`pwd`'; rm -rf $dirname > /dev/null 2>&1" 1 2 3 15
+mkdir $dirname || exit 1
+
+cd $dirname
+
+case $# in
+  0) "$prog" "$input" ;;
+  *) "$prog" "$@" "$input" ;;
+esac
+ret=$?
+
+if test $ret -eq 0; then
+  set X $pairlist
+  shift
+  first=yes
+  # Since DOS filename conventions don't allow two dots,
+  # the DOS version of Bison writes out y_tab.c instead of y.tab.c
+  # and y_tab.h instead of y.tab.h. Test to see if this is the case.
+  y_tab_nodot="no"
+  if test -f y_tab.c || test -f y_tab.h; then
+    y_tab_nodot="yes"
+  fi
+
+  # The directory holding the input.
+  input_dir=`echo "$input" | sed -e 's,\([\\/]\)[^\\/]*$,\1,'`
+  # Quote $INPUT_DIR so we can use it in a regexp.
+  # FIXME: really we should care about more than `.' and `\'.
+  input_rx=`echo "$input_dir" | sed 's,\\\\,\\\\\\\\,g;s,\\.,\\\\.,g'`
+
+  while test "$#" -ne 0; do
+    from="$1"
+    # Handle y_tab.c and y_tab.h output by DOS
+    if test $y_tab_nodot = "yes"; then
+      if test $from = "y.tab.c"; then
+    	from="y_tab.c"
+      else
+    	if test $from = "y.tab.h"; then
+    	  from="y_tab.h"
+    	fi
+      fi
+    fi
+    if test -f "$from"; then
+      # If $2 is an absolute path name, then just use that,
+      # otherwise prepend `../'.
+      case "$2" in
+    	[\\/]* | ?:[\\/]*) target="$2";;
+    	*) target="../$2";;
+      esac
+
+      # We do not want to overwrite a header file if it hasn't
+      # changed.  This avoid useless recompilations.  However the
+      # parser itself (the first file) should always be updated,
+      # because it is the destination of the .y.c rule in the
+      # Makefile.  Divert the output of all other files to a temporary
+      # file so we can compare them to existing versions.
+      if test $first = no; then
+	realtarget="$target"
+	target="tmp-`echo $target | sed s/.*[\\/]//g`"
+      fi
+      # Edit out `#line' or `#' directives.
+      #
+      # We don't want the resulting debug information to point at
+      # an absolute srcdir; it is better for it to just mention the
+      # .y file with no path.
+      #
+      # We want to use the real output file name, not yy.lex.c for
+      # instance.
+      #
+      # We want the include guards to be adjusted too.
+      FROM=`echo "$from" | sed \
+            -e 'y/abcdefghijklmnopqrstuvwxyz/ABCDEFGHIJKLMNOPQRSTUVWXYZ/'\
+            -e 's/[^ABCDEFGHIJKLMNOPQRSTUVWXYZ]/_/g'`
+      TARGET=`echo "$2" | sed \
+            -e 'y/abcdefghijklmnopqrstuvwxyz/ABCDEFGHIJKLMNOPQRSTUVWXYZ/'\
+            -e 's/[^ABCDEFGHIJKLMNOPQRSTUVWXYZ]/_/g'`
+
+      sed -e "/^#/!b" -e "s,$input_rx,," -e "s,$from,$2," \
+          -e "s,$FROM,$TARGET," "$from" >"$target" || ret=$?
+
+      # Check whether header files must be updated.
+      if test $first = no; then
+	if test -f "$realtarget" && cmp -s "$realtarget" "$target"; then
+	  echo "$2" is unchanged
+	  rm -f "$target"
+	else
+          echo updating "$2"
+          mv -f "$target" "$realtarget"
+        fi
+      fi
+    else
+      # A missing file is only an error for the first file.  This
+      # is a blatant hack to let us support using "yacc -d".  If -d
+      # is not specified, we don't want an error when the header
+      # file is "missing".
+      if test $first = yes; then
+        ret=1
+      fi
+    fi
+    shift
+    shift
+    first=no
+  done
+else
+  ret=$?
+fi
+
+# Remove the directory.
+cd ..
+rm -rf $dirname
+
+exit $ret
+
+# Local Variables:
+# mode: shell-script
+# sh-indentation: 2
+# eval: (add-hook 'write-file-hooks 'time-stamp)
+# time-stamp-start: "scriptversion="
+# time-stamp-format: "%:y-%02m-%02d.%02H"
+# time-stamp-time-zone: "UTC"
+# time-stamp-end: "; # UTC"
+# End:
diff --git a/config.h.in b/config.h.in
new file mode 100644
index 0000000..1462b0e
--- /dev/null
+++ b/config.h.in
@@ -0,0 +1,196 @@
+/* config.h.in.  Generated from configure.ac by autoheader.  */
+
+/* Define to one of `_getb67', `GETB67', `getb67' for Cray-2 and Cray-YMP
+   systems. This function is required for `alloca.c' support on those systems.
+   */
+#undef CRAY_STACKSEG_END
+
+/* Define to 1 if using `alloca.c'. */
+#undef C_ALLOCA
+
+/* Define to 1 if you have `alloca', as a function or macro. */
+#undef HAVE_ALLOCA
+
+/* Define to 1 if you have <alloca.h> and it should be used (not on Ultrix).
+   */
+#undef HAVE_ALLOCA_H
+
+/* Define to 1 if you have the <dlfcn.h> header file. */
+#undef HAVE_DLFCN_H
+
+/* Define to 1 if you have the <float.h> header file. */
+#undef HAVE_FLOAT_H
+
+/* Define to 1 if you have the `floor' function. */
+#undef HAVE_FLOOR
+
+/* Define to 1 if you have the <inttypes.h> header file. */
+#undef HAVE_INTTYPES_H
+
+/* Define to 1 if you have the <libintl.h> header file. */
+#undef HAVE_LIBINTL_H
+
+/* Define to 1 if you have the `m' library (-lm). */
+#undef HAVE_LIBM
+
+/* Define to 1 if you have the <locale.h> header file. */
+#undef HAVE_LOCALE_H
+
+/* Define to 1 if your system has a GNU libc compatible `malloc' function, and
+   to 0 otherwise. */
+#undef HAVE_MALLOC
+
+/* Define to 1 if you have the <malloc.h> header file. */
+#undef HAVE_MALLOC_H
+
+/* Define to 1 if you have the <memory.h> header file. */
+#undef HAVE_MEMORY_H
+
+/* Define to 1 if you have the `memset' function. */
+#undef HAVE_MEMSET
+
+/* Define to 1 if you have the `putenv' function. */
+#undef HAVE_PUTENV
+
+/* Define to 1 if your system has a GNU libc compatible `realloc' function,
+   and to 0 otherwise. */
+#undef HAVE_REALLOC
+
+/* Define to 1 if you have the `setenv' function. */
+#undef HAVE_SETENV
+
+/* Define to 1 if `stat' has the bug that it succeeds when given the
+   zero-length file name argument. */
+#undef HAVE_STAT_EMPTY_STRING_BUG
+
+/* Define to 1 if you have the <stddef.h> header file. */
+#undef HAVE_STDDEF_H
+
+/* Define to 1 if you have the <stdint.h> header file. */
+#undef HAVE_STDINT_H
+
+/* Define to 1 if you have the <stdlib.h> header file. */
+#undef HAVE_STDLIB_H
+
+/* Define to 1 if you have the `strdup' function. */
+#undef HAVE_STRDUP
+
+/* Define to 1 if you have the `strftime' function. */
+#undef HAVE_STRFTIME
+
+/* Define to 1 if you have the <strings.h> header file. */
+#undef HAVE_STRINGS_H
+
+/* Define to 1 if you have the <string.h> header file. */
+#undef HAVE_STRING_H
+
+/* Define to 1 if you have the `strstr' function. */
+#undef HAVE_STRSTR
+
+/* Define to 1 if you have the `strtol' function. */
+#undef HAVE_STRTOL
+
+/* Define to 1 if you have the <sys/stat.h> header file. */
+#undef HAVE_SYS_STAT_H
+
+/* Define to 1 if you have the <sys/types.h> header file. */
+#undef HAVE_SYS_TYPES_H
+
+/* Define to 1 if you have the <unistd.h> header file. */
+#undef HAVE_UNISTD_H
+
+/* Define to 1 if `lstat' dereferences a symlink specified with a trailing
+   slash. */
+#undef LSTAT_FOLLOWS_SLASHED_SYMLINK
+
+/* Define to the sub-directory in which libtool stores uninstalled libraries.
+   */
+#undef LT_OBJDIR
+
+/* Define to the address where bug reports for this package should be sent. */
+#undef PACKAGE_BUGREPORT
+
+/* Define to the full name of this package. */
+#undef PACKAGE_NAME
+
+/* Define to the full name and version of this package. */
+#undef PACKAGE_STRING
+
+/* Define to the one symbol short name of this package. */
+#undef PACKAGE_TARNAME
+
+/* Define to the home page for this package. */
+#undef PACKAGE_URL
+
+/* Define to the version of this package. */
+#undef PACKAGE_VERSION
+
+/* If using the C implementation of alloca, define if you know the
+   direction of stack growth for your system; otherwise it will be
+   automatically deduced at runtime.
+	STACK_DIRECTION > 0 => grows toward higher addresses
+	STACK_DIRECTION < 0 => grows toward lower addresses
+	STACK_DIRECTION = 0 => direction of growth unknown */
+#undef STACK_DIRECTION
+
+/* Define to 1 if you have the ANSI C header files. */
+#undef STDC_HEADERS
+
+/* Define to 1 if your <sys/time.h> declares `struct tm'. */
+#undef TM_IN_SYS_TIME
+
+/* Define to 1 if `lex' declares `yytext' as a `char *' by default, not a
+   `char[]'. */
+#undef YYTEXT_POINTER
+
+/* Define for Solaris 2.5.1 so the uint32_t typedef from <sys/synch.h>,
+   <pthread.h>, or <semaphore.h> is not used. If the typedef were allowed, the
+   #define below would cause a syntax error. */
+#undef _UINT32_T
+
+/* Define for Solaris 2.5.1 so the uint8_t typedef from <sys/synch.h>,
+   <pthread.h>, or <semaphore.h> is not used. If the typedef were allowed, the
+   #define below would cause a syntax error. */
+#undef _UINT8_T
+
+/* Define to empty if `const' does not conform to ANSI C. */
+#undef const
+
+/* Define to `__inline__' or `__inline' if that's what the C compiler
+   calls it, or to nothing if 'inline' is not supported under any name.  */
+#ifndef __cplusplus
+#undef inline
+#endif
+
+/* Define to the type of a signed integer type of width exactly 16 bits if
+   such a type exists and the standard includes do not define it. */
+#undef int16_t
+
+/* Define to the type of a signed integer type of width exactly 32 bits if
+   such a type exists and the standard includes do not define it. */
+#undef int32_t
+
+/* Define to the type of a signed integer type of width exactly 8 bits if such
+   a type exists and the standard includes do not define it. */
+#undef int8_t
+
+/* Define to rpl_malloc if the replacement function should be used. */
+#undef malloc
+
+/* Define to rpl_realloc if the replacement function should be used. */
+#undef realloc
+
+/* Define to `unsigned int' if <sys/types.h> does not define. */
+#undef size_t
+
+/* Define to the type of an unsigned integer type of width exactly 16 bits if
+   such a type exists and the standard includes do not define it. */
+#undef uint16_t
+
+/* Define to the type of an unsigned integer type of width exactly 32 bits if
+   such a type exists and the standard includes do not define it. */
+#undef uint32_t
+
+/* Define to the type of an unsigned integer type of width exactly 8 bits if
+   such a type exists and the standard includes do not define it. */
+#undef uint8_t
diff --git a/configure b/configure
new file mode 100755
index 0000000..44dc921
--- /dev/null
+++ b/configure
@@ -0,0 +1,18598 @@
+#! /bin/sh
+# Guess values for system-dependent variables and create Makefiles.
+# Generated by GNU Autoconf 2.64 for adms 2.3.1.
+#
+# Report bugs to <crobar at users.sourceforge.net>.
+#
+# Copyright (C) 1992, 1993, 1994, 1995, 1996, 1998, 1999, 2000, 2001,
+# 2002, 2003, 2004, 2005, 2006, 2007, 2008, 2009 Free Software
+# Foundation, Inc.
+#
+# This configure script is free software; the Free Software Foundation
+# gives unlimited permission to copy, distribute and modify it.
+## -------------------- ##
+## M4sh Initialization. ##
+## -------------------- ##
+
+# Be more Bourne compatible
+DUALCASE=1; export DUALCASE # for MKS sh
+if test -n "${ZSH_VERSION+set}" && (emulate sh) >/dev/null 2>&1; then :
+  emulate sh
+  NULLCMD=:
+  # Pre-4.2 versions of Zsh do word splitting on ${1+"$@"}, which
+  # is contrary to our usage.  Disable this feature.
+  alias -g '${1+"$@"}'='"$@"'
+  setopt NO_GLOB_SUBST
+else
+  case `(set -o) 2>/dev/null` in #(
+  *posix*) :
+    set -o posix ;; #(
+  *) :
+     ;;
+esac
+fi
+
+
+as_nl='
+'
+export as_nl
+# Printing a long string crashes Solaris 7 /usr/bin/printf.
+as_echo='\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\'
+as_echo=$as_echo$as_echo$as_echo$as_echo$as_echo
+as_echo=$as_echo$as_echo$as_echo$as_echo$as_echo$as_echo
+# Prefer a ksh shell builtin over an external printf program on Solaris,
+# but without wasting forks for bash or zsh.
+if test -z "$BASH_VERSION$ZSH_VERSION" \
+    && (test "X`print -r -- $as_echo`" = "X$as_echo") 2>/dev/null; then
+  as_echo='print -r --'
+  as_echo_n='print -rn --'
+elif (test "X`printf %s $as_echo`" = "X$as_echo") 2>/dev/null; then
+  as_echo='printf %s\n'
+  as_echo_n='printf %s'
+else
+  if test "X`(/usr/ucb/echo -n -n $as_echo) 2>/dev/null`" = "X-n $as_echo"; then
+    as_echo_body='eval /usr/ucb/echo -n "$1$as_nl"'
+    as_echo_n='/usr/ucb/echo -n'
+  else
+    as_echo_body='eval expr "X$1" : "X\\(.*\\)"'
+    as_echo_n_body='eval
+      arg=$1;
+      case $arg in #(
+      *"$as_nl"*)
+	expr "X$arg" : "X\\(.*\\)$as_nl";
+	arg=`expr "X$arg" : ".*$as_nl\\(.*\\)"`;;
+      esac;
+      expr "X$arg" : "X\\(.*\\)" | tr -d "$as_nl"
+    '
+    export as_echo_n_body
+    as_echo_n='sh -c $as_echo_n_body as_echo'
+  fi
+  export as_echo_body
+  as_echo='sh -c $as_echo_body as_echo'
+fi
+
+# The user is always right.
+if test "${PATH_SEPARATOR+set}" != set; then
+  PATH_SEPARATOR=:
+  (PATH='/bin;/bin'; FPATH=$PATH; sh -c :) >/dev/null 2>&1 && {
+    (PATH='/bin:/bin'; FPATH=$PATH; sh -c :) >/dev/null 2>&1 ||
+      PATH_SEPARATOR=';'
+  }
+fi
+
+
+# IFS
+# We need space, tab and new line, in precisely that order.  Quoting is
+# there to prevent editors from complaining about space-tab.
+# (If _AS_PATH_WALK were called with IFS unset, it would disable word
+# splitting by setting IFS to empty value.)
+IFS=" ""	$as_nl"
+
+# Find who we are.  Look in the path if we contain no directory separator.
+case $0 in #((
+  *[\\/]* ) as_myself=$0 ;;
+  *) as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    test -r "$as_dir/$0" && as_myself=$as_dir/$0 && break
+  done
+IFS=$as_save_IFS
+
+     ;;
+esac
+# We did not find ourselves, most probably we were run as `sh COMMAND'
+# in which case we are not to be found in the path.
+if test "x$as_myself" = x; then
+  as_myself=$0
+fi
+if test ! -f "$as_myself"; then
+  $as_echo "$as_myself: error: cannot find myself; rerun with an absolute file name" >&2
+  exit 1
+fi
+
+# Unset variables that we do not need and which cause bugs (e.g. in
+# pre-3.0 UWIN ksh).  But do not cause bugs in bash 2.01; the "|| exit 1"
+# suppresses any "Segmentation fault" message there.  '((' could
+# trigger a bug in pdksh 5.2.14.
+for as_var in BASH_ENV ENV MAIL MAILPATH
+do eval test x\${$as_var+set} = xset \
+  && ( (unset $as_var) || exit 1) >/dev/null 2>&1 && unset $as_var || :
+done
+PS1='$ '
+PS2='> '
+PS4='+ '
+
+# NLS nuisances.
+LC_ALL=C
+export LC_ALL
+LANGUAGE=C
+export LANGUAGE
+
+# CDPATH.
+(unset CDPATH) >/dev/null 2>&1 && unset CDPATH
+
+if test "x$CONFIG_SHELL" = x; then
+  as_bourne_compatible="if test -n \"\${ZSH_VERSION+set}\" && (emulate sh) >/dev/null 2>&1; then :
+  emulate sh
+  NULLCMD=:
+  # Pre-4.2 versions of Zsh do word splitting on \${1+\"\$@\"}, which
+  # is contrary to our usage.  Disable this feature.
+  alias -g '\${1+\"\$@\"}'='\"\$@\"'
+  setopt NO_GLOB_SUBST
+else
+  case \`(set -o) 2>/dev/null\` in #(
+  *posix*) :
+    set -o posix ;; #(
+  *) :
+     ;;
+esac
+fi
+"
+  as_required="as_fn_return () { (exit \$1); }
+as_fn_success () { as_fn_return 0; }
+as_fn_failure () { as_fn_return 1; }
+as_fn_ret_success () { return 0; }
+as_fn_ret_failure () { return 1; }
+
+exitcode=0
+as_fn_success || { exitcode=1; echo as_fn_success failed.; }
+as_fn_failure && { exitcode=1; echo as_fn_failure succeeded.; }
+as_fn_ret_success || { exitcode=1; echo as_fn_ret_success failed.; }
+as_fn_ret_failure && { exitcode=1; echo as_fn_ret_failure succeeded.; }
+if ( set x; as_fn_ret_success y && test x = \"\$1\" ); then :
+
+else
+  exitcode=1; echo positional parameters were not saved.
+fi
+test x\$exitcode = x0 || exit 1"
+  as_suggested="  as_lineno_1=";as_suggested=$as_suggested$LINENO;as_suggested=$as_suggested" as_lineno_1a=\$LINENO
+  as_lineno_2=";as_suggested=$as_suggested$LINENO;as_suggested=$as_suggested" as_lineno_2a=\$LINENO
+  eval 'test \"x\$as_lineno_1'\$as_run'\" != \"x\$as_lineno_2'\$as_run'\" &&
+  test \"x\`expr \$as_lineno_1'\$as_run' + 1\`\" = \"x\$as_lineno_2'\$as_run'\"' || exit 1
+test \$(( 1 + 1 )) = 2 || exit 1"
+  if (eval "$as_required") 2>/dev/null; then :
+  as_have_required=yes
+else
+  as_have_required=no
+fi
+  if test x$as_have_required = xyes && (eval "$as_suggested") 2>/dev/null; then :
+
+else
+  as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+as_found=false
+for as_dir in /bin$PATH_SEPARATOR/usr/bin$PATH_SEPARATOR$PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  as_found=:
+  case $as_dir in #(
+	 /*)
+	   for as_base in sh bash ksh sh5; do
+	     # Try only shells that exist, to save several forks.
+	     as_shell=$as_dir/$as_base
+	     if { test -f "$as_shell" || test -f "$as_shell.exe"; } &&
+		    { $as_echo "$as_bourne_compatible""$as_required" | as_run=a "$as_shell"; } 2>/dev/null; then :
+  CONFIG_SHELL=$as_shell as_have_required=yes
+		   if { $as_echo "$as_bourne_compatible""$as_suggested" | as_run=a "$as_shell"; } 2>/dev/null; then :
+  break 2
+fi
+fi
+	   done;;
+       esac
+  as_found=false
+done
+$as_found || { if { test -f "$SHELL" || test -f "$SHELL.exe"; } &&
+	      { $as_echo "$as_bourne_compatible""$as_required" | as_run=a "$SHELL"; } 2>/dev/null; then :
+  CONFIG_SHELL=$SHELL as_have_required=yes
+fi; }
+IFS=$as_save_IFS
+
+
+      if test "x$CONFIG_SHELL" != x; then :
+  # We cannot yet assume a decent shell, so we have to provide a
+	# neutralization value for shells without unset; and this also
+	# works around shells that cannot unset nonexistent variables.
+	BASH_ENV=/dev/null
+	ENV=/dev/null
+	(unset BASH_ENV) >/dev/null 2>&1 && unset BASH_ENV ENV
+	export CONFIG_SHELL
+	exec "$CONFIG_SHELL" "$as_myself" ${1+"$@"}
+fi
+
+    if test x$as_have_required = xno; then :
+  $as_echo "$0: This script requires a shell more modern than all"
+  $as_echo "$0: the shells that I found on your system."
+  if test x${ZSH_VERSION+set} = xset ; then
+    $as_echo "$0: In particular, zsh $ZSH_VERSION has bugs and should"
+    $as_echo "$0: be upgraded to zsh 4.3.4 or later."
+  else
+    $as_echo "$0: Please tell bug-autoconf at gnu.org and
+$0: crobar at users.sourceforge.net about your system,
+$0: including any error possibly output before this
+$0: message. Then install a modern shell, or manually run
+$0: the script under such a shell if you do have one."
+  fi
+  exit 1
+fi
+fi
+fi
+SHELL=${CONFIG_SHELL-/bin/sh}
+export SHELL
+# Unset more variables known to interfere with behavior of common tools.
+CLICOLOR_FORCE= GREP_OPTIONS=
+unset CLICOLOR_FORCE GREP_OPTIONS
+
+## --------------------- ##
+## M4sh Shell Functions. ##
+## --------------------- ##
+# as_fn_unset VAR
+# ---------------
+# Portably unset VAR.
+as_fn_unset ()
+{
+  { eval $1=; unset $1;}
+}
+as_unset=as_fn_unset
+
+# as_fn_set_status STATUS
+# -----------------------
+# Set $? to STATUS, without forking.
+as_fn_set_status ()
+{
+  return $1
+} # as_fn_set_status
+
+# as_fn_exit STATUS
+# -----------------
+# Exit the shell with STATUS, even in a "trap 0" or "set -e" context.
+as_fn_exit ()
+{
+  set +e
+  as_fn_set_status $1
+  exit $1
+} # as_fn_exit
+
+# as_fn_mkdir_p
+# -------------
+# Create "$as_dir" as a directory, including parents if necessary.
+as_fn_mkdir_p ()
+{
+
+  case $as_dir in #(
+  -*) as_dir=./$as_dir;;
+  esac
+  test -d "$as_dir" || eval $as_mkdir_p || {
+    as_dirs=
+    while :; do
+      case $as_dir in #(
+      *\'*) as_qdir=`$as_echo "$as_dir" | sed "s/'/'\\\\\\\\''/g"`;; #'(
+      *) as_qdir=$as_dir;;
+      esac
+      as_dirs="'$as_qdir' $as_dirs"
+      as_dir=`$as_dirname -- "$as_dir" ||
+$as_expr X"$as_dir" : 'X\(.*[^/]\)//*[^/][^/]*/*$' \| \
+	 X"$as_dir" : 'X\(//\)[^/]' \| \
+	 X"$as_dir" : 'X\(//\)$' \| \
+	 X"$as_dir" : 'X\(/\)' \| . 2>/dev/null ||
+$as_echo X"$as_dir" |
+    sed '/^X\(.*[^/]\)\/\/*[^/][^/]*\/*$/{
+	    s//\1/
+	    q
+	  }
+	  /^X\(\/\/\)[^/].*/{
+	    s//\1/
+	    q
+	  }
+	  /^X\(\/\/\)$/{
+	    s//\1/
+	    q
+	  }
+	  /^X\(\/\).*/{
+	    s//\1/
+	    q
+	  }
+	  s/.*/./; q'`
+      test -d "$as_dir" && break
+    done
+    test -z "$as_dirs" || eval "mkdir $as_dirs"
+  } || test -d "$as_dir" || as_fn_error "cannot create directory $as_dir"
+
+
+} # as_fn_mkdir_p
+# as_fn_append VAR VALUE
+# ----------------------
+# Append the text in VALUE to the end of the definition contained in VAR. Take
+# advantage of any shell optimizations that allow amortized linear growth over
+# repeated appends, instead of the typical quadratic growth present in naive
+# implementations.
+if (eval "as_var=1; as_var+=2; test x\$as_var = x12") 2>/dev/null; then :
+  eval 'as_fn_append ()
+  {
+    eval $1+=\$2
+  }'
+else
+  as_fn_append ()
+  {
+    eval $1=\$$1\$2
+  }
+fi # as_fn_append
+
+# as_fn_arith ARG...
+# ------------------
+# Perform arithmetic evaluation on the ARGs, and store the result in the
+# global $as_val. Take advantage of shells that can avoid forks. The arguments
+# must be portable across $(()) and expr.
+if (eval "test \$(( 1 + 1 )) = 2") 2>/dev/null; then :
+  eval 'as_fn_arith ()
+  {
+    as_val=$(( $* ))
+  }'
+else
+  as_fn_arith ()
+  {
+    as_val=`expr "$@" || test $? -eq 1`
+  }
+fi # as_fn_arith
+
+
+# as_fn_error ERROR [LINENO LOG_FD]
+# ---------------------------------
+# Output "`basename $0`: error: ERROR" to stderr. If LINENO and LOG_FD are
+# provided, also output the error to LOG_FD, referencing LINENO. Then exit the
+# script with status $?, using 1 if that was 0.
+as_fn_error ()
+{
+  as_status=$?; test $as_status -eq 0 && as_status=1
+  if test "$3"; then
+    as_lineno=${as_lineno-"$2"} as_lineno_stack=as_lineno_stack=$as_lineno_stack
+    $as_echo "$as_me:${as_lineno-$LINENO}: error: $1" >&$3
+  fi
+  $as_echo "$as_me: error: $1" >&2
+  as_fn_exit $as_status
+} # as_fn_error
+
+if expr a : '\(a\)' >/dev/null 2>&1 &&
+   test "X`expr 00001 : '.*\(...\)'`" = X001; then
+  as_expr=expr
+else
+  as_expr=false
+fi
+
+if (basename -- /) >/dev/null 2>&1 && test "X`basename -- / 2>&1`" = "X/"; then
+  as_basename=basename
+else
+  as_basename=false
+fi
+
+if (as_dir=`dirname -- /` && test "X$as_dir" = X/) >/dev/null 2>&1; then
+  as_dirname=dirname
+else
+  as_dirname=false
+fi
+
+as_me=`$as_basename -- "$0" ||
+$as_expr X/"$0" : '.*/\([^/][^/]*\)/*$' \| \
+	 X"$0" : 'X\(//\)$' \| \
+	 X"$0" : 'X\(/\)' \| . 2>/dev/null ||
+$as_echo X/"$0" |
+    sed '/^.*\/\([^/][^/]*\)\/*$/{
+	    s//\1/
+	    q
+	  }
+	  /^X\/\(\/\/\)$/{
+	    s//\1/
+	    q
+	  }
+	  /^X\/\(\/\).*/{
+	    s//\1/
+	    q
+	  }
+	  s/.*/./; q'`
+
+# Avoid depending upon Character Ranges.
+as_cr_letters='abcdefghijklmnopqrstuvwxyz'
+as_cr_LETTERS='ABCDEFGHIJKLMNOPQRSTUVWXYZ'
+as_cr_Letters=$as_cr_letters$as_cr_LETTERS
+as_cr_digits='0123456789'
+as_cr_alnum=$as_cr_Letters$as_cr_digits
+
+
+  as_lineno_1=$LINENO as_lineno_1a=$LINENO
+  as_lineno_2=$LINENO as_lineno_2a=$LINENO
+  eval 'test "x$as_lineno_1'$as_run'" != "x$as_lineno_2'$as_run'" &&
+  test "x`expr $as_lineno_1'$as_run' + 1`" = "x$as_lineno_2'$as_run'"' || {
+  # Blame Lee E. McMahon (1931-1989) for sed's syntax.  :-)
+  sed -n '
+    p
+    /[$]LINENO/=
+  ' <$as_myself |
+    sed '
+      s/[$]LINENO.*/&-/
+      t lineno
+      b
+      :lineno
+      N
+      :loop
+      s/[$]LINENO\([^'$as_cr_alnum'_].*\n\)\(.*\)/\2\1\2/
+      t loop
+      s/-\n.*//
+    ' >$as_me.lineno &&
+  chmod +x "$as_me.lineno" ||
+    { $as_echo "$as_me: error: cannot create $as_me.lineno; rerun with a POSIX shell" >&2; as_fn_exit 1; }
+
+  # Don't try to exec as it changes $[0], causing all sort of problems
+  # (the dirname of $[0] is not the place where we might find the
+  # original and so on.  Autoconf is especially sensitive to this).
+  . "./$as_me.lineno"
+  # Exit status is that of the last command.
+  exit
+}
+
+ECHO_C= ECHO_N= ECHO_T=
+case `echo -n x` in #(((((
+-n*)
+  case `echo 'xy\c'` in
+  *c*) ECHO_T='	';;	# ECHO_T is single tab character.
+  xy)  ECHO_C='\c';;
+  *)   echo `echo ksh88 bug on AIX 6.1` > /dev/null
+       ECHO_T='	';;
+  esac;;
+*)
+  ECHO_N='-n';;
+esac
+
+rm -f conf$$ conf$$.exe conf$$.file
+if test -d conf$$.dir; then
+  rm -f conf$$.dir/conf$$.file
+else
+  rm -f conf$$.dir
+  mkdir conf$$.dir 2>/dev/null
+fi
+if (echo >conf$$.file) 2>/dev/null; then
+  if ln -s conf$$.file conf$$ 2>/dev/null; then
+    as_ln_s='ln -s'
+    # ... but there are two gotchas:
+    # 1) On MSYS, both `ln -s file dir' and `ln file dir' fail.
+    # 2) DJGPP < 2.04 has no symlinks; `ln -s' creates a wrapper executable.
+    # In both cases, we have to default to `cp -p'.
+    ln -s conf$$.file conf$$.dir 2>/dev/null && test ! -f conf$$.exe ||
+      as_ln_s='cp -p'
+  elif ln conf$$.file conf$$ 2>/dev/null; then
+    as_ln_s=ln
+  else
+    as_ln_s='cp -p'
+  fi
+else
+  as_ln_s='cp -p'
+fi
+rm -f conf$$ conf$$.exe conf$$.dir/conf$$.file conf$$.file
+rmdir conf$$.dir 2>/dev/null
+
+if mkdir -p . 2>/dev/null; then
+  as_mkdir_p='mkdir -p "$as_dir"'
+else
+  test -d ./-p && rmdir ./-p
+  as_mkdir_p=false
+fi
+
+if test -x / >/dev/null 2>&1; then
+  as_test_x='test -x'
+else
+  if ls -dL / >/dev/null 2>&1; then
+    as_ls_L_option=L
+  else
+    as_ls_L_option=
+  fi
+  as_test_x='
+    eval sh -c '\''
+      if test -d "$1"; then
+	test -d "$1/.";
+      else
+	case $1 in #(
+	-*)set "./$1";;
+	esac;
+	case `ls -ld'$as_ls_L_option' "$1" 2>/dev/null` in #((
+	???[sx]*):;;*)false;;esac;fi
+    '\'' sh
+  '
+fi
+as_executable_p=$as_test_x
+
+# Sed expression to map a string onto a valid CPP name.
+as_tr_cpp="eval sed 'y%*$as_cr_letters%P$as_cr_LETTERS%;s%[^_$as_cr_alnum]%_%g'"
+
+# Sed expression to map a string onto a valid variable name.
+as_tr_sh="eval sed 'y%*+%pp%;s%[^_$as_cr_alnum]%_%g'"
+
+
+
+# Check that we are running under the correct shell.
+SHELL=${CONFIG_SHELL-/bin/sh}
+
+case X$lt_ECHO in
+X*--fallback-echo)
+  # Remove one level of quotation (which was required for Make).
+  ECHO=`echo "$lt_ECHO" | sed 's,\\\\\$\\$0,'$0','`
+  ;;
+esac
+
+ECHO=${lt_ECHO-echo}
+if test "X$1" = X--no-reexec; then
+  # Discard the --no-reexec flag, and continue.
+  shift
+elif test "X$1" = X--fallback-echo; then
+  # Avoid inline document here, it may be left over
+  :
+elif test "X`{ $ECHO '\t'; } 2>/dev/null`" = 'X\t' ; then
+  # Yippee, $ECHO works!
+  :
+else
+  # Restart under the correct shell.
+  exec $SHELL "$0" --no-reexec ${1+"$@"}
+fi
+
+if test "X$1" = X--fallback-echo; then
+  # used as fallback echo
+  shift
+  cat <<_LT_EOF
+$*
+_LT_EOF
+  exit 0
+fi
+
+# The HP-UX ksh and POSIX shell print the target directory to stdout
+# if CDPATH is set.
+(unset CDPATH) >/dev/null 2>&1 && unset CDPATH
+
+if test -z "$lt_ECHO"; then
+  if test "X${echo_test_string+set}" != Xset; then
+    # find a string as large as possible, as long as the shell can cope with it
+    for cmd in 'sed 50q "$0"' 'sed 20q "$0"' 'sed 10q "$0"' 'sed 2q "$0"' 'echo test'; do
+      # expected sizes: less than 2Kb, 1Kb, 512 bytes, 16 bytes, ...
+      if { echo_test_string=`eval $cmd`; } 2>/dev/null &&
+	 { test "X$echo_test_string" = "X$echo_test_string"; } 2>/dev/null
+      then
+        break
+      fi
+    done
+  fi
+
+  if test "X`{ $ECHO '\t'; } 2>/dev/null`" = 'X\t' &&
+     echo_testing_string=`{ $ECHO "$echo_test_string"; } 2>/dev/null` &&
+     test "X$echo_testing_string" = "X$echo_test_string"; then
+    :
+  else
+    # The Solaris, AIX, and Digital Unix default echo programs unquote
+    # backslashes.  This makes it impossible to quote backslashes using
+    #   echo "$something" | sed 's/\\/\\\\/g'
+    #
+    # So, first we look for a working echo in the user's PATH.
+
+    lt_save_ifs="$IFS"; IFS=$PATH_SEPARATOR
+    for dir in $PATH /usr/ucb; do
+      IFS="$lt_save_ifs"
+      if (test -f $dir/echo || test -f $dir/echo$ac_exeext) &&
+         test "X`($dir/echo '\t') 2>/dev/null`" = 'X\t' &&
+         echo_testing_string=`($dir/echo "$echo_test_string") 2>/dev/null` &&
+         test "X$echo_testing_string" = "X$echo_test_string"; then
+        ECHO="$dir/echo"
+        break
+      fi
+    done
+    IFS="$lt_save_ifs"
+
+    if test "X$ECHO" = Xecho; then
+      # We didn't find a better echo, so look for alternatives.
+      if test "X`{ print -r '\t'; } 2>/dev/null`" = 'X\t' &&
+         echo_testing_string=`{ print -r "$echo_test_string"; } 2>/dev/null` &&
+         test "X$echo_testing_string" = "X$echo_test_string"; then
+        # This shell has a builtin print -r that does the trick.
+        ECHO='print -r'
+      elif { test -f /bin/ksh || test -f /bin/ksh$ac_exeext; } &&
+	   test "X$CONFIG_SHELL" != X/bin/ksh; then
+        # If we have ksh, try running configure again with it.
+        ORIGINAL_CONFIG_SHELL=${CONFIG_SHELL-/bin/sh}
+        export ORIGINAL_CONFIG_SHELL
+        CONFIG_SHELL=/bin/ksh
+        export CONFIG_SHELL
+        exec $CONFIG_SHELL "$0" --no-reexec ${1+"$@"}
+      else
+        # Try using printf.
+        ECHO='printf %s\n'
+        if test "X`{ $ECHO '\t'; } 2>/dev/null`" = 'X\t' &&
+	   echo_testing_string=`{ $ECHO "$echo_test_string"; } 2>/dev/null` &&
+	   test "X$echo_testing_string" = "X$echo_test_string"; then
+	  # Cool, printf works
+	  :
+        elif echo_testing_string=`($ORIGINAL_CONFIG_SHELL "$0" --fallback-echo '\t') 2>/dev/null` &&
+	     test "X$echo_testing_string" = 'X\t' &&
+	     echo_testing_string=`($ORIGINAL_CONFIG_SHELL "$0" --fallback-echo "$echo_test_string") 2>/dev/null` &&
+	     test "X$echo_testing_string" = "X$echo_test_string"; then
+	  CONFIG_SHELL=$ORIGINAL_CONFIG_SHELL
+	  export CONFIG_SHELL
+	  SHELL="$CONFIG_SHELL"
+	  export SHELL
+	  ECHO="$CONFIG_SHELL $0 --fallback-echo"
+        elif echo_testing_string=`($CONFIG_SHELL "$0" --fallback-echo '\t') 2>/dev/null` &&
+	     test "X$echo_testing_string" = 'X\t' &&
+	     echo_testing_string=`($CONFIG_SHELL "$0" --fallback-echo "$echo_test_string") 2>/dev/null` &&
+	     test "X$echo_testing_string" = "X$echo_test_string"; then
+	  ECHO="$CONFIG_SHELL $0 --fallback-echo"
+        else
+	  # maybe with a smaller string...
+	  prev=:
+
+	  for cmd in 'echo test' 'sed 2q "$0"' 'sed 10q "$0"' 'sed 20q "$0"' 'sed 50q "$0"'; do
+	    if { test "X$echo_test_string" = "X`eval $cmd`"; } 2>/dev/null
+	    then
+	      break
+	    fi
+	    prev="$cmd"
+	  done
+
+	  if test "$prev" != 'sed 50q "$0"'; then
+	    echo_test_string=`eval $prev`
+	    export echo_test_string
+	    exec ${ORIGINAL_CONFIG_SHELL-${CONFIG_SHELL-/bin/sh}} "$0" ${1+"$@"}
+	  else
+	    # Oops.  We lost completely, so just stick with echo.
+	    ECHO=echo
+	  fi
+        fi
+      fi
+    fi
+  fi
+fi
+
+# Copy echo and quote the copy suitably for passing to libtool from
+# the Makefile, instead of quoting the original, which is used later.
+lt_ECHO=$ECHO
+if test "X$lt_ECHO" = "X$CONFIG_SHELL $0 --fallback-echo"; then
+   lt_ECHO="$CONFIG_SHELL \\\$\$0 --fallback-echo"
+fi
+
+
+
+
+exec 7<&0 </dev/null 6>&1
+
+# Name of the host.
+# hostname on some systems (SVR3.2, Linux) returns a bogus exit status,
+# so uname gets run too.
+ac_hostname=`(hostname || uname -n) 2>/dev/null | sed 1q`
+
+#
+# Initializations.
+#
+ac_default_prefix=/usr/local
+ac_clean_files=
+ac_config_libobj_dir=.
+LIBOBJS=
+cross_compiling=no
+subdirs=
+MFLAGS=
+MAKEFLAGS=
+
+# Identity of this package.
+PACKAGE_NAME='adms'
+PACKAGE_TARNAME='adms'
+PACKAGE_VERSION='2.3.1'
+PACKAGE_STRING='adms 2.3.1'
+PACKAGE_BUGREPORT='crobar at users.sourceforge.net'
+PACKAGE_URL=''
+
+# Factoring default headers for most tests.
+ac_includes_default="\
+#include <stdio.h>
+#ifdef HAVE_SYS_TYPES_H
+# include <sys/types.h>
+#endif
+#ifdef HAVE_SYS_STAT_H
+# include <sys/stat.h>
+#endif
+#ifdef STDC_HEADERS
+# include <stdlib.h>
+# include <stddef.h>
+#else
+# ifdef HAVE_STDLIB_H
+#  include <stdlib.h>
+# endif
+#endif
+#ifdef HAVE_STRING_H
+# if !defined STDC_HEADERS && defined HAVE_MEMORY_H
+#  include <memory.h>
+# endif
+# include <string.h>
+#endif
+#ifdef HAVE_STRINGS_H
+# include <strings.h>
+#endif
+#ifdef HAVE_INTTYPES_H
+# include <inttypes.h>
+#endif
+#ifdef HAVE_STDINT_H
+# include <stdint.h>
+#endif
+#ifdef HAVE_UNISTD_H
+# include <unistd.h>
+#endif"
+
+ac_subst_vars='am__EXEEXT_FALSE
+am__EXEEXT_TRUE
+LTLIBOBJS
+PERL
+LIBTOOL_DEPS
+CXXCPP
+OTOOL64
+OTOOL
+LIPO
+NMEDIT
+DSYMUTIL
+lt_ECHO
+AR
+NM
+ac_ct_DUMPBIN
+DUMPBIN
+LD
+FGREP
+SED
+LIBTOOL
+OBJDUMP
+DLLTOOL
+AS
+host_os
+host_vendor
+host_cpu
+host
+build_os
+build_vendor
+build_cpu
+build
+POW_LIB
+LIBOBJS
+EGREP
+GREP
+ALLOCA
+am__fastdepCXX_FALSE
+am__fastdepCXX_TRUE
+CXXDEPMODE
+am__fastdepCC_FALSE
+am__fastdepCC_TRUE
+CCDEPMODE
+AMDEPBACKSLASH
+AMDEP_FALSE
+AMDEP_TRUE
+am__quote
+am__include
+DEPDIR
+am__untar
+am__tar
+AMTAR
+am__leading_dot
+mkdir_p
+MKDIR_P
+INSTALL_STRIP_PROGRAM
+STRIP
+install_sh
+MAKEINFO
+AUTOHEADER
+AUTOMAKE
+AUTOCONF
+ACLOCAL
+VERSION
+PACKAGE
+CYGPATH_W
+am__isrc
+RANLIB
+SET_MAKE
+LN_S
+INSTALL_DATA
+INSTALL_SCRIPT
+INSTALL_PROGRAM
+LEXLIB
+LEX_OUTPUT_ROOT
+LEX
+CPP
+ac_ct_CC
+CFLAGS
+CC
+YFLAGS
+YACC
+AWK
+OBJEXT
+EXEEXT
+ac_ct_CXX
+CPPFLAGS
+LDFLAGS
+CXXFLAGS
+CXX
+MAINT
+MAINTAINER_MODE_FALSE
+MAINTAINER_MODE_TRUE
+target_alias
+host_alias
+build_alias
+LIBS
+ECHO_T
+ECHO_N
+ECHO_C
+DEFS
+mandir
+localedir
+libdir
+psdir
+pdfdir
+dvidir
+htmldir
+infodir
+docdir
+oldincludedir
+includedir
+localstatedir
+sharedstatedir
+sysconfdir
+datadir
+datarootdir
+libexecdir
+sbindir
+bindir
+program_transform_name
+prefix
+exec_prefix
+PACKAGE_URL
+PACKAGE_BUGREPORT
+PACKAGE_STRING
+PACKAGE_VERSION
+PACKAGE_TARNAME
+PACKAGE_NAME
+PATH_SEPARATOR
+SHELL'
+ac_subst_files=''
+ac_user_opts='
+enable_option_checking
+enable_maintainer_mode
+enable_dependency_tracking
+enable_shared
+enable_static
+with_pic
+enable_fast_install
+with_gnu_ld
+enable_libtool_lock
+'
+      ac_precious_vars='build_alias
+host_alias
+target_alias
+CXX
+CXXFLAGS
+LDFLAGS
+LIBS
+CPPFLAGS
+CCC
+YACC
+YFLAGS
+CC
+CFLAGS
+CPP
+CXXCPP'
+
+
+# Initialize some variables set by options.
+ac_init_help=
+ac_init_version=false
+ac_unrecognized_opts=
+ac_unrecognized_sep=
+# The variables have the same names as the options, with
+# dashes changed to underlines.
+cache_file=/dev/null
+exec_prefix=NONE
+no_create=
+no_recursion=
+prefix=NONE
+program_prefix=NONE
+program_suffix=NONE
+program_transform_name=s,x,x,
+silent=
+site=
+srcdir=
+verbose=
+x_includes=NONE
+x_libraries=NONE
+
+# Installation directory options.
+# These are left unexpanded so users can "make install exec_prefix=/foo"
+# and all the variables that are supposed to be based on exec_prefix
+# by default will actually change.
+# Use braces instead of parens because sh, perl, etc. also accept them.
+# (The list follows the same order as the GNU Coding Standards.)
+bindir='${exec_prefix}/bin'
+sbindir='${exec_prefix}/sbin'
+libexecdir='${exec_prefix}/libexec'
+datarootdir='${prefix}/share'
+datadir='${datarootdir}'
+sysconfdir='${prefix}/etc'
+sharedstatedir='${prefix}/com'
+localstatedir='${prefix}/var'
+includedir='${prefix}/include'
+oldincludedir='/usr/include'
+docdir='${datarootdir}/doc/${PACKAGE_TARNAME}'
+infodir='${datarootdir}/info'
+htmldir='${docdir}'
+dvidir='${docdir}'
+pdfdir='${docdir}'
+psdir='${docdir}'
+libdir='${exec_prefix}/lib'
+localedir='${datarootdir}/locale'
+mandir='${datarootdir}/man'
+
+ac_prev=
+ac_dashdash=
+for ac_option
+do
+  # If the previous option needs an argument, assign it.
+  if test -n "$ac_prev"; then
+    eval $ac_prev=\$ac_option
+    ac_prev=
+    continue
+  fi
+
+  case $ac_option in
+  *=*)	ac_optarg=`expr "X$ac_option" : '[^=]*=\(.*\)'` ;;
+  *)	ac_optarg=yes ;;
+  esac
+
+  # Accept the important Cygnus configure options, so we can diagnose typos.
+
+  case $ac_dashdash$ac_option in
+  --)
+    ac_dashdash=yes ;;
+
+  -bindir | --bindir | --bindi | --bind | --bin | --bi)
+    ac_prev=bindir ;;
+  -bindir=* | --bindir=* | --bindi=* | --bind=* | --bin=* | --bi=*)
+    bindir=$ac_optarg ;;
+
+  -build | --build | --buil | --bui | --bu)
+    ac_prev=build_alias ;;
+  -build=* | --build=* | --buil=* | --bui=* | --bu=*)
+    build_alias=$ac_optarg ;;
+
+  -cache-file | --cache-file | --cache-fil | --cache-fi \
+  | --cache-f | --cache- | --cache | --cach | --cac | --ca | --c)
+    ac_prev=cache_file ;;
+  -cache-file=* | --cache-file=* | --cache-fil=* | --cache-fi=* \
+  | --cache-f=* | --cache-=* | --cache=* | --cach=* | --cac=* | --ca=* | --c=*)
+    cache_file=$ac_optarg ;;
+
+  --config-cache | -C)
+    cache_file=config.cache ;;
+
+  -datadir | --datadir | --datadi | --datad)
+    ac_prev=datadir ;;
+  -datadir=* | --datadir=* | --datadi=* | --datad=*)
+    datadir=$ac_optarg ;;
+
+  -datarootdir | --datarootdir | --datarootdi | --datarootd | --dataroot \
+  | --dataroo | --dataro | --datar)
+    ac_prev=datarootdir ;;
+  -datarootdir=* | --datarootdir=* | --datarootdi=* | --datarootd=* \
+  | --dataroot=* | --dataroo=* | --dataro=* | --datar=*)
+    datarootdir=$ac_optarg ;;
+
+  -disable-* | --disable-*)
+    ac_useropt=`expr "x$ac_option" : 'x-*disable-\(.*\)'`
+    # Reject names that are not valid shell variable names.
+    expr "x$ac_useropt" : ".*[^-+._$as_cr_alnum]" >/dev/null &&
+      as_fn_error "invalid feature name: $ac_useropt"
+    ac_useropt_orig=$ac_useropt
+    ac_useropt=`$as_echo "$ac_useropt" | sed 's/[-+.]/_/g'`
+    case $ac_user_opts in
+      *"
+"enable_$ac_useropt"
+"*) ;;
+      *) ac_unrecognized_opts="$ac_unrecognized_opts$ac_unrecognized_sep--disable-$ac_useropt_orig"
+	 ac_unrecognized_sep=', ';;
+    esac
+    eval enable_$ac_useropt=no ;;
+
+  -docdir | --docdir | --docdi | --doc | --do)
+    ac_prev=docdir ;;
+  -docdir=* | --docdir=* | --docdi=* | --doc=* | --do=*)
+    docdir=$ac_optarg ;;
+
+  -dvidir | --dvidir | --dvidi | --dvid | --dvi | --dv)
+    ac_prev=dvidir ;;
+  -dvidir=* | --dvidir=* | --dvidi=* | --dvid=* | --dvi=* | --dv=*)
+    dvidir=$ac_optarg ;;
+
+  -enable-* | --enable-*)
+    ac_useropt=`expr "x$ac_option" : 'x-*enable-\([^=]*\)'`
+    # Reject names that are not valid shell variable names.
+    expr "x$ac_useropt" : ".*[^-+._$as_cr_alnum]" >/dev/null &&
+      as_fn_error "invalid feature name: $ac_useropt"
+    ac_useropt_orig=$ac_useropt
+    ac_useropt=`$as_echo "$ac_useropt" | sed 's/[-+.]/_/g'`
+    case $ac_user_opts in
+      *"
+"enable_$ac_useropt"
+"*) ;;
+      *) ac_unrecognized_opts="$ac_unrecognized_opts$ac_unrecognized_sep--enable-$ac_useropt_orig"
+	 ac_unrecognized_sep=', ';;
+    esac
+    eval enable_$ac_useropt=\$ac_optarg ;;
+
+  -exec-prefix | --exec_prefix | --exec-prefix | --exec-prefi \
+  | --exec-pref | --exec-pre | --exec-pr | --exec-p | --exec- \
+  | --exec | --exe | --ex)
+    ac_prev=exec_prefix ;;
+  -exec-prefix=* | --exec_prefix=* | --exec-prefix=* | --exec-prefi=* \
+  | --exec-pref=* | --exec-pre=* | --exec-pr=* | --exec-p=* | --exec-=* \
+  | --exec=* | --exe=* | --ex=*)
+    exec_prefix=$ac_optarg ;;
+
+  -gas | --gas | --ga | --g)
+    # Obsolete; use --with-gas.
+    with_gas=yes ;;
+
+  -help | --help | --hel | --he | -h)
+    ac_init_help=long ;;
+  -help=r* | --help=r* | --hel=r* | --he=r* | -hr*)
+    ac_init_help=recursive ;;
+  -help=s* | --help=s* | --hel=s* | --he=s* | -hs*)
+    ac_init_help=short ;;
+
+  -host | --host | --hos | --ho)
+    ac_prev=host_alias ;;
+  -host=* | --host=* | --hos=* | --ho=*)
+    host_alias=$ac_optarg ;;
+
+  -htmldir | --htmldir | --htmldi | --htmld | --html | --htm | --ht)
+    ac_prev=htmldir ;;
+  -htmldir=* | --htmldir=* | --htmldi=* | --htmld=* | --html=* | --htm=* \
+  | --ht=*)
+    htmldir=$ac_optarg ;;
+
+  -includedir | --includedir | --includedi | --included | --include \
+  | --includ | --inclu | --incl | --inc)
+    ac_prev=includedir ;;
+  -includedir=* | --includedir=* | --includedi=* | --included=* | --include=* \
+  | --includ=* | --inclu=* | --incl=* | --inc=*)
+    includedir=$ac_optarg ;;
+
+  -infodir | --infodir | --infodi | --infod | --info | --inf)
+    ac_prev=infodir ;;
+  -infodir=* | --infodir=* | --infodi=* | --infod=* | --info=* | --inf=*)
+    infodir=$ac_optarg ;;
+
+  -libdir | --libdir | --libdi | --libd)
+    ac_prev=libdir ;;
+  -libdir=* | --libdir=* | --libdi=* | --libd=*)
+    libdir=$ac_optarg ;;
+
+  -libexecdir | --libexecdir | --libexecdi | --libexecd | --libexec \
+  | --libexe | --libex | --libe)
+    ac_prev=libexecdir ;;
+  -libexecdir=* | --libexecdir=* | --libexecdi=* | --libexecd=* | --libexec=* \
+  | --libexe=* | --libex=* | --libe=*)
+    libexecdir=$ac_optarg ;;
+
+  -localedir | --localedir | --localedi | --localed | --locale)
+    ac_prev=localedir ;;
+  -localedir=* | --localedir=* | --localedi=* | --localed=* | --locale=*)
+    localedir=$ac_optarg ;;
+
+  -localstatedir | --localstatedir | --localstatedi | --localstated \
+  | --localstate | --localstat | --localsta | --localst | --locals)
+    ac_prev=localstatedir ;;
+  -localstatedir=* | --localstatedir=* | --localstatedi=* | --localstated=* \
+  | --localstate=* | --localstat=* | --localsta=* | --localst=* | --locals=*)
+    localstatedir=$ac_optarg ;;
+
+  -mandir | --mandir | --mandi | --mand | --man | --ma | --m)
+    ac_prev=mandir ;;
+  -mandir=* | --mandir=* | --mandi=* | --mand=* | --man=* | --ma=* | --m=*)
+    mandir=$ac_optarg ;;
+
+  -nfp | --nfp | --nf)
+    # Obsolete; use --without-fp.
+    with_fp=no ;;
+
+  -no-create | --no-create | --no-creat | --no-crea | --no-cre \
+  | --no-cr | --no-c | -n)
+    no_create=yes ;;
+
+  -no-recursion | --no-recursion | --no-recursio | --no-recursi \
+  | --no-recurs | --no-recur | --no-recu | --no-rec | --no-re | --no-r)
+    no_recursion=yes ;;
+
+  -oldincludedir | --oldincludedir | --oldincludedi | --oldincluded \
+  | --oldinclude | --oldinclud | --oldinclu | --oldincl | --oldinc \
+  | --oldin | --oldi | --old | --ol | --o)
+    ac_prev=oldincludedir ;;
+  -oldincludedir=* | --oldincludedir=* | --oldincludedi=* | --oldincluded=* \
+  | --oldinclude=* | --oldinclud=* | --oldinclu=* | --oldincl=* | --oldinc=* \
+  | --oldin=* | --oldi=* | --old=* | --ol=* | --o=*)
+    oldincludedir=$ac_optarg ;;
+
+  -prefix | --prefix | --prefi | --pref | --pre | --pr | --p)
+    ac_prev=prefix ;;
+  -prefix=* | --prefix=* | --prefi=* | --pref=* | --pre=* | --pr=* | --p=*)
+    prefix=$ac_optarg ;;
+
+  -program-prefix | --program-prefix | --program-prefi | --program-pref \
+  | --program-pre | --program-pr | --program-p)
+    ac_prev=program_prefix ;;
+  -program-prefix=* | --program-prefix=* | --program-prefi=* \
+  | --program-pref=* | --program-pre=* | --program-pr=* | --program-p=*)
+    program_prefix=$ac_optarg ;;
+
+  -program-suffix | --program-suffix | --program-suffi | --program-suff \
+  | --program-suf | --program-su | --program-s)
+    ac_prev=program_suffix ;;
+  -program-suffix=* | --program-suffix=* | --program-suffi=* \
+  | --program-suff=* | --program-suf=* | --program-su=* | --program-s=*)
+    program_suffix=$ac_optarg ;;
+
+  -program-transform-name | --program-transform-name \
+  | --program-transform-nam | --program-transform-na \
+  | --program-transform-n | --program-transform- \
+  | --program-transform | --program-transfor \
+  | --program-transfo | --program-transf \
+  | --program-trans | --program-tran \
+  | --progr-tra | --program-tr | --program-t)
+    ac_prev=program_transform_name ;;
+  -program-transform-name=* | --program-transform-name=* \
+  | --program-transform-nam=* | --program-transform-na=* \
+  | --program-transform-n=* | --program-transform-=* \
+  | --program-transform=* | --program-transfor=* \
+  | --program-transfo=* | --program-transf=* \
+  | --program-trans=* | --program-tran=* \
+  | --progr-tra=* | --program-tr=* | --program-t=*)
+    program_transform_name=$ac_optarg ;;
+
+  -pdfdir | --pdfdir | --pdfdi | --pdfd | --pdf | --pd)
+    ac_prev=pdfdir ;;
+  -pdfdir=* | --pdfdir=* | --pdfdi=* | --pdfd=* | --pdf=* | --pd=*)
+    pdfdir=$ac_optarg ;;
+
+  -psdir | --psdir | --psdi | --psd | --ps)
+    ac_prev=psdir ;;
+  -psdir=* | --psdir=* | --psdi=* | --psd=* | --ps=*)
+    psdir=$ac_optarg ;;
+
+  -q | -quiet | --quiet | --quie | --qui | --qu | --q \
+  | -silent | --silent | --silen | --sile | --sil)
+    silent=yes ;;
+
+  -sbindir | --sbindir | --sbindi | --sbind | --sbin | --sbi | --sb)
+    ac_prev=sbindir ;;
+  -sbindir=* | --sbindir=* | --sbindi=* | --sbind=* | --sbin=* \
+  | --sbi=* | --sb=*)
+    sbindir=$ac_optarg ;;
+
+  -sharedstatedir | --sharedstatedir | --sharedstatedi \
+  | --sharedstated | --sharedstate | --sharedstat | --sharedsta \
+  | --sharedst | --shareds | --shared | --share | --shar \
+  | --sha | --sh)
+    ac_prev=sharedstatedir ;;
+  -sharedstatedir=* | --sharedstatedir=* | --sharedstatedi=* \
+  | --sharedstated=* | --sharedstate=* | --sharedstat=* | --sharedsta=* \
+  | --sharedst=* | --shareds=* | --shared=* | --share=* | --shar=* \
+  | --sha=* | --sh=*)
+    sharedstatedir=$ac_optarg ;;
+
+  -site | --site | --sit)
+    ac_prev=site ;;
+  -site=* | --site=* | --sit=*)
+    site=$ac_optarg ;;
+
+  -srcdir | --srcdir | --srcdi | --srcd | --src | --sr)
+    ac_prev=srcdir ;;
+  -srcdir=* | --srcdir=* | --srcdi=* | --srcd=* | --src=* | --sr=*)
+    srcdir=$ac_optarg ;;
+
+  -sysconfdir | --sysconfdir | --sysconfdi | --sysconfd | --sysconf \
+  | --syscon | --sysco | --sysc | --sys | --sy)
+    ac_prev=sysconfdir ;;
+  -sysconfdir=* | --sysconfdir=* | --sysconfdi=* | --sysconfd=* | --sysconf=* \
+  | --syscon=* | --sysco=* | --sysc=* | --sys=* | --sy=*)
+    sysconfdir=$ac_optarg ;;
+
+  -target | --target | --targe | --targ | --tar | --ta | --t)
+    ac_prev=target_alias ;;
+  -target=* | --target=* | --targe=* | --targ=* | --tar=* | --ta=* | --t=*)
+    target_alias=$ac_optarg ;;
+
+  -v | -verbose | --verbose | --verbos | --verbo | --verb)
+    verbose=yes ;;
+
+  -version | --version | --versio | --versi | --vers | -V)
+    ac_init_version=: ;;
+
+  -with-* | --with-*)
+    ac_useropt=`expr "x$ac_option" : 'x-*with-\([^=]*\)'`
+    # Reject names that are not valid shell variable names.
+    expr "x$ac_useropt" : ".*[^-+._$as_cr_alnum]" >/dev/null &&
+      as_fn_error "invalid package name: $ac_useropt"
+    ac_useropt_orig=$ac_useropt
+    ac_useropt=`$as_echo "$ac_useropt" | sed 's/[-+.]/_/g'`
+    case $ac_user_opts in
+      *"
+"with_$ac_useropt"
+"*) ;;
+      *) ac_unrecognized_opts="$ac_unrecognized_opts$ac_unrecognized_sep--with-$ac_useropt_orig"
+	 ac_unrecognized_sep=', ';;
+    esac
+    eval with_$ac_useropt=\$ac_optarg ;;
+
+  -without-* | --without-*)
+    ac_useropt=`expr "x$ac_option" : 'x-*without-\(.*\)'`
+    # Reject names that are not valid shell variable names.
+    expr "x$ac_useropt" : ".*[^-+._$as_cr_alnum]" >/dev/null &&
+      as_fn_error "invalid package name: $ac_useropt"
+    ac_useropt_orig=$ac_useropt
+    ac_useropt=`$as_echo "$ac_useropt" | sed 's/[-+.]/_/g'`
+    case $ac_user_opts in
+      *"
+"with_$ac_useropt"
+"*) ;;
+      *) ac_unrecognized_opts="$ac_unrecognized_opts$ac_unrecognized_sep--without-$ac_useropt_orig"
+	 ac_unrecognized_sep=', ';;
+    esac
+    eval with_$ac_useropt=no ;;
+
+  --x)
+    # Obsolete; use --with-x.
+    with_x=yes ;;
+
+  -x-includes | --x-includes | --x-include | --x-includ | --x-inclu \
+  | --x-incl | --x-inc | --x-in | --x-i)
+    ac_prev=x_includes ;;
+  -x-includes=* | --x-includes=* | --x-include=* | --x-includ=* | --x-inclu=* \
+  | --x-incl=* | --x-inc=* | --x-in=* | --x-i=*)
+    x_includes=$ac_optarg ;;
+
+  -x-libraries | --x-libraries | --x-librarie | --x-librari \
+  | --x-librar | --x-libra | --x-libr | --x-lib | --x-li | --x-l)
+    ac_prev=x_libraries ;;
+  -x-libraries=* | --x-libraries=* | --x-librarie=* | --x-librari=* \
+  | --x-librar=* | --x-libra=* | --x-libr=* | --x-lib=* | --x-li=* | --x-l=*)
+    x_libraries=$ac_optarg ;;
+
+  -*) as_fn_error "unrecognized option: \`$ac_option'
+Try \`$0 --help' for more information."
+    ;;
+
+  *=*)
+    ac_envvar=`expr "x$ac_option" : 'x\([^=]*\)='`
+    # Reject names that are not valid shell variable names.
+    case $ac_envvar in #(
+      '' | [0-9]* | *[!_$as_cr_alnum]* )
+      as_fn_error "invalid variable name: \`$ac_envvar'" ;;
+    esac
+    eval $ac_envvar=\$ac_optarg
+    export $ac_envvar ;;
+
+  *)
+    # FIXME: should be removed in autoconf 3.0.
+    $as_echo "$as_me: WARNING: you should use --build, --host, --target" >&2
+    expr "x$ac_option" : ".*[^-._$as_cr_alnum]" >/dev/null &&
+      $as_echo "$as_me: WARNING: invalid host type: $ac_option" >&2
+    : ${build_alias=$ac_option} ${host_alias=$ac_option} ${target_alias=$ac_option}
+    ;;
+
+  esac
+done
+
+if test -n "$ac_prev"; then
+  ac_option=--`echo $ac_prev | sed 's/_/-/g'`
+  as_fn_error "missing argument to $ac_option"
+fi
+
+if test -n "$ac_unrecognized_opts"; then
+  case $enable_option_checking in
+    no) ;;
+    fatal) as_fn_error "unrecognized options: $ac_unrecognized_opts" ;;
+    *)     $as_echo "$as_me: WARNING: unrecognized options: $ac_unrecognized_opts" >&2 ;;
+  esac
+fi
+
+# Check all directory arguments for consistency.
+for ac_var in	exec_prefix prefix bindir sbindir libexecdir datarootdir \
+		datadir sysconfdir sharedstatedir localstatedir includedir \
+		oldincludedir docdir infodir htmldir dvidir pdfdir psdir \
+		libdir localedir mandir
+do
+  eval ac_val=\$$ac_var
+  # Remove trailing slashes.
+  case $ac_val in
+    */ )
+      ac_val=`expr "X$ac_val" : 'X\(.*[^/]\)' \| "X$ac_val" : 'X\(.*\)'`
+      eval $ac_var=\$ac_val;;
+  esac
+  # Be sure to have absolute directory names.
+  case $ac_val in
+    [\\/$]* | ?:[\\/]* )  continue;;
+    NONE | '' ) case $ac_var in *prefix ) continue;; esac;;
+  esac
+  as_fn_error "expected an absolute directory name for --$ac_var: $ac_val"
+done
+
+# There might be people who depend on the old broken behavior: `$host'
+# used to hold the argument of --host etc.
+# FIXME: To remove some day.
+build=$build_alias
+host=$host_alias
+target=$target_alias
+
+# FIXME: To remove some day.
+if test "x$host_alias" != x; then
+  if test "x$build_alias" = x; then
+    cross_compiling=maybe
+    $as_echo "$as_me: WARNING: If you wanted to set the --build type, don't use --host.
+    If a cross compiler is detected then cross compile mode will be used." >&2
+  elif test "x$build_alias" != "x$host_alias"; then
+    cross_compiling=yes
+  fi
+fi
+
+ac_tool_prefix=
+test -n "$host_alias" && ac_tool_prefix=$host_alias-
+
+test "$silent" = yes && exec 6>/dev/null
+
+
+ac_pwd=`pwd` && test -n "$ac_pwd" &&
+ac_ls_di=`ls -di .` &&
+ac_pwd_ls_di=`cd "$ac_pwd" && ls -di .` ||
+  as_fn_error "working directory cannot be determined"
+test "X$ac_ls_di" = "X$ac_pwd_ls_di" ||
+  as_fn_error "pwd does not report name of working directory"
+
+
+# Find the source files, if location was not specified.
+if test -z "$srcdir"; then
+  ac_srcdir_defaulted=yes
+  # Try the directory containing this script, then the parent directory.
+  ac_confdir=`$as_dirname -- "$as_myself" ||
+$as_expr X"$as_myself" : 'X\(.*[^/]\)//*[^/][^/]*/*$' \| \
+	 X"$as_myself" : 'X\(//\)[^/]' \| \
+	 X"$as_myself" : 'X\(//\)$' \| \
+	 X"$as_myself" : 'X\(/\)' \| . 2>/dev/null ||
+$as_echo X"$as_myself" |
+    sed '/^X\(.*[^/]\)\/\/*[^/][^/]*\/*$/{
+	    s//\1/
+	    q
+	  }
+	  /^X\(\/\/\)[^/].*/{
+	    s//\1/
+	    q
+	  }
+	  /^X\(\/\/\)$/{
+	    s//\1/
+	    q
+	  }
+	  /^X\(\/\).*/{
+	    s//\1/
+	    q
+	  }
+	  s/.*/./; q'`
+  srcdir=$ac_confdir
+  if test ! -r "$srcdir/$ac_unique_file"; then
+    srcdir=..
+  fi
+else
+  ac_srcdir_defaulted=no
+fi
+if test ! -r "$srcdir/$ac_unique_file"; then
+  test "$ac_srcdir_defaulted" = yes && srcdir="$ac_confdir or .."
+  as_fn_error "cannot find sources ($ac_unique_file) in $srcdir"
+fi
+ac_msg="sources are in $srcdir, but \`cd $srcdir' does not work"
+ac_abs_confdir=`(
+	cd "$srcdir" && test -r "./$ac_unique_file" || as_fn_error "$ac_msg"
+	pwd)`
+# When building in place, set srcdir=.
+if test "$ac_abs_confdir" = "$ac_pwd"; then
+  srcdir=.
+fi
+# Remove unnecessary trailing slashes from srcdir.
+# Double slashes in file names in object file debugging info
+# mess up M-x gdb in Emacs.
+case $srcdir in
+*/) srcdir=`expr "X$srcdir" : 'X\(.*[^/]\)' \| "X$srcdir" : 'X\(.*\)'`;;
+esac
+for ac_var in $ac_precious_vars; do
+  eval ac_env_${ac_var}_set=\${${ac_var}+set}
+  eval ac_env_${ac_var}_value=\$${ac_var}
+  eval ac_cv_env_${ac_var}_set=\${${ac_var}+set}
+  eval ac_cv_env_${ac_var}_value=\$${ac_var}
+done
+
+#
+# Report the --help message.
+#
+if test "$ac_init_help" = "long"; then
+  # Omit some internal or obsolete options to make the list less imposing.
+  # This message is too long to be a string in the A/UX 3.1 sh.
+  cat <<_ACEOF
+\`configure' configures adms 2.3.1 to adapt to many kinds of systems.
+
+Usage: $0 [OPTION]... [VAR=VALUE]...
+
+To assign environment variables (e.g., CC, CFLAGS...), specify them as
+VAR=VALUE.  See below for descriptions of some of the useful variables.
+
+Defaults for the options are specified in brackets.
+
+Configuration:
+  -h, --help              display this help and exit
+      --help=short        display options specific to this package
+      --help=recursive    display the short help of all the included packages
+  -V, --version           display version information and exit
+  -q, --quiet, --silent   do not print \`checking...' messages
+      --cache-file=FILE   cache test results in FILE [disabled]
+  -C, --config-cache      alias for \`--cache-file=config.cache'
+  -n, --no-create         do not create output files
+      --srcdir=DIR        find the sources in DIR [configure dir or \`..']
+
+Installation directories:
+  --prefix=PREFIX         install architecture-independent files in PREFIX
+                          [$ac_default_prefix]
+  --exec-prefix=EPREFIX   install architecture-dependent files in EPREFIX
+                          [PREFIX]
+
+By default, \`make install' will install all the files in
+\`$ac_default_prefix/bin', \`$ac_default_prefix/lib' etc.  You can specify
+an installation prefix other than \`$ac_default_prefix' using \`--prefix',
+for instance \`--prefix=\$HOME'.
+
+For better control, use the options below.
+
+Fine tuning of the installation directories:
+  --bindir=DIR            user executables [EPREFIX/bin]
+  --sbindir=DIR           system admin executables [EPREFIX/sbin]
+  --libexecdir=DIR        program executables [EPREFIX/libexec]
+  --sysconfdir=DIR        read-only single-machine data [PREFIX/etc]
+  --sharedstatedir=DIR    modifiable architecture-independent data [PREFIX/com]
+  --localstatedir=DIR     modifiable single-machine data [PREFIX/var]
+  --libdir=DIR            object code libraries [EPREFIX/lib]
+  --includedir=DIR        C header files [PREFIX/include]
+  --oldincludedir=DIR     C header files for non-gcc [/usr/include]
+  --datarootdir=DIR       read-only arch.-independent data root [PREFIX/share]
+  --datadir=DIR           read-only architecture-independent data [DATAROOTDIR]
+  --infodir=DIR           info documentation [DATAROOTDIR/info]
+  --localedir=DIR         locale-dependent data [DATAROOTDIR/locale]
+  --mandir=DIR            man documentation [DATAROOTDIR/man]
+  --docdir=DIR            documentation root [DATAROOTDIR/doc/adms]
+  --htmldir=DIR           html documentation [DOCDIR]
+  --dvidir=DIR            dvi documentation [DOCDIR]
+  --pdfdir=DIR            pdf documentation [DOCDIR]
+  --psdir=DIR             ps documentation [DOCDIR]
+_ACEOF
+
+  cat <<\_ACEOF
+
+Program names:
+  --program-prefix=PREFIX            prepend PREFIX to installed program names
+  --program-suffix=SUFFIX            append SUFFIX to installed program names
+  --program-transform-name=PROGRAM   run sed PROGRAM on installed program names
+
+System types:
+  --build=BUILD     configure for building on BUILD [guessed]
+  --host=HOST       cross-compile to build programs to run on HOST [BUILD]
+_ACEOF
+fi
+
+if test -n "$ac_init_help"; then
+  case $ac_init_help in
+     short | recursive ) echo "Configuration of adms 2.3.1:";;
+   esac
+  cat <<\_ACEOF
+
+Optional Features:
+  --disable-option-checking  ignore unrecognized --enable/--with options
+  --disable-FEATURE       do not include FEATURE (same as --enable-FEATURE=no)
+  --enable-FEATURE[=ARG]  include FEATURE [ARG=yes]
+  --enable-maintainer-mode  enable make rules and dependencies not useful
+			  (and sometimes confusing) to the casual installer
+  --disable-dependency-tracking  speeds up one-time build
+  --enable-dependency-tracking   do not reject slow dependency extractors
+  --enable-shared[=PKGS]  build shared libraries [default=yes]
+  --enable-static[=PKGS]  build static libraries [default=yes]
+  --enable-fast-install[=PKGS]
+                          optimize for fast installation [default=yes]
+  --disable-libtool-lock  avoid locking (might break parallel builds)
+
+Optional Packages:
+  --with-PACKAGE[=ARG]    use PACKAGE [ARG=yes]
+  --without-PACKAGE       do not use PACKAGE (same as --with-PACKAGE=no)
+  --with-pic              try to use only PIC/non-PIC objects [default=use
+                          both]
+  --with-gnu-ld           assume the C compiler uses GNU ld [default=no]
+
+Some influential environment variables:
+  CXX         C++ compiler command
+  CXXFLAGS    C++ compiler flags
+  LDFLAGS     linker flags, e.g. -L<lib dir> if you have libraries in a
+              nonstandard directory <lib dir>
+  LIBS        libraries to pass to the linker, e.g. -l<library>
+  CPPFLAGS    C/C++/Objective C preprocessor flags, e.g. -I<include dir> if
+              you have headers in a nonstandard directory <include dir>
+  YACC        The `Yet Another C Compiler' implementation to use. Defaults to
+              the first program found out of: `bison -y', `byacc', `yacc'.
+  YFLAGS      The list of arguments that will be passed by default to $YACC.
+              This script will default YFLAGS to the empty string to avoid a
+              default value of `-d' given by some make applications.
+  CC          C compiler command
+  CFLAGS      C compiler flags
+  CPP         C preprocessor
+  CXXCPP      C++ preprocessor
+
+Use these variables to override the choices made by `configure' or to help
+it to find libraries and programs with nonstandard names/locations.
+
+Report bugs to <crobar at users.sourceforge.net>.
+_ACEOF
+ac_status=$?
+fi
+
+if test "$ac_init_help" = "recursive"; then
+  # If there are subdirs, report their specific --help.
+  for ac_dir in : $ac_subdirs_all; do test "x$ac_dir" = x: && continue
+    test -d "$ac_dir" ||
+      { cd "$srcdir" && ac_pwd=`pwd` && srcdir=. && test -d "$ac_dir"; } ||
+      continue
+    ac_builddir=.
+
+case "$ac_dir" in
+.) ac_dir_suffix= ac_top_builddir_sub=. ac_top_build_prefix= ;;
+*)
+  ac_dir_suffix=/`$as_echo "$ac_dir" | sed 's|^\.[\\/]||'`
+  # A ".." for each directory in $ac_dir_suffix.
+  ac_top_builddir_sub=`$as_echo "$ac_dir_suffix" | sed 's|/[^\\/]*|/..|g;s|/||'`
+  case $ac_top_builddir_sub in
+  "") ac_top_builddir_sub=. ac_top_build_prefix= ;;
+  *)  ac_top_build_prefix=$ac_top_builddir_sub/ ;;
+  esac ;;
+esac
+ac_abs_top_builddir=$ac_pwd
+ac_abs_builddir=$ac_pwd$ac_dir_suffix
+# for backward compatibility:
+ac_top_builddir=$ac_top_build_prefix
+
+case $srcdir in
+  .)  # We are building in place.
+    ac_srcdir=.
+    ac_top_srcdir=$ac_top_builddir_sub
+    ac_abs_top_srcdir=$ac_pwd ;;
+  [\\/]* | ?:[\\/]* )  # Absolute name.
+    ac_srcdir=$srcdir$ac_dir_suffix;
+    ac_top_srcdir=$srcdir
+    ac_abs_top_srcdir=$srcdir ;;
+  *) # Relative name.
+    ac_srcdir=$ac_top_build_prefix$srcdir$ac_dir_suffix
+    ac_top_srcdir=$ac_top_build_prefix$srcdir
+    ac_abs_top_srcdir=$ac_pwd/$srcdir ;;
+esac
+ac_abs_srcdir=$ac_abs_top_srcdir$ac_dir_suffix
+
+    cd "$ac_dir" || { ac_status=$?; continue; }
+    # Check for guested configure.
+    if test -f "$ac_srcdir/configure.gnu"; then
+      echo &&
+      $SHELL "$ac_srcdir/configure.gnu" --help=recursive
+    elif test -f "$ac_srcdir/configure"; then
+      echo &&
+      $SHELL "$ac_srcdir/configure" --help=recursive
+    else
+      $as_echo "$as_me: WARNING: no configuration information is in $ac_dir" >&2
+    fi || ac_status=$?
+    cd "$ac_pwd" || { ac_status=$?; break; }
+  done
+fi
+
+test -n "$ac_init_help" && exit $ac_status
+if $ac_init_version; then
+  cat <<\_ACEOF
+adms configure 2.3.1
+generated by GNU Autoconf 2.64
+
+Copyright (C) 2009 Free Software Foundation, Inc.
+This configure script is free software; the Free Software Foundation
+gives unlimited permission to copy, distribute and modify it.
+_ACEOF
+  exit
+fi
+
+## ------------------------ ##
+## Autoconf initialization. ##
+## ------------------------ ##
+
+# ac_fn_cxx_try_compile LINENO
+# ----------------------------
+# Try to compile conftest.$ac_ext, and return whether this succeeded.
+ac_fn_cxx_try_compile ()
+{
+  as_lineno=${as_lineno-"$1"} as_lineno_stack=as_lineno_stack=$as_lineno_stack
+  rm -f conftest.$ac_objext
+  if { { ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:${as_lineno-$LINENO}: $ac_try_echo\""
+$as_echo "$ac_try_echo"; } >&5
+  (eval "$ac_compile") 2>conftest.err
+  ac_status=$?
+  if test -s conftest.err; then
+    grep -v '^ *+' conftest.err >conftest.er1
+    cat conftest.er1 >&5
+    mv -f conftest.er1 conftest.err
+  fi
+  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
+  test $ac_status = 0; } && {
+	 test -z "$ac_cxx_werror_flag" ||
+	 test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then :
+  ac_retval=0
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+	ac_retval=1
+fi
+  eval $as_lineno_stack; test "x$as_lineno_stack" = x && { as_lineno=; unset as_lineno;}
+  return $ac_retval
+
+} # ac_fn_cxx_try_compile
+
+# ac_fn_c_try_compile LINENO
+# --------------------------
+# Try to compile conftest.$ac_ext, and return whether this succeeded.
+ac_fn_c_try_compile ()
+{
+  as_lineno=${as_lineno-"$1"} as_lineno_stack=as_lineno_stack=$as_lineno_stack
+  rm -f conftest.$ac_objext
+  if { { ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:${as_lineno-$LINENO}: $ac_try_echo\""
+$as_echo "$ac_try_echo"; } >&5
+  (eval "$ac_compile") 2>conftest.err
+  ac_status=$?
+  if test -s conftest.err; then
+    grep -v '^ *+' conftest.err >conftest.er1
+    cat conftest.er1 >&5
+    mv -f conftest.er1 conftest.err
+  fi
+  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
+  test $ac_status = 0; } && {
+	 test -z "$ac_c_werror_flag" ||
+	 test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then :
+  ac_retval=0
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+	ac_retval=1
+fi
+  eval $as_lineno_stack; test "x$as_lineno_stack" = x && { as_lineno=; unset as_lineno;}
+  return $ac_retval
+
+} # ac_fn_c_try_compile
+
+# ac_fn_c_try_cpp LINENO
+# ----------------------
+# Try to preprocess conftest.$ac_ext, and return whether this succeeded.
+ac_fn_c_try_cpp ()
+{
+  as_lineno=${as_lineno-"$1"} as_lineno_stack=as_lineno_stack=$as_lineno_stack
+  if { { ac_try="$ac_cpp conftest.$ac_ext"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:${as_lineno-$LINENO}: $ac_try_echo\""
+$as_echo "$ac_try_echo"; } >&5
+  (eval "$ac_cpp conftest.$ac_ext") 2>conftest.err
+  ac_status=$?
+  if test -s conftest.err; then
+    grep -v '^ *+' conftest.err >conftest.er1
+    cat conftest.er1 >&5
+    mv -f conftest.er1 conftest.err
+  fi
+  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
+  test $ac_status = 0; } >/dev/null && {
+	 test -z "$ac_c_preproc_warn_flag$ac_c_werror_flag" ||
+	 test ! -s conftest.err
+       }; then :
+  ac_retval=0
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+    ac_retval=1
+fi
+  eval $as_lineno_stack; test "x$as_lineno_stack" = x && { as_lineno=; unset as_lineno;}
+  return $ac_retval
+
+} # ac_fn_c_try_cpp
+
+# ac_fn_c_try_link LINENO
+# -----------------------
+# Try to link conftest.$ac_ext, and return whether this succeeded.
+ac_fn_c_try_link ()
+{
+  as_lineno=${as_lineno-"$1"} as_lineno_stack=as_lineno_stack=$as_lineno_stack
+  rm -f conftest.$ac_objext conftest$ac_exeext
+  if { { ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:${as_lineno-$LINENO}: $ac_try_echo\""
+$as_echo "$ac_try_echo"; } >&5
+  (eval "$ac_link") 2>conftest.err
+  ac_status=$?
+  if test -s conftest.err; then
+    grep -v '^ *+' conftest.err >conftest.er1
+    cat conftest.er1 >&5
+    mv -f conftest.er1 conftest.err
+  fi
+  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
+  test $ac_status = 0; } && {
+	 test -z "$ac_c_werror_flag" ||
+	 test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+	 test "$cross_compiling" = yes ||
+	 $as_test_x conftest$ac_exeext
+       }; then :
+  ac_retval=0
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+	ac_retval=1
+fi
+  # Delete the IPA/IPO (Inter Procedural Analysis/Optimization) information
+  # created by the PGI compiler (conftest_ipa8_conftest.oo), as it would
+  # interfere with the next link command; also delete a directory that is
+  # left behind by Apple's compiler.  We do this before executing the actions.
+  rm -rf conftest.dSYM conftest_ipa8_conftest.oo
+  eval $as_lineno_stack; test "x$as_lineno_stack" = x && { as_lineno=; unset as_lineno;}
+  return $ac_retval
+
+} # ac_fn_c_try_link
+
+# ac_fn_c_check_func LINENO FUNC VAR
+# ----------------------------------
+# Tests whether FUNC exists, setting the cache variable VAR accordingly
+ac_fn_c_check_func ()
+{
+  as_lineno=${as_lineno-"$1"} as_lineno_stack=as_lineno_stack=$as_lineno_stack
+  { $as_echo "$as_me:${as_lineno-$LINENO}: checking for $2" >&5
+$as_echo_n "checking for $2... " >&6; }
+if { as_var=$3; eval "test \"\${$as_var+set}\" = set"; }; then :
+  $as_echo_n "(cached) " >&6
+else
+  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+/* Define $2 to an innocuous variant, in case <limits.h> declares $2.
+   For example, HP-UX 11i <limits.h> declares gettimeofday.  */
+#define $2 innocuous_$2
+
+/* System header to define __stub macros and hopefully few prototypes,
+    which can conflict with char $2 (); below.
+    Prefer <limits.h> to <assert.h> if __STDC__ is defined, since
+    <limits.h> exists even on freestanding compilers.  */
+
+#ifdef __STDC__
+# include <limits.h>
+#else
+# include <assert.h>
+#endif
+
+#undef $2
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char $2 ();
+/* The GNU C library defines this for functions which it implements
+    to always fail with ENOSYS.  Some functions are actually named
+    something starting with __ and the normal name is an alias.  */
+#if defined __stub_$2 || defined __stub___$2
+choke me
+#endif
+
+int
+main ()
+{
+return $2 ();
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_c_try_link "$LINENO"; then :
+  eval "$3=yes"
+else
+  eval "$3=no"
+fi
+rm -f core conftest.err conftest.$ac_objext \
+    conftest$ac_exeext conftest.$ac_ext
+fi
+eval ac_res=\$$3
+	       { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+  eval $as_lineno_stack; test "x$as_lineno_stack" = x && { as_lineno=; unset as_lineno;}
+
+} # ac_fn_c_check_func
+
+# ac_fn_c_try_run LINENO
+# ----------------------
+# Try to link conftest.$ac_ext, and return whether this succeeded. Assumes
+# that executables *can* be run.
+ac_fn_c_try_run ()
+{
+  as_lineno=${as_lineno-"$1"} as_lineno_stack=as_lineno_stack=$as_lineno_stack
+  if { { ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:${as_lineno-$LINENO}: $ac_try_echo\""
+$as_echo "$ac_try_echo"; } >&5
+  (eval "$ac_link") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
+  test $ac_status = 0; } && { ac_try='./conftest$ac_exeext'
+  { { case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:${as_lineno-$LINENO}: $ac_try_echo\""
+$as_echo "$ac_try_echo"; } >&5
+  (eval "$ac_try") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
+  test $ac_status = 0; }; }; then :
+  ac_retval=0
+else
+  $as_echo "$as_me: program exited with status $ac_status" >&5
+       $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_retval=$ac_status
+fi
+  rm -rf conftest.dSYM conftest_ipa8_conftest.oo
+  eval $as_lineno_stack; test "x$as_lineno_stack" = x && { as_lineno=; unset as_lineno;}
+  return $ac_retval
+
+} # ac_fn_c_try_run
+
+# ac_fn_c_check_header_compile LINENO HEADER VAR INCLUDES
+# -------------------------------------------------------
+# Tests whether HEADER exists and can be compiled using the include files in
+# INCLUDES, setting the cache variable VAR accordingly.
+ac_fn_c_check_header_compile ()
+{
+  as_lineno=${as_lineno-"$1"} as_lineno_stack=as_lineno_stack=$as_lineno_stack
+  { $as_echo "$as_me:${as_lineno-$LINENO}: checking for $2" >&5
+$as_echo_n "checking for $2... " >&6; }
+if { as_var=$3; eval "test \"\${$as_var+set}\" = set"; }; then :
+  $as_echo_n "(cached) " >&6
+else
+  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+$4
+#include <$2>
+_ACEOF
+if ac_fn_c_try_compile "$LINENO"; then :
+  eval "$3=yes"
+else
+  eval "$3=no"
+fi
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+fi
+eval ac_res=\$$3
+	       { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+  eval $as_lineno_stack; test "x$as_lineno_stack" = x && { as_lineno=; unset as_lineno;}
+
+} # ac_fn_c_check_header_compile
+
+# ac_fn_c_check_header_mongrel LINENO HEADER VAR INCLUDES
+# -------------------------------------------------------
+# Tests whether HEADER exists, giving a warning if it cannot be compiled using
+# the include files in INCLUDES and setting the cache variable VAR
+# accordingly.
+ac_fn_c_check_header_mongrel ()
+{
+  as_lineno=${as_lineno-"$1"} as_lineno_stack=as_lineno_stack=$as_lineno_stack
+  if { as_var=$3; eval "test \"\${$as_var+set}\" = set"; }; then :
+  { $as_echo "$as_me:${as_lineno-$LINENO}: checking for $2" >&5
+$as_echo_n "checking for $2... " >&6; }
+if { as_var=$3; eval "test \"\${$as_var+set}\" = set"; }; then :
+  $as_echo_n "(cached) " >&6
+fi
+eval ac_res=\$$3
+	       { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+else
+  # Is the header compilable?
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking $2 usability" >&5
+$as_echo_n "checking $2 usability... " >&6; }
+cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+$4
+#include <$2>
+_ACEOF
+if ac_fn_c_try_compile "$LINENO"; then :
+  ac_header_compiler=yes
+else
+  ac_header_compiler=no
+fi
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_header_compiler" >&5
+$as_echo "$ac_header_compiler" >&6; }
+
+# Is the header present?
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking $2 presence" >&5
+$as_echo_n "checking $2 presence... " >&6; }
+cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+#include <$2>
+_ACEOF
+if ac_fn_c_try_cpp "$LINENO"; then :
+  ac_header_preproc=yes
+else
+  ac_header_preproc=no
+fi
+rm -f conftest.err conftest.$ac_ext
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_header_preproc" >&5
+$as_echo "$ac_header_preproc" >&6; }
+
+# So?  What about this header?
+case $ac_header_compiler:$ac_header_preproc:$ac_c_preproc_warn_flag in #((
+  yes:no: )
+    { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: $2: accepted by the compiler, rejected by the preprocessor!" >&5
+$as_echo "$as_me: WARNING: $2: accepted by the compiler, rejected by the preprocessor!" >&2;}
+    { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: $2: proceeding with the compiler's result" >&5
+$as_echo "$as_me: WARNING: $2: proceeding with the compiler's result" >&2;}
+    ;;
+  no:yes:* )
+    { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: $2: present but cannot be compiled" >&5
+$as_echo "$as_me: WARNING: $2: present but cannot be compiled" >&2;}
+    { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: $2:     check for missing prerequisite headers?" >&5
+$as_echo "$as_me: WARNING: $2:     check for missing prerequisite headers?" >&2;}
+    { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: $2: see the Autoconf documentation" >&5
+$as_echo "$as_me: WARNING: $2: see the Autoconf documentation" >&2;}
+    { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: $2:     section \"Present But Cannot Be Compiled\"" >&5
+$as_echo "$as_me: WARNING: $2:     section \"Present But Cannot Be Compiled\"" >&2;}
+    { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: $2: proceeding with the compiler's result" >&5
+$as_echo "$as_me: WARNING: $2: proceeding with the compiler's result" >&2;}
+( cat <<\_ASBOX
+## ------------------------------------------- ##
+## Report this to crobar at users.sourceforge.net ##
+## ------------------------------------------- ##
+_ASBOX
+     ) | sed "s/^/$as_me: WARNING:     /" >&2
+    ;;
+esac
+  { $as_echo "$as_me:${as_lineno-$LINENO}: checking for $2" >&5
+$as_echo_n "checking for $2... " >&6; }
+if { as_var=$3; eval "test \"\${$as_var+set}\" = set"; }; then :
+  $as_echo_n "(cached) " >&6
+else
+  eval "$3=\$ac_header_compiler"
+fi
+eval ac_res=\$$3
+	       { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+fi
+  eval $as_lineno_stack; test "x$as_lineno_stack" = x && { as_lineno=; unset as_lineno;}
+
+} # ac_fn_c_check_header_mongrel
+
+# ac_fn_c_find_intX_t LINENO BITS VAR
+# -----------------------------------
+# Finds a signed integer type with width BITS, setting cache variable VAR
+# accordingly.
+ac_fn_c_find_intX_t ()
+{
+  as_lineno=${as_lineno-"$1"} as_lineno_stack=as_lineno_stack=$as_lineno_stack
+  { $as_echo "$as_me:${as_lineno-$LINENO}: checking for int$2_t" >&5
+$as_echo_n "checking for int$2_t... " >&6; }
+if { as_var=$3; eval "test \"\${$as_var+set}\" = set"; }; then :
+  $as_echo_n "(cached) " >&6
+else
+  eval "$3=no"
+     for ac_type in int$2_t 'int' 'long int' \
+	 'long long int' 'short int' 'signed char'; do
+       cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+$ac_includes_default
+int
+main ()
+{
+static int test_array [1 - 2 * !(0 < ($ac_type) (((($ac_type) 1 << ($2 - 2)) - 1) * 2 + 1))];
+test_array [0] = 0
+
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_c_try_compile "$LINENO"; then :
+  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+$ac_includes_default
+int
+main ()
+{
+static int test_array [1 - 2 * !(($ac_type) (((($ac_type) 1 << ($2 - 2)) - 1) * 2 + 1)
+		 < ($ac_type) (((($ac_type) 1 << ($2 - 2)) - 1) * 2 + 2))];
+test_array [0] = 0
+
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_c_try_compile "$LINENO"; then :
+
+else
+  case $ac_type in #(
+  int$2_t) :
+    eval "$3=yes" ;; #(
+  *) :
+    eval "$3=\$ac_type" ;;
+esac
+fi
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+fi
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+       eval as_val=\$$3
+   if test "x$as_val" = x""no; then :
+
+else
+  break
+fi
+     done
+fi
+eval ac_res=\$$3
+	       { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+  eval $as_lineno_stack; test "x$as_lineno_stack" = x && { as_lineno=; unset as_lineno;}
+
+} # ac_fn_c_find_intX_t
+
+# ac_fn_c_check_type LINENO TYPE VAR INCLUDES
+# -------------------------------------------
+# Tests whether TYPE exists after having included INCLUDES, setting cache
+# variable VAR accordingly.
+ac_fn_c_check_type ()
+{
+  as_lineno=${as_lineno-"$1"} as_lineno_stack=as_lineno_stack=$as_lineno_stack
+  { $as_echo "$as_me:${as_lineno-$LINENO}: checking for $2" >&5
+$as_echo_n "checking for $2... " >&6; }
+if { as_var=$3; eval "test \"\${$as_var+set}\" = set"; }; then :
+  $as_echo_n "(cached) " >&6
+else
+  eval "$3=no"
+  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+$4
+int
+main ()
+{
+if (sizeof ($2))
+	 return 0;
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_c_try_compile "$LINENO"; then :
+  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+$4
+int
+main ()
+{
+if (sizeof (($2)))
+	    return 0;
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_c_try_compile "$LINENO"; then :
+
+else
+  eval "$3=yes"
+fi
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+fi
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+fi
+eval ac_res=\$$3
+	       { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+  eval $as_lineno_stack; test "x$as_lineno_stack" = x && { as_lineno=; unset as_lineno;}
+
+} # ac_fn_c_check_type
+
+# ac_fn_c_find_uintX_t LINENO BITS VAR
+# ------------------------------------
+# Finds an unsigned integer type with width BITS, setting cache variable VAR
+# accordingly.
+ac_fn_c_find_uintX_t ()
+{
+  as_lineno=${as_lineno-"$1"} as_lineno_stack=as_lineno_stack=$as_lineno_stack
+  { $as_echo "$as_me:${as_lineno-$LINENO}: checking for uint$2_t" >&5
+$as_echo_n "checking for uint$2_t... " >&6; }
+if { as_var=$3; eval "test \"\${$as_var+set}\" = set"; }; then :
+  $as_echo_n "(cached) " >&6
+else
+  eval "$3=no"
+     for ac_type in uint$2_t 'unsigned int' 'unsigned long int' \
+	 'unsigned long long int' 'unsigned short int' 'unsigned char'; do
+       cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+$ac_includes_default
+int
+main ()
+{
+static int test_array [1 - 2 * !(($ac_type) -1 >> ($2 - 1) == 1)];
+test_array [0] = 0
+
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_c_try_compile "$LINENO"; then :
+  case $ac_type in #(
+  uint$2_t) :
+    eval "$3=yes" ;; #(
+  *) :
+    eval "$3=\$ac_type" ;;
+esac
+fi
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+       eval as_val=\$$3
+   if test "x$as_val" = x""no; then :
+
+else
+  break
+fi
+     done
+fi
+eval ac_res=\$$3
+	       { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+  eval $as_lineno_stack; test "x$as_lineno_stack" = x && { as_lineno=; unset as_lineno;}
+
+} # ac_fn_c_find_uintX_t
+
+# ac_fn_cxx_try_cpp LINENO
+# ------------------------
+# Try to preprocess conftest.$ac_ext, and return whether this succeeded.
+ac_fn_cxx_try_cpp ()
+{
+  as_lineno=${as_lineno-"$1"} as_lineno_stack=as_lineno_stack=$as_lineno_stack
+  if { { ac_try="$ac_cpp conftest.$ac_ext"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:${as_lineno-$LINENO}: $ac_try_echo\""
+$as_echo "$ac_try_echo"; } >&5
+  (eval "$ac_cpp conftest.$ac_ext") 2>conftest.err
+  ac_status=$?
+  if test -s conftest.err; then
+    grep -v '^ *+' conftest.err >conftest.er1
+    cat conftest.er1 >&5
+    mv -f conftest.er1 conftest.err
+  fi
+  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
+  test $ac_status = 0; } >/dev/null && {
+	 test -z "$ac_cxx_preproc_warn_flag$ac_cxx_werror_flag" ||
+	 test ! -s conftest.err
+       }; then :
+  ac_retval=0
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+    ac_retval=1
+fi
+  eval $as_lineno_stack; test "x$as_lineno_stack" = x && { as_lineno=; unset as_lineno;}
+  return $ac_retval
+
+} # ac_fn_cxx_try_cpp
+
+# ac_fn_cxx_try_link LINENO
+# -------------------------
+# Try to link conftest.$ac_ext, and return whether this succeeded.
+ac_fn_cxx_try_link ()
+{
+  as_lineno=${as_lineno-"$1"} as_lineno_stack=as_lineno_stack=$as_lineno_stack
+  rm -f conftest.$ac_objext conftest$ac_exeext
+  if { { ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:${as_lineno-$LINENO}: $ac_try_echo\""
+$as_echo "$ac_try_echo"; } >&5
+  (eval "$ac_link") 2>conftest.err
+  ac_status=$?
+  if test -s conftest.err; then
+    grep -v '^ *+' conftest.err >conftest.er1
+    cat conftest.er1 >&5
+    mv -f conftest.er1 conftest.err
+  fi
+  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
+  test $ac_status = 0; } && {
+	 test -z "$ac_cxx_werror_flag" ||
+	 test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+	 test "$cross_compiling" = yes ||
+	 $as_test_x conftest$ac_exeext
+       }; then :
+  ac_retval=0
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+	ac_retval=1
+fi
+  # Delete the IPA/IPO (Inter Procedural Analysis/Optimization) information
+  # created by the PGI compiler (conftest_ipa8_conftest.oo), as it would
+  # interfere with the next link command; also delete a directory that is
+  # left behind by Apple's compiler.  We do this before executing the actions.
+  rm -rf conftest.dSYM conftest_ipa8_conftest.oo
+  eval $as_lineno_stack; test "x$as_lineno_stack" = x && { as_lineno=; unset as_lineno;}
+  return $ac_retval
+
+} # ac_fn_cxx_try_link
+cat >config.log <<_ACEOF
+This file contains any messages produced by compilers while
+running configure, to aid debugging if configure makes a mistake.
+
+It was created by adms $as_me 2.3.1, which was
+generated by GNU Autoconf 2.64.  Invocation command line was
+
+  $ $0 $@
+
+_ACEOF
+exec 5>>config.log
+{
+cat <<_ASUNAME
+## --------- ##
+## Platform. ##
+## --------- ##
+
+hostname = `(hostname || uname -n) 2>/dev/null | sed 1q`
+uname -m = `(uname -m) 2>/dev/null || echo unknown`
+uname -r = `(uname -r) 2>/dev/null || echo unknown`
+uname -s = `(uname -s) 2>/dev/null || echo unknown`
+uname -v = `(uname -v) 2>/dev/null || echo unknown`
+
+/usr/bin/uname -p = `(/usr/bin/uname -p) 2>/dev/null || echo unknown`
+/bin/uname -X     = `(/bin/uname -X) 2>/dev/null     || echo unknown`
+
+/bin/arch              = `(/bin/arch) 2>/dev/null              || echo unknown`
+/usr/bin/arch -k       = `(/usr/bin/arch -k) 2>/dev/null       || echo unknown`
+/usr/convex/getsysinfo = `(/usr/convex/getsysinfo) 2>/dev/null || echo unknown`
+/usr/bin/hostinfo      = `(/usr/bin/hostinfo) 2>/dev/null      || echo unknown`
+/bin/machine           = `(/bin/machine) 2>/dev/null           || echo unknown`
+/usr/bin/oslevel       = `(/usr/bin/oslevel) 2>/dev/null       || echo unknown`
+/bin/universe          = `(/bin/universe) 2>/dev/null          || echo unknown`
+
+_ASUNAME
+
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    $as_echo "PATH: $as_dir"
+  done
+IFS=$as_save_IFS
+
+} >&5
+
+cat >&5 <<_ACEOF
+
+
+## ----------- ##
+## Core tests. ##
+## ----------- ##
+
+_ACEOF
+
+
+# Keep a trace of the command line.
+# Strip out --no-create and --no-recursion so they do not pile up.
+# Strip out --silent because we don't want to record it for future runs.
+# Also quote any args containing shell meta-characters.
+# Make two passes to allow for proper duplicate-argument suppression.
+ac_configure_args=
+ac_configure_args0=
+ac_configure_args1=
+ac_must_keep_next=false
+for ac_pass in 1 2
+do
+  for ac_arg
+  do
+    case $ac_arg in
+    -no-create | --no-c* | -n | -no-recursion | --no-r*) continue ;;
+    -q | -quiet | --quiet | --quie | --qui | --qu | --q \
+    | -silent | --silent | --silen | --sile | --sil)
+      continue ;;
+    *\'*)
+      ac_arg=`$as_echo "$ac_arg" | sed "s/'/'\\\\\\\\''/g"` ;;
+    esac
+    case $ac_pass in
+    1) as_fn_append ac_configure_args0 " '$ac_arg'" ;;
+    2)
+      as_fn_append ac_configure_args1 " '$ac_arg'"
+      if test $ac_must_keep_next = true; then
+	ac_must_keep_next=false # Got value, back to normal.
+      else
+	case $ac_arg in
+	  *=* | --config-cache | -C | -disable-* | --disable-* \
+	  | -enable-* | --enable-* | -gas | --g* | -nfp | --nf* \
+	  | -q | -quiet | --q* | -silent | --sil* | -v | -verb* \
+	  | -with-* | --with-* | -without-* | --without-* | --x)
+	    case "$ac_configure_args0 " in
+	      "$ac_configure_args1"*" '$ac_arg' "* ) continue ;;
+	    esac
+	    ;;
+	  -* ) ac_must_keep_next=true ;;
+	esac
+      fi
+      as_fn_append ac_configure_args " '$ac_arg'"
+      ;;
+    esac
+  done
+done
+{ ac_configure_args0=; unset ac_configure_args0;}
+{ ac_configure_args1=; unset ac_configure_args1;}
+
+# When interrupted or exit'd, cleanup temporary files, and complete
+# config.log.  We remove comments because anyway the quotes in there
+# would cause problems or look ugly.
+# WARNING: Use '\'' to represent an apostrophe within the trap.
+# WARNING: Do not start the trap code with a newline, due to a FreeBSD 4.0 bug.
+trap 'exit_status=$?
+  # Save into config.log some information that might help in debugging.
+  {
+    echo
+
+    cat <<\_ASBOX
+## ---------------- ##
+## Cache variables. ##
+## ---------------- ##
+_ASBOX
+    echo
+    # The following way of writing the cache mishandles newlines in values,
+(
+  for ac_var in `(set) 2>&1 | sed -n '\''s/^\([a-zA-Z_][a-zA-Z0-9_]*\)=.*/\1/p'\''`; do
+    eval ac_val=\$$ac_var
+    case $ac_val in #(
+    *${as_nl}*)
+      case $ac_var in #(
+      *_cv_*) { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: cache variable $ac_var contains a newline" >&5
+$as_echo "$as_me: WARNING: cache variable $ac_var contains a newline" >&2;} ;;
+      esac
+      case $ac_var in #(
+      _ | IFS | as_nl) ;; #(
+      BASH_ARGV | BASH_SOURCE) eval $ac_var= ;; #(
+      *) { eval $ac_var=; unset $ac_var;} ;;
+      esac ;;
+    esac
+  done
+  (set) 2>&1 |
+    case $as_nl`(ac_space='\'' '\''; set) 2>&1` in #(
+    *${as_nl}ac_space=\ *)
+      sed -n \
+	"s/'\''/'\''\\\\'\'''\''/g;
+	  s/^\\([_$as_cr_alnum]*_cv_[_$as_cr_alnum]*\\)=\\(.*\\)/\\1='\''\\2'\''/p"
+      ;; #(
+    *)
+      sed -n "/^[_$as_cr_alnum]*_cv_[_$as_cr_alnum]*=/p"
+      ;;
+    esac |
+    sort
+)
+    echo
+
+    cat <<\_ASBOX
+## ----------------- ##
+## Output variables. ##
+## ----------------- ##
+_ASBOX
+    echo
+    for ac_var in $ac_subst_vars
+    do
+      eval ac_val=\$$ac_var
+      case $ac_val in
+      *\'\''*) ac_val=`$as_echo "$ac_val" | sed "s/'\''/'\''\\\\\\\\'\'''\''/g"`;;
+      esac
+      $as_echo "$ac_var='\''$ac_val'\''"
+    done | sort
+    echo
+
+    if test -n "$ac_subst_files"; then
+      cat <<\_ASBOX
+## ------------------- ##
+## File substitutions. ##
+## ------------------- ##
+_ASBOX
+      echo
+      for ac_var in $ac_subst_files
+      do
+	eval ac_val=\$$ac_var
+	case $ac_val in
+	*\'\''*) ac_val=`$as_echo "$ac_val" | sed "s/'\''/'\''\\\\\\\\'\'''\''/g"`;;
+	esac
+	$as_echo "$ac_var='\''$ac_val'\''"
+      done | sort
+      echo
+    fi
+
+    if test -s confdefs.h; then
+      cat <<\_ASBOX
+## ----------- ##
+## confdefs.h. ##
+## ----------- ##
+_ASBOX
+      echo
+      cat confdefs.h
+      echo
+    fi
+    test "$ac_signal" != 0 &&
+      $as_echo "$as_me: caught signal $ac_signal"
+    $as_echo "$as_me: exit $exit_status"
+  } >&5
+  rm -f core *.core core.conftest.* &&
+    rm -f -r conftest* confdefs* conf$$* $ac_clean_files &&
+    exit $exit_status
+' 0
+for ac_signal in 1 2 13 15; do
+  trap 'ac_signal='$ac_signal'; as_fn_exit 1' $ac_signal
+done
+ac_signal=0
+
+# confdefs.h avoids OS command line length limits that DEFS can exceed.
+rm -f -r conftest* confdefs.h
+
+$as_echo "/* confdefs.h */" > confdefs.h
+
+# Predefined preprocessor variables.
+
+cat >>confdefs.h <<_ACEOF
+#define PACKAGE_NAME "$PACKAGE_NAME"
+_ACEOF
+
+cat >>confdefs.h <<_ACEOF
+#define PACKAGE_TARNAME "$PACKAGE_TARNAME"
+_ACEOF
+
+cat >>confdefs.h <<_ACEOF
+#define PACKAGE_VERSION "$PACKAGE_VERSION"
+_ACEOF
+
+cat >>confdefs.h <<_ACEOF
+#define PACKAGE_STRING "$PACKAGE_STRING"
+_ACEOF
+
+cat >>confdefs.h <<_ACEOF
+#define PACKAGE_BUGREPORT "$PACKAGE_BUGREPORT"
+_ACEOF
+
+cat >>confdefs.h <<_ACEOF
+#define PACKAGE_URL "$PACKAGE_URL"
+_ACEOF
+
+
+# Let the site file select an alternate cache file if it wants to.
+# Prefer an explicitly selected file to automatically selected ones.
+ac_site_file1=NONE
+ac_site_file2=NONE
+if test -n "$CONFIG_SITE"; then
+  ac_site_file1=$CONFIG_SITE
+elif test "x$prefix" != xNONE; then
+  ac_site_file1=$prefix/share/config.site
+  ac_site_file2=$prefix/etc/config.site
+else
+  ac_site_file1=$ac_default_prefix/share/config.site
+  ac_site_file2=$ac_default_prefix/etc/config.site
+fi
+for ac_site_file in "$ac_site_file1" "$ac_site_file2"
+do
+  test "x$ac_site_file" = xNONE && continue
+  if test -r "$ac_site_file"; then
+    { $as_echo "$as_me:${as_lineno-$LINENO}: loading site script $ac_site_file" >&5
+$as_echo "$as_me: loading site script $ac_site_file" >&6;}
+    sed 's/^/| /' "$ac_site_file" >&5
+    . "$ac_site_file"
+  fi
+done
+
+if test -r "$cache_file"; then
+  # Some versions of bash will fail to source /dev/null (special
+  # files actually), so we avoid doing that.
+  if test -f "$cache_file"; then
+    { $as_echo "$as_me:${as_lineno-$LINENO}: loading cache $cache_file" >&5
+$as_echo "$as_me: loading cache $cache_file" >&6;}
+    case $cache_file in
+      [\\/]* | ?:[\\/]* ) . "$cache_file";;
+      *)                      . "./$cache_file";;
+    esac
+  fi
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: creating cache $cache_file" >&5
+$as_echo "$as_me: creating cache $cache_file" >&6;}
+  >$cache_file
+fi
+
+# Check that the precious variables saved in the cache have kept the same
+# value.
+ac_cache_corrupted=false
+for ac_var in $ac_precious_vars; do
+  eval ac_old_set=\$ac_cv_env_${ac_var}_set
+  eval ac_new_set=\$ac_env_${ac_var}_set
+  eval ac_old_val=\$ac_cv_env_${ac_var}_value
+  eval ac_new_val=\$ac_env_${ac_var}_value
+  case $ac_old_set,$ac_new_set in
+    set,)
+      { $as_echo "$as_me:${as_lineno-$LINENO}: error: \`$ac_var' was set to \`$ac_old_val' in the previous run" >&5
+$as_echo "$as_me: error: \`$ac_var' was set to \`$ac_old_val' in the previous run" >&2;}
+      ac_cache_corrupted=: ;;
+    ,set)
+      { $as_echo "$as_me:${as_lineno-$LINENO}: error: \`$ac_var' was not set in the previous run" >&5
+$as_echo "$as_me: error: \`$ac_var' was not set in the previous run" >&2;}
+      ac_cache_corrupted=: ;;
+    ,);;
+    *)
+      if test "x$ac_old_val" != "x$ac_new_val"; then
+	# differences in whitespace do not lead to failure.
+	ac_old_val_w=`echo x $ac_old_val`
+	ac_new_val_w=`echo x $ac_new_val`
+	if test "$ac_old_val_w" != "$ac_new_val_w"; then
+	  { $as_echo "$as_me:${as_lineno-$LINENO}: error: \`$ac_var' has changed since the previous run:" >&5
+$as_echo "$as_me: error: \`$ac_var' has changed since the previous run:" >&2;}
+	  ac_cache_corrupted=:
+	else
+	  { $as_echo "$as_me:${as_lineno-$LINENO}: warning: ignoring whitespace changes in \`$ac_var' since the previous run:" >&5
+$as_echo "$as_me: warning: ignoring whitespace changes in \`$ac_var' since the previous run:" >&2;}
+	  eval $ac_var=\$ac_old_val
+	fi
+	{ $as_echo "$as_me:${as_lineno-$LINENO}:   former value:  \`$ac_old_val'" >&5
+$as_echo "$as_me:   former value:  \`$ac_old_val'" >&2;}
+	{ $as_echo "$as_me:${as_lineno-$LINENO}:   current value: \`$ac_new_val'" >&5
+$as_echo "$as_me:   current value: \`$ac_new_val'" >&2;}
+      fi;;
+  esac
+  # Pass precious variables to config.status.
+  if test "$ac_new_set" = set; then
+    case $ac_new_val in
+    *\'*) ac_arg=$ac_var=`$as_echo "$ac_new_val" | sed "s/'/'\\\\\\\\''/g"` ;;
+    *) ac_arg=$ac_var=$ac_new_val ;;
+    esac
+    case " $ac_configure_args " in
+      *" '$ac_arg' "*) ;; # Avoid dups.  Use of quotes ensures accuracy.
+      *) as_fn_append ac_configure_args " '$ac_arg'" ;;
+    esac
+  fi
+done
+if $ac_cache_corrupted; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: error: in \`$ac_pwd':" >&5
+$as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
+  { $as_echo "$as_me:${as_lineno-$LINENO}: error: changes in the environment can compromise the build" >&5
+$as_echo "$as_me: error: changes in the environment can compromise the build" >&2;}
+  as_fn_error "run \`make distclean' and/or \`rm $cache_file' and start over" "$LINENO" 5
+fi
+## -------------------- ##
+## Main body of script. ##
+## -------------------- ##
+
+ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+
+ac_config_headers="$ac_config_headers config.h"
+
+
+# specific configuration.
+ac_aux_dir=
+for ac_dir in auxconf "$srcdir"/auxconf; do
+  for ac_t in install-sh install.sh shtool; do
+    if test -f "$ac_dir/$ac_t"; then
+      ac_aux_dir=$ac_dir
+      ac_install_sh="$ac_aux_dir/$ac_t -c"
+      break 2
+    fi
+  done
+done
+if test -z "$ac_aux_dir"; then
+  as_fn_error "cannot find install-sh, install.sh, or shtool in auxconf \"$srcdir\"/auxconf" "$LINENO" 5
+fi
+
+# These three variables are undocumented and unsupported,
+# and are intended to be withdrawn in a future Autoconf release.
+# They can cause serious problems if a builder's source tree is in a directory
+# whose full name contains unusual characters.
+ac_config_guess="$SHELL $ac_aux_dir/config.guess"  # Please don't use this var.
+ac_config_sub="$SHELL $ac_aux_dir/config.sub"  # Please don't use this var.
+ac_configure="$SHELL $ac_aux_dir/configure"  # Please don't use this var.
+
+
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking whether to enable maintainer-specific portions of Makefiles" >&5
+$as_echo_n "checking whether to enable maintainer-specific portions of Makefiles... " >&6; }
+    # Check whether --enable-maintainer-mode was given.
+if test "${enable_maintainer_mode+set}" = set; then :
+  enableval=$enable_maintainer_mode; USE_MAINTAINER_MODE=$enableval
+else
+  USE_MAINTAINER_MODE=no
+fi
+
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $USE_MAINTAINER_MODE" >&5
+$as_echo "$USE_MAINTAINER_MODE" >&6; }
+   if test $USE_MAINTAINER_MODE = yes; then
+  MAINTAINER_MODE_TRUE=
+  MAINTAINER_MODE_FALSE='#'
+else
+  MAINTAINER_MODE_TRUE='#'
+  MAINTAINER_MODE_FALSE=
+fi
+
+  MAINT=$MAINTAINER_MODE_TRUE
+
+
+
+
+# Checks for programs.
+ac_ext=cpp
+ac_cpp='$CXXCPP $CPPFLAGS'
+ac_compile='$CXX -c $CXXFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CXX -o conftest$ac_exeext $CXXFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_cxx_compiler_gnu
+if test -z "$CXX"; then
+  if test -n "$CCC"; then
+    CXX=$CCC
+  else
+    if test -n "$ac_tool_prefix"; then
+  for ac_prog in g++ c++ gpp aCC CC cxx cc++ cl.exe FCC KCC RCC xlC_r xlC
+  do
+    # Extract the first word of "$ac_tool_prefix$ac_prog", so it can be a program name with args.
+set dummy $ac_tool_prefix$ac_prog; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_CXX+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$CXX"; then
+  ac_cv_prog_CXX="$CXX" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_CXX="$ac_tool_prefix$ac_prog"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+CXX=$ac_cv_prog_CXX
+if test -n "$CXX"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $CXX" >&5
+$as_echo "$CXX" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+    test -n "$CXX" && break
+  done
+fi
+if test -z "$CXX"; then
+  ac_ct_CXX=$CXX
+  for ac_prog in g++ c++ gpp aCC CC cxx cc++ cl.exe FCC KCC RCC xlC_r xlC
+do
+  # Extract the first word of "$ac_prog", so it can be a program name with args.
+set dummy $ac_prog; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_ac_ct_CXX+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_CXX"; then
+  ac_cv_prog_ac_ct_CXX="$ac_ct_CXX" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_ac_ct_CXX="$ac_prog"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_CXX=$ac_cv_prog_ac_ct_CXX
+if test -n "$ac_ct_CXX"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_ct_CXX" >&5
+$as_echo "$ac_ct_CXX" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+  test -n "$ac_ct_CXX" && break
+done
+
+  if test "x$ac_ct_CXX" = x; then
+    CXX="g++"
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    CXX=$ac_ct_CXX
+  fi
+fi
+
+  fi
+fi
+# Provide some information about the compiler.
+$as_echo "$as_me:${as_lineno-$LINENO}: checking for C++ compiler version" >&5
+set X $ac_compile
+ac_compiler=$2
+for ac_option in --version -v -V -qversion; do
+  { { ac_try="$ac_compiler $ac_option >&5"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:${as_lineno-$LINENO}: $ac_try_echo\""
+$as_echo "$ac_try_echo"; } >&5
+  (eval "$ac_compiler $ac_option >&5") 2>conftest.err
+  ac_status=$?
+  if test -s conftest.err; then
+    sed '10a\
+... rest of stderr output deleted ...
+         10q' conftest.err >conftest.er1
+    cat conftest.er1 >&5
+    rm -f conftest.er1 conftest.err
+  fi
+  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
+  test $ac_status = 0; }
+done
+
+cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+#include <stdio.h>
+int
+main ()
+{
+FILE *f = fopen ("conftest.out", "w");
+ return ferror (f) || fclose (f) != 0;
+
+  ;
+  return 0;
+}
+_ACEOF
+ac_clean_files_save=$ac_clean_files
+ac_clean_files="$ac_clean_files a.out a.out.dSYM a.exe b.out conftest.out"
+# Try to create an executable without -o first, disregard a.out.
+# It will help us diagnose broken compilers, and finding out an intuition
+# of exeext.
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for C++ compiler default output file name" >&5
+$as_echo_n "checking for C++ compiler default output file name... " >&6; }
+ac_link_default=`$as_echo "$ac_link" | sed 's/ -o *conftest[^ ]*//'`
+
+# The possible output files:
+ac_files="a.out conftest.exe conftest a.exe a_out.exe b.out conftest.*"
+
+ac_rmfiles=
+for ac_file in $ac_files
+do
+  case $ac_file in
+    *.$ac_ext | *.xcoff | *.tds | *.d | *.pdb | *.xSYM | *.bb | *.bbg | *.map | *.inf | *.dSYM | *.o | *.obj ) ;;
+    * ) ac_rmfiles="$ac_rmfiles $ac_file";;
+  esac
+done
+rm -f $ac_rmfiles
+
+if { { ac_try="$ac_link_default"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:${as_lineno-$LINENO}: $ac_try_echo\""
+$as_echo "$ac_try_echo"; } >&5
+  (eval "$ac_link_default") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
+  test $ac_status = 0; }; then :
+  # Autoconf-2.13 could set the ac_cv_exeext variable to `no'.
+# So ignore a value of `no', otherwise this would lead to `EXEEXT = no'
+# in a Makefile.  We should not override ac_cv_exeext if it was cached,
+# so that the user can short-circuit this test for compilers unknown to
+# Autoconf.
+for ac_file in $ac_files ''
+do
+  test -f "$ac_file" || continue
+  case $ac_file in
+    *.$ac_ext | *.xcoff | *.tds | *.d | *.pdb | *.xSYM | *.bb | *.bbg | *.map | *.inf | *.dSYM | *.o | *.obj )
+	;;
+    [ab].out )
+	# We found the default executable, but exeext='' is most
+	# certainly right.
+	break;;
+    *.* )
+	if test "${ac_cv_exeext+set}" = set && test "$ac_cv_exeext" != no;
+	then :; else
+	   ac_cv_exeext=`expr "$ac_file" : '[^.]*\(\..*\)'`
+	fi
+	# We set ac_cv_exeext here because the later test for it is not
+	# safe: cross compilers may not add the suffix if given an `-o'
+	# argument, so we may need to know it at that point already.
+	# Even if this section looks crufty: it has the advantage of
+	# actually working.
+	break;;
+    * )
+	break;;
+  esac
+done
+test "$ac_cv_exeext" = no && ac_cv_exeext=
+
+else
+  ac_file=''
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_file" >&5
+$as_echo "$ac_file" >&6; }
+if test -z "$ac_file"; then :
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+{ { $as_echo "$as_me:${as_lineno-$LINENO}: error: in \`$ac_pwd':" >&5
+$as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
+{ as_fn_set_status 77
+as_fn_error "C++ compiler cannot create executables
+See \`config.log' for more details." "$LINENO" 5; }; }
+fi
+ac_exeext=$ac_cv_exeext
+
+# Check that the compiler produces executables we can run.  If not, either
+# the compiler is broken, or we cross compile.
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking whether the C++ compiler works" >&5
+$as_echo_n "checking whether the C++ compiler works... " >&6; }
+# If not cross compiling, check that we can run a simple program.
+if test "$cross_compiling" != yes; then
+  if { ac_try='./$ac_file'
+  { { case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:${as_lineno-$LINENO}: $ac_try_echo\""
+$as_echo "$ac_try_echo"; } >&5
+  (eval "$ac_try") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
+  test $ac_status = 0; }; }; then
+    cross_compiling=no
+  else
+    if test "$cross_compiling" = maybe; then
+	cross_compiling=yes
+    else
+	{ { $as_echo "$as_me:${as_lineno-$LINENO}: error: in \`$ac_pwd':" >&5
+$as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
+as_fn_error "cannot run C++ compiled programs.
+If you meant to cross compile, use \`--host'.
+See \`config.log' for more details." "$LINENO" 5; }
+    fi
+  fi
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
+$as_echo "yes" >&6; }
+
+rm -f -r a.out a.out.dSYM a.exe conftest$ac_cv_exeext b.out conftest.out
+ac_clean_files=$ac_clean_files_save
+# Check that the compiler produces executables we can run.  If not, either
+# the compiler is broken, or we cross compile.
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking whether we are cross compiling" >&5
+$as_echo_n "checking whether we are cross compiling... " >&6; }
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $cross_compiling" >&5
+$as_echo "$cross_compiling" >&6; }
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for suffix of executables" >&5
+$as_echo_n "checking for suffix of executables... " >&6; }
+if { { ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:${as_lineno-$LINENO}: $ac_try_echo\""
+$as_echo "$ac_try_echo"; } >&5
+  (eval "$ac_link") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
+  test $ac_status = 0; }; then :
+  # If both `conftest.exe' and `conftest' are `present' (well, observable)
+# catch `conftest.exe'.  For instance with Cygwin, `ls conftest' will
+# work properly (i.e., refer to `conftest.exe'), while it won't with
+# `rm'.
+for ac_file in conftest.exe conftest conftest.*; do
+  test -f "$ac_file" || continue
+  case $ac_file in
+    *.$ac_ext | *.xcoff | *.tds | *.d | *.pdb | *.xSYM | *.bb | *.bbg | *.map | *.inf | *.dSYM | *.o | *.obj ) ;;
+    *.* ) ac_cv_exeext=`expr "$ac_file" : '[^.]*\(\..*\)'`
+	  break;;
+    * ) break;;
+  esac
+done
+else
+  { { $as_echo "$as_me:${as_lineno-$LINENO}: error: in \`$ac_pwd':" >&5
+$as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
+as_fn_error "cannot compute suffix of executables: cannot compile and link
+See \`config.log' for more details." "$LINENO" 5; }
+fi
+rm -f conftest$ac_cv_exeext
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_exeext" >&5
+$as_echo "$ac_cv_exeext" >&6; }
+
+rm -f conftest.$ac_ext
+EXEEXT=$ac_cv_exeext
+ac_exeext=$EXEEXT
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for suffix of object files" >&5
+$as_echo_n "checking for suffix of object files... " >&6; }
+if test "${ac_cv_objext+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.o conftest.obj
+if { { ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:${as_lineno-$LINENO}: $ac_try_echo\""
+$as_echo "$ac_try_echo"; } >&5
+  (eval "$ac_compile") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
+  test $ac_status = 0; }; then :
+  for ac_file in conftest.o conftest.obj conftest.*; do
+  test -f "$ac_file" || continue;
+  case $ac_file in
+    *.$ac_ext | *.xcoff | *.tds | *.d | *.pdb | *.xSYM | *.bb | *.bbg | *.map | *.inf | *.dSYM ) ;;
+    *) ac_cv_objext=`expr "$ac_file" : '.*\.\(.*\)'`
+       break;;
+  esac
+done
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+{ { $as_echo "$as_me:${as_lineno-$LINENO}: error: in \`$ac_pwd':" >&5
+$as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
+as_fn_error "cannot compute suffix of object files: cannot compile
+See \`config.log' for more details." "$LINENO" 5; }
+fi
+rm -f conftest.$ac_cv_objext conftest.$ac_ext
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_objext" >&5
+$as_echo "$ac_cv_objext" >&6; }
+OBJEXT=$ac_cv_objext
+ac_objext=$OBJEXT
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking whether we are using the GNU C++ compiler" >&5
+$as_echo_n "checking whether we are using the GNU C++ compiler... " >&6; }
+if test "${ac_cv_cxx_compiler_gnu+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+
+int
+main ()
+{
+#ifndef __GNUC__
+       choke me
+#endif
+
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_cxx_try_compile "$LINENO"; then :
+  ac_compiler_gnu=yes
+else
+  ac_compiler_gnu=no
+fi
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+ac_cv_cxx_compiler_gnu=$ac_compiler_gnu
+
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_cxx_compiler_gnu" >&5
+$as_echo "$ac_cv_cxx_compiler_gnu" >&6; }
+if test $ac_compiler_gnu = yes; then
+  GXX=yes
+else
+  GXX=
+fi
+ac_test_CXXFLAGS=${CXXFLAGS+set}
+ac_save_CXXFLAGS=$CXXFLAGS
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking whether $CXX accepts -g" >&5
+$as_echo_n "checking whether $CXX accepts -g... " >&6; }
+if test "${ac_cv_prog_cxx_g+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  ac_save_cxx_werror_flag=$ac_cxx_werror_flag
+   ac_cxx_werror_flag=yes
+   ac_cv_prog_cxx_g=no
+   CXXFLAGS="-g"
+   cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_cxx_try_compile "$LINENO"; then :
+  ac_cv_prog_cxx_g=yes
+else
+  CXXFLAGS=""
+      cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_cxx_try_compile "$LINENO"; then :
+
+else
+  ac_cxx_werror_flag=$ac_save_cxx_werror_flag
+	 CXXFLAGS="-g"
+	 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_cxx_try_compile "$LINENO"; then :
+  ac_cv_prog_cxx_g=yes
+fi
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+fi
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+fi
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+   ac_cxx_werror_flag=$ac_save_cxx_werror_flag
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_prog_cxx_g" >&5
+$as_echo "$ac_cv_prog_cxx_g" >&6; }
+if test "$ac_test_CXXFLAGS" = set; then
+  CXXFLAGS=$ac_save_CXXFLAGS
+elif test $ac_cv_prog_cxx_g = yes; then
+  if test "$GXX" = yes; then
+    CXXFLAGS="-g -O2"
+  else
+    CXXFLAGS="-g"
+  fi
+else
+  if test "$GXX" = yes; then
+    CXXFLAGS="-O2"
+  else
+    CXXFLAGS=
+  fi
+fi
+ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+for ac_prog in gawk mawk nawk awk
+do
+  # Extract the first word of "$ac_prog", so it can be a program name with args.
+set dummy $ac_prog; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_AWK+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$AWK"; then
+  ac_cv_prog_AWK="$AWK" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_AWK="$ac_prog"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+AWK=$ac_cv_prog_AWK
+if test -n "$AWK"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $AWK" >&5
+$as_echo "$AWK" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+  test -n "$AWK" && break
+done
+
+for ac_prog in 'bison -y' byacc
+do
+  # Extract the first word of "$ac_prog", so it can be a program name with args.
+set dummy $ac_prog; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_YACC+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$YACC"; then
+  ac_cv_prog_YACC="$YACC" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_YACC="$ac_prog"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+YACC=$ac_cv_prog_YACC
+if test -n "$YACC"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $YACC" >&5
+$as_echo "$YACC" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+  test -n "$YACC" && break
+done
+test -n "$YACC" || YACC="yacc"
+
+ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+if test -n "$ac_tool_prefix"; then
+  # Extract the first word of "${ac_tool_prefix}gcc", so it can be a program name with args.
+set dummy ${ac_tool_prefix}gcc; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_CC+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$CC"; then
+  ac_cv_prog_CC="$CC" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_CC="${ac_tool_prefix}gcc"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+CC=$ac_cv_prog_CC
+if test -n "$CC"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $CC" >&5
+$as_echo "$CC" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+fi
+if test -z "$ac_cv_prog_CC"; then
+  ac_ct_CC=$CC
+  # Extract the first word of "gcc", so it can be a program name with args.
+set dummy gcc; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_ac_ct_CC+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_CC"; then
+  ac_cv_prog_ac_ct_CC="$ac_ct_CC" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_ac_ct_CC="gcc"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_CC=$ac_cv_prog_ac_ct_CC
+if test -n "$ac_ct_CC"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_ct_CC" >&5
+$as_echo "$ac_ct_CC" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+  if test "x$ac_ct_CC" = x; then
+    CC=""
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    CC=$ac_ct_CC
+  fi
+else
+  CC="$ac_cv_prog_CC"
+fi
+
+if test -z "$CC"; then
+          if test -n "$ac_tool_prefix"; then
+    # Extract the first word of "${ac_tool_prefix}cc", so it can be a program name with args.
+set dummy ${ac_tool_prefix}cc; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_CC+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$CC"; then
+  ac_cv_prog_CC="$CC" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_CC="${ac_tool_prefix}cc"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+CC=$ac_cv_prog_CC
+if test -n "$CC"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $CC" >&5
+$as_echo "$CC" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+  fi
+fi
+if test -z "$CC"; then
+  # Extract the first word of "cc", so it can be a program name with args.
+set dummy cc; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_CC+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$CC"; then
+  ac_cv_prog_CC="$CC" # Let the user override the test.
+else
+  ac_prog_rejected=no
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    if test "$as_dir/$ac_word$ac_exec_ext" = "/usr/ucb/cc"; then
+       ac_prog_rejected=yes
+       continue
+     fi
+    ac_cv_prog_CC="cc"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+if test $ac_prog_rejected = yes; then
+  # We found a bogon in the path, so make sure we never use it.
+  set dummy $ac_cv_prog_CC
+  shift
+  if test $# != 0; then
+    # We chose a different compiler from the bogus one.
+    # However, it has the same basename, so the bogon will be chosen
+    # first if we set CC to just the basename; use the full file name.
+    shift
+    ac_cv_prog_CC="$as_dir/$ac_word${1+' '}$@"
+  fi
+fi
+fi
+fi
+CC=$ac_cv_prog_CC
+if test -n "$CC"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $CC" >&5
+$as_echo "$CC" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+fi
+if test -z "$CC"; then
+  if test -n "$ac_tool_prefix"; then
+  for ac_prog in cl.exe
+  do
+    # Extract the first word of "$ac_tool_prefix$ac_prog", so it can be a program name with args.
+set dummy $ac_tool_prefix$ac_prog; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_CC+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$CC"; then
+  ac_cv_prog_CC="$CC" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_CC="$ac_tool_prefix$ac_prog"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+CC=$ac_cv_prog_CC
+if test -n "$CC"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $CC" >&5
+$as_echo "$CC" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+    test -n "$CC" && break
+  done
+fi
+if test -z "$CC"; then
+  ac_ct_CC=$CC
+  for ac_prog in cl.exe
+do
+  # Extract the first word of "$ac_prog", so it can be a program name with args.
+set dummy $ac_prog; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_ac_ct_CC+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_CC"; then
+  ac_cv_prog_ac_ct_CC="$ac_ct_CC" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_ac_ct_CC="$ac_prog"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_CC=$ac_cv_prog_ac_ct_CC
+if test -n "$ac_ct_CC"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_ct_CC" >&5
+$as_echo "$ac_ct_CC" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+  test -n "$ac_ct_CC" && break
+done
+
+  if test "x$ac_ct_CC" = x; then
+    CC=""
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    CC=$ac_ct_CC
+  fi
+fi
+
+fi
+
+
+test -z "$CC" && { { $as_echo "$as_me:${as_lineno-$LINENO}: error: in \`$ac_pwd':" >&5
+$as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
+as_fn_error "no acceptable C compiler found in \$PATH
+See \`config.log' for more details." "$LINENO" 5; }
+
+# Provide some information about the compiler.
+$as_echo "$as_me:${as_lineno-$LINENO}: checking for C compiler version" >&5
+set X $ac_compile
+ac_compiler=$2
+for ac_option in --version -v -V -qversion; do
+  { { ac_try="$ac_compiler $ac_option >&5"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:${as_lineno-$LINENO}: $ac_try_echo\""
+$as_echo "$ac_try_echo"; } >&5
+  (eval "$ac_compiler $ac_option >&5") 2>conftest.err
+  ac_status=$?
+  if test -s conftest.err; then
+    sed '10a\
+... rest of stderr output deleted ...
+         10q' conftest.err >conftest.er1
+    cat conftest.er1 >&5
+    rm -f conftest.er1 conftest.err
+  fi
+  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
+  test $ac_status = 0; }
+done
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking whether we are using the GNU C compiler" >&5
+$as_echo_n "checking whether we are using the GNU C compiler... " >&6; }
+if test "${ac_cv_c_compiler_gnu+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+
+int
+main ()
+{
+#ifndef __GNUC__
+       choke me
+#endif
+
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_c_try_compile "$LINENO"; then :
+  ac_compiler_gnu=yes
+else
+  ac_compiler_gnu=no
+fi
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+ac_cv_c_compiler_gnu=$ac_compiler_gnu
+
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_c_compiler_gnu" >&5
+$as_echo "$ac_cv_c_compiler_gnu" >&6; }
+if test $ac_compiler_gnu = yes; then
+  GCC=yes
+else
+  GCC=
+fi
+ac_test_CFLAGS=${CFLAGS+set}
+ac_save_CFLAGS=$CFLAGS
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking whether $CC accepts -g" >&5
+$as_echo_n "checking whether $CC accepts -g... " >&6; }
+if test "${ac_cv_prog_cc_g+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  ac_save_c_werror_flag=$ac_c_werror_flag
+   ac_c_werror_flag=yes
+   ac_cv_prog_cc_g=no
+   CFLAGS="-g"
+   cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_c_try_compile "$LINENO"; then :
+  ac_cv_prog_cc_g=yes
+else
+  CFLAGS=""
+      cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_c_try_compile "$LINENO"; then :
+
+else
+  ac_c_werror_flag=$ac_save_c_werror_flag
+	 CFLAGS="-g"
+	 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_c_try_compile "$LINENO"; then :
+  ac_cv_prog_cc_g=yes
+fi
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+fi
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+fi
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+   ac_c_werror_flag=$ac_save_c_werror_flag
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_prog_cc_g" >&5
+$as_echo "$ac_cv_prog_cc_g" >&6; }
+if test "$ac_test_CFLAGS" = set; then
+  CFLAGS=$ac_save_CFLAGS
+elif test $ac_cv_prog_cc_g = yes; then
+  if test "$GCC" = yes; then
+    CFLAGS="-g -O2"
+  else
+    CFLAGS="-g"
+  fi
+else
+  if test "$GCC" = yes; then
+    CFLAGS="-O2"
+  else
+    CFLAGS=
+  fi
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $CC option to accept ISO C89" >&5
+$as_echo_n "checking for $CC option to accept ISO C89... " >&6; }
+if test "${ac_cv_prog_cc_c89+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  ac_cv_prog_cc_c89=no
+ac_save_CC=$CC
+cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+#include <stdarg.h>
+#include <stdio.h>
+#include <sys/types.h>
+#include <sys/stat.h>
+/* Most of the following tests are stolen from RCS 5.7's src/conf.sh.  */
+struct buf { int x; };
+FILE * (*rcsopen) (struct buf *, struct stat *, int);
+static char *e (p, i)
+     char **p;
+     int i;
+{
+  return p[i];
+}
+static char *f (char * (*g) (char **, int), char **p, ...)
+{
+  char *s;
+  va_list v;
+  va_start (v,p);
+  s = g (p, va_arg (v,int));
+  va_end (v);
+  return s;
+}
+
+/* OSF 4.0 Compaq cc is some sort of almost-ANSI by default.  It has
+   function prototypes and stuff, but not '\xHH' hex character constants.
+   These don't provoke an error unfortunately, instead are silently treated
+   as 'x'.  The following induces an error, until -std is added to get
+   proper ANSI mode.  Curiously '\x00'!='x' always comes out true, for an
+   array size at least.  It's necessary to write '\x00'==0 to get something
+   that's true only with -std.  */
+int osf4_cc_array ['\x00' == 0 ? 1 : -1];
+
+/* IBM C 6 for AIX is almost-ANSI by default, but it replaces macro parameters
+   inside strings and character constants.  */
+#define FOO(x) 'x'
+int xlc6_cc_array[FOO(a) == 'x' ? 1 : -1];
+
+int test (int i, double x);
+struct s1 {int (*f) (int a);};
+struct s2 {int (*f) (double a);};
+int pairnames (int, char **, FILE *(*)(struct buf *, struct stat *, int), int, int);
+int argc;
+char **argv;
+int
+main ()
+{
+return f (e, argv, 0) != argv[0]  ||  f (e, argv, 1) != argv[1];
+  ;
+  return 0;
+}
+_ACEOF
+for ac_arg in '' -qlanglvl=extc89 -qlanglvl=ansi -std \
+	-Ae "-Aa -D_HPUX_SOURCE" "-Xc -D__EXTENSIONS__"
+do
+  CC="$ac_save_CC $ac_arg"
+  if ac_fn_c_try_compile "$LINENO"; then :
+  ac_cv_prog_cc_c89=$ac_arg
+fi
+rm -f core conftest.err conftest.$ac_objext
+  test "x$ac_cv_prog_cc_c89" != "xno" && break
+done
+rm -f conftest.$ac_ext
+CC=$ac_save_CC
+
+fi
+# AC_CACHE_VAL
+case "x$ac_cv_prog_cc_c89" in
+  x)
+    { $as_echo "$as_me:${as_lineno-$LINENO}: result: none needed" >&5
+$as_echo "none needed" >&6; } ;;
+  xno)
+    { $as_echo "$as_me:${as_lineno-$LINENO}: result: unsupported" >&5
+$as_echo "unsupported" >&6; } ;;
+  *)
+    CC="$CC $ac_cv_prog_cc_c89"
+    { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_prog_cc_c89" >&5
+$as_echo "$ac_cv_prog_cc_c89" >&6; } ;;
+esac
+if test "x$ac_cv_prog_cc_c89" != xno; then :
+
+fi
+
+ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking how to run the C preprocessor" >&5
+$as_echo_n "checking how to run the C preprocessor... " >&6; }
+# On Suns, sometimes $CPP names a directory.
+if test -n "$CPP" && test -d "$CPP"; then
+  CPP=
+fi
+if test -z "$CPP"; then
+  if test "${ac_cv_prog_CPP+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+      # Double quotes because CPP needs to be expanded
+    for CPP in "$CC -E" "$CC -E -traditional-cpp" "/lib/cpp"
+    do
+      ac_preproc_ok=false
+for ac_c_preproc_warn_flag in '' yes
+do
+  # Use a header file that comes with gcc, so configuring glibc
+  # with a fresh cross-compiler works.
+  # Prefer <limits.h> to <assert.h> if __STDC__ is defined, since
+  # <limits.h> exists even on freestanding compilers.
+  # On the NeXT, cc -E runs the code through the compiler's parser,
+  # not just through cpp. "Syntax error" is here to catch this case.
+  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+#ifdef __STDC__
+# include <limits.h>
+#else
+# include <assert.h>
+#endif
+		     Syntax error
+_ACEOF
+if ac_fn_c_try_cpp "$LINENO"; then :
+
+else
+  # Broken: fails on valid input.
+continue
+fi
+rm -f conftest.err conftest.$ac_ext
+
+  # OK, works on sane cases.  Now check whether nonexistent headers
+  # can be detected and how.
+  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+#include <ac_nonexistent.h>
+_ACEOF
+if ac_fn_c_try_cpp "$LINENO"; then :
+  # Broken: success on invalid input.
+continue
+else
+  # Passes both tests.
+ac_preproc_ok=:
+break
+fi
+rm -f conftest.err conftest.$ac_ext
+
+done
+# Because of `break', _AC_PREPROC_IFELSE's cleaning code was skipped.
+rm -f conftest.err conftest.$ac_ext
+if $ac_preproc_ok; then :
+  break
+fi
+
+    done
+    ac_cv_prog_CPP=$CPP
+
+fi
+  CPP=$ac_cv_prog_CPP
+else
+  ac_cv_prog_CPP=$CPP
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $CPP" >&5
+$as_echo "$CPP" >&6; }
+ac_preproc_ok=false
+for ac_c_preproc_warn_flag in '' yes
+do
+  # Use a header file that comes with gcc, so configuring glibc
+  # with a fresh cross-compiler works.
+  # Prefer <limits.h> to <assert.h> if __STDC__ is defined, since
+  # <limits.h> exists even on freestanding compilers.
+  # On the NeXT, cc -E runs the code through the compiler's parser,
+  # not just through cpp. "Syntax error" is here to catch this case.
+  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+#ifdef __STDC__
+# include <limits.h>
+#else
+# include <assert.h>
+#endif
+		     Syntax error
+_ACEOF
+if ac_fn_c_try_cpp "$LINENO"; then :
+
+else
+  # Broken: fails on valid input.
+continue
+fi
+rm -f conftest.err conftest.$ac_ext
+
+  # OK, works on sane cases.  Now check whether nonexistent headers
+  # can be detected and how.
+  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+#include <ac_nonexistent.h>
+_ACEOF
+if ac_fn_c_try_cpp "$LINENO"; then :
+  # Broken: success on invalid input.
+continue
+else
+  # Passes both tests.
+ac_preproc_ok=:
+break
+fi
+rm -f conftest.err conftest.$ac_ext
+
+done
+# Because of `break', _AC_PREPROC_IFELSE's cleaning code was skipped.
+rm -f conftest.err conftest.$ac_ext
+if $ac_preproc_ok; then :
+
+else
+  { { $as_echo "$as_me:${as_lineno-$LINENO}: error: in \`$ac_pwd':" >&5
+$as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
+as_fn_error "C preprocessor \"$CPP\" fails sanity check
+See \`config.log' for more details." "$LINENO" 5; }
+fi
+
+ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+
+for ac_prog in flex lex
+do
+  # Extract the first word of "$ac_prog", so it can be a program name with args.
+set dummy $ac_prog; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_LEX+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$LEX"; then
+  ac_cv_prog_LEX="$LEX" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_LEX="$ac_prog"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+LEX=$ac_cv_prog_LEX
+if test -n "$LEX"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $LEX" >&5
+$as_echo "$LEX" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+  test -n "$LEX" && break
+done
+test -n "$LEX" || LEX=":"
+
+if test "x$LEX" != "x:"; then
+  cat >conftest.l <<_ACEOF
+%%
+a { ECHO; }
+b { REJECT; }
+c { yymore (); }
+d { yyless (1); }
+e { yyless (input () != 0); }
+f { unput (yytext[0]); }
+. { BEGIN INITIAL; }
+%%
+#ifdef YYTEXT_POINTER
+extern char *yytext;
+#endif
+int
+main (void)
+{
+  return ! yylex () + ! yywrap ();
+}
+_ACEOF
+{ { ac_try="$LEX conftest.l"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:${as_lineno-$LINENO}: $ac_try_echo\""
+$as_echo "$ac_try_echo"; } >&5
+  (eval "$LEX conftest.l") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
+  test $ac_status = 0; }
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking lex output file root" >&5
+$as_echo_n "checking lex output file root... " >&6; }
+if test "${ac_cv_prog_lex_root+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+
+if test -f lex.yy.c; then
+  ac_cv_prog_lex_root=lex.yy
+elif test -f lexyy.c; then
+  ac_cv_prog_lex_root=lexyy
+else
+  as_fn_error "cannot find output from $LEX; giving up" "$LINENO" 5
+fi
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_prog_lex_root" >&5
+$as_echo "$ac_cv_prog_lex_root" >&6; }
+LEX_OUTPUT_ROOT=$ac_cv_prog_lex_root
+
+if test -z "${LEXLIB+set}"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: checking lex library" >&5
+$as_echo_n "checking lex library... " >&6; }
+if test "${ac_cv_lib_lex+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+
+    ac_save_LIBS=$LIBS
+    ac_cv_lib_lex='none needed'
+    for ac_lib in '' -lfl -ll; do
+      LIBS="$ac_lib $ac_save_LIBS"
+      cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+`cat $LEX_OUTPUT_ROOT.c`
+_ACEOF
+if ac_fn_c_try_link "$LINENO"; then :
+  ac_cv_lib_lex=$ac_lib
+fi
+rm -f core conftest.err conftest.$ac_objext \
+    conftest$ac_exeext conftest.$ac_ext
+      test "$ac_cv_lib_lex" != 'none needed' && break
+    done
+    LIBS=$ac_save_LIBS
+
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_lib_lex" >&5
+$as_echo "$ac_cv_lib_lex" >&6; }
+  test "$ac_cv_lib_lex" != 'none needed' && LEXLIB=$ac_cv_lib_lex
+fi
+
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking whether yytext is a pointer" >&5
+$as_echo_n "checking whether yytext is a pointer... " >&6; }
+if test "${ac_cv_prog_lex_yytext_pointer+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  # POSIX says lex can declare yytext either as a pointer or an array; the
+# default is implementation-dependent.  Figure out which it is, since
+# not all implementations provide the %pointer and %array declarations.
+ac_cv_prog_lex_yytext_pointer=no
+ac_save_LIBS=$LIBS
+LIBS="$LEXLIB $ac_save_LIBS"
+cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+#define YYTEXT_POINTER 1
+`cat $LEX_OUTPUT_ROOT.c`
+_ACEOF
+if ac_fn_c_try_link "$LINENO"; then :
+  ac_cv_prog_lex_yytext_pointer=yes
+fi
+rm -f core conftest.err conftest.$ac_objext \
+    conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_save_LIBS
+
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_prog_lex_yytext_pointer" >&5
+$as_echo "$ac_cv_prog_lex_yytext_pointer" >&6; }
+if test $ac_cv_prog_lex_yytext_pointer = yes; then
+
+$as_echo "#define YYTEXT_POINTER 1" >>confdefs.h
+
+fi
+rm -f conftest.l $LEX_OUTPUT_ROOT.c
+
+fi
+# Find a good install program.  We prefer a C program (faster),
+# so one script is as good as another.  But avoid the broken or
+# incompatible versions:
+# SysV /etc/install, /usr/sbin/install
+# SunOS /usr/etc/install
+# IRIX /sbin/install
+# AIX /bin/install
+# AmigaOS /C/install, which installs bootblocks on floppy discs
+# AIX 4 /usr/bin/installbsd, which doesn't work without a -g flag
+# AFS /usr/afsws/bin/install, which mishandles nonexistent args
+# SVR4 /usr/ucb/install, which tries to use the nonexistent group "staff"
+# OS/2's system install, which has a completely different semantic
+# ./install, which can be erroneously created by make from ./install.sh.
+# Reject install programs that cannot install multiple files.
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for a BSD-compatible install" >&5
+$as_echo_n "checking for a BSD-compatible install... " >&6; }
+if test -z "$INSTALL"; then
+if test "${ac_cv_path_install+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    # Account for people who put trailing slashes in PATH elements.
+case $as_dir/ in #((
+  ./ | .// | /[cC]/* | \
+  /etc/* | /usr/sbin/* | /usr/etc/* | /sbin/* | /usr/afsws/bin/* | \
+  ?:[\\/]os2[\\/]install[\\/]* | ?:[\\/]OS2[\\/]INSTALL[\\/]* | \
+  /usr/ucb/* ) ;;
+  *)
+    # OSF1 and SCO ODT 3.0 have their own names for install.
+    # Don't use installbsd from OSF since it installs stuff as root
+    # by default.
+    for ac_prog in ginstall scoinst install; do
+      for ac_exec_ext in '' $ac_executable_extensions; do
+	if { test -f "$as_dir/$ac_prog$ac_exec_ext" && $as_test_x "$as_dir/$ac_prog$ac_exec_ext"; }; then
+	  if test $ac_prog = install &&
+	    grep dspmsg "$as_dir/$ac_prog$ac_exec_ext" >/dev/null 2>&1; then
+	    # AIX install.  It has an incompatible calling convention.
+	    :
+	  elif test $ac_prog = install &&
+	    grep pwplus "$as_dir/$ac_prog$ac_exec_ext" >/dev/null 2>&1; then
+	    # program-specific install script used by HP pwplus--don't use.
+	    :
+	  else
+	    rm -rf conftest.one conftest.two conftest.dir
+	    echo one > conftest.one
+	    echo two > conftest.two
+	    mkdir conftest.dir
+	    if "$as_dir/$ac_prog$ac_exec_ext" -c conftest.one conftest.two "`pwd`/conftest.dir" &&
+	      test -s conftest.one && test -s conftest.two &&
+	      test -s conftest.dir/conftest.one &&
+	      test -s conftest.dir/conftest.two
+	    then
+	      ac_cv_path_install="$as_dir/$ac_prog$ac_exec_ext -c"
+	      break 3
+	    fi
+	  fi
+	fi
+      done
+    done
+    ;;
+esac
+
+  done
+IFS=$as_save_IFS
+
+rm -rf conftest.one conftest.two conftest.dir
+
+fi
+  if test "${ac_cv_path_install+set}" = set; then
+    INSTALL=$ac_cv_path_install
+  else
+    # As a last resort, use the slow shell script.  Don't cache a
+    # value for INSTALL within a source directory, because that will
+    # break other packages using the cache if that directory is
+    # removed, or if the value is a relative name.
+    INSTALL=$ac_install_sh
+  fi
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $INSTALL" >&5
+$as_echo "$INSTALL" >&6; }
+
+# Use test -z because SunOS4 sh mishandles braces in ${var-val}.
+# It thinks the first close brace ends the variable substitution.
+test -z "$INSTALL_PROGRAM" && INSTALL_PROGRAM='${INSTALL}'
+
+test -z "$INSTALL_SCRIPT" && INSTALL_SCRIPT='${INSTALL}'
+
+test -z "$INSTALL_DATA" && INSTALL_DATA='${INSTALL} -m 644'
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking whether ln -s works" >&5
+$as_echo_n "checking whether ln -s works... " >&6; }
+LN_S=$as_ln_s
+if test "$LN_S" = "ln -s"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
+$as_echo "yes" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no, using $LN_S" >&5
+$as_echo "no, using $LN_S" >&6; }
+fi
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking whether ${MAKE-make} sets \$(MAKE)" >&5
+$as_echo_n "checking whether ${MAKE-make} sets \$(MAKE)... " >&6; }
+set x ${MAKE-make}
+ac_make=`$as_echo "$2" | sed 's/+/p/g; s/[^a-zA-Z0-9_]/_/g'`
+if { as_var=ac_cv_prog_make_${ac_make}_set; eval "test \"\${$as_var+set}\" = set"; }; then :
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.make <<\_ACEOF
+SHELL = /bin/sh
+all:
+	@echo '@@@%%%=$(MAKE)=@@@%%%'
+_ACEOF
+# GNU make sometimes prints "make[1]: Entering...", which would confuse us.
+case `${MAKE-make} -f conftest.make 2>/dev/null` in
+  *@@@%%%=?*=@@@%%%*)
+    eval ac_cv_prog_make_${ac_make}_set=yes;;
+  *)
+    eval ac_cv_prog_make_${ac_make}_set=no;;
+esac
+rm -f conftest.make
+fi
+if eval test \$ac_cv_prog_make_${ac_make}_set = yes; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
+$as_echo "yes" >&6; }
+  SET_MAKE=
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+  SET_MAKE="MAKE=${MAKE-make}"
+fi
+
+if test -n "$ac_tool_prefix"; then
+  # Extract the first word of "${ac_tool_prefix}ranlib", so it can be a program name with args.
+set dummy ${ac_tool_prefix}ranlib; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_RANLIB+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$RANLIB"; then
+  ac_cv_prog_RANLIB="$RANLIB" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_RANLIB="${ac_tool_prefix}ranlib"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+RANLIB=$ac_cv_prog_RANLIB
+if test -n "$RANLIB"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $RANLIB" >&5
+$as_echo "$RANLIB" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+fi
+if test -z "$ac_cv_prog_RANLIB"; then
+  ac_ct_RANLIB=$RANLIB
+  # Extract the first word of "ranlib", so it can be a program name with args.
+set dummy ranlib; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_ac_ct_RANLIB+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_RANLIB"; then
+  ac_cv_prog_ac_ct_RANLIB="$ac_ct_RANLIB" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_ac_ct_RANLIB="ranlib"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_RANLIB=$ac_cv_prog_ac_ct_RANLIB
+if test -n "$ac_ct_RANLIB"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_ct_RANLIB" >&5
+$as_echo "$ac_ct_RANLIB" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+  if test "x$ac_ct_RANLIB" = x; then
+    RANLIB=":"
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    RANLIB=$ac_ct_RANLIB
+  fi
+else
+  RANLIB="$ac_cv_prog_RANLIB"
+fi
+
+
+# Automake Initialisation.
+am__api_version='1.11'
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking whether build environment is sane" >&5
+$as_echo_n "checking whether build environment is sane... " >&6; }
+# Just in case
+sleep 1
+echo timestamp > conftest.file
+# Reject unsafe characters in $srcdir or the absolute working directory
+# name.  Accept space and tab only in the latter.
+am_lf='
+'
+case `pwd` in
+  *[\\\"\#\$\&\'\`$am_lf]*)
+    as_fn_error "unsafe absolute working directory name" "$LINENO" 5;;
+esac
+case $srcdir in
+  *[\\\"\#\$\&\'\`$am_lf\ \	]*)
+    as_fn_error "unsafe srcdir value: \`$srcdir'" "$LINENO" 5;;
+esac
+
+# Do `set' in a subshell so we don't clobber the current shell's
+# arguments.  Must try -L first in case configure is actually a
+# symlink; some systems play weird games with the mod time of symlinks
+# (eg FreeBSD returns the mod time of the symlink's containing
+# directory).
+if (
+   set X `ls -Lt "$srcdir/configure" conftest.file 2> /dev/null`
+   if test "$*" = "X"; then
+      # -L didn't work.
+      set X `ls -t "$srcdir/configure" conftest.file`
+   fi
+   rm -f conftest.file
+   if test "$*" != "X $srcdir/configure conftest.file" \
+      && test "$*" != "X conftest.file $srcdir/configure"; then
+
+      # If neither matched, then we have a broken ls.  This can happen
+      # if, for instance, CONFIG_SHELL is bash and it inherits a
+      # broken ls alias from the environment.  This has actually
+      # happened.  Such a system could not be considered "sane".
+      as_fn_error "ls -t appears to fail.  Make sure there is not a broken
+alias in your environment" "$LINENO" 5
+   fi
+
+   test "$2" = conftest.file
+   )
+then
+   # Ok.
+   :
+else
+   as_fn_error "newly created file is older than distributed files!
+Check your system clock" "$LINENO" 5
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
+$as_echo "yes" >&6; }
+test "$program_prefix" != NONE &&
+  program_transform_name="s&^&$program_prefix&;$program_transform_name"
+# Use a double $ so make ignores it.
+test "$program_suffix" != NONE &&
+  program_transform_name="s&\$&$program_suffix&;$program_transform_name"
+# Double any \ or $.
+# By default was `s,x,x', remove it if useless.
+ac_script='s/[\\$]/&&/g;s/;s,x,x,$//'
+program_transform_name=`$as_echo "$program_transform_name" | sed "$ac_script"`
+
+# expand $ac_aux_dir to an absolute path
+am_aux_dir=`cd $ac_aux_dir && pwd`
+
+if test x"${MISSING+set}" != xset; then
+  case $am_aux_dir in
+  *\ * | *\	*)
+    MISSING="\${SHELL} \"$am_aux_dir/missing\"" ;;
+  *)
+    MISSING="\${SHELL} $am_aux_dir/missing" ;;
+  esac
+fi
+# Use eval to expand $SHELL
+if eval "$MISSING --run true"; then
+  am_missing_run="$MISSING --run "
+else
+  am_missing_run=
+  { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: \`missing' script is too old or missing" >&5
+$as_echo "$as_me: WARNING: \`missing' script is too old or missing" >&2;}
+fi
+
+if test x"${install_sh}" != xset; then
+  case $am_aux_dir in
+  *\ * | *\	*)
+    install_sh="\${SHELL} '$am_aux_dir/install-sh'" ;;
+  *)
+    install_sh="\${SHELL} $am_aux_dir/install-sh"
+  esac
+fi
+
+# Installed binaries are usually stripped using `strip' when the user
+# run `make install-strip'.  However `strip' might not be the right
+# tool to use in cross-compilation environments, therefore Automake
+# will honor the `STRIP' environment variable to overrule this program.
+if test "$cross_compiling" != no; then
+  if test -n "$ac_tool_prefix"; then
+  # Extract the first word of "${ac_tool_prefix}strip", so it can be a program name with args.
+set dummy ${ac_tool_prefix}strip; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_STRIP+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$STRIP"; then
+  ac_cv_prog_STRIP="$STRIP" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_STRIP="${ac_tool_prefix}strip"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+STRIP=$ac_cv_prog_STRIP
+if test -n "$STRIP"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $STRIP" >&5
+$as_echo "$STRIP" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+fi
+if test -z "$ac_cv_prog_STRIP"; then
+  ac_ct_STRIP=$STRIP
+  # Extract the first word of "strip", so it can be a program name with args.
+set dummy strip; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_ac_ct_STRIP+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_STRIP"; then
+  ac_cv_prog_ac_ct_STRIP="$ac_ct_STRIP" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_ac_ct_STRIP="strip"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_STRIP=$ac_cv_prog_ac_ct_STRIP
+if test -n "$ac_ct_STRIP"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_ct_STRIP" >&5
+$as_echo "$ac_ct_STRIP" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+  if test "x$ac_ct_STRIP" = x; then
+    STRIP=":"
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    STRIP=$ac_ct_STRIP
+  fi
+else
+  STRIP="$ac_cv_prog_STRIP"
+fi
+
+fi
+INSTALL_STRIP_PROGRAM="\$(install_sh) -c -s"
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for a thread-safe mkdir -p" >&5
+$as_echo_n "checking for a thread-safe mkdir -p... " >&6; }
+if test -z "$MKDIR_P"; then
+  if test "${ac_cv_path_mkdir+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH$PATH_SEPARATOR/opt/sfw/bin
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_prog in mkdir gmkdir; do
+	 for ac_exec_ext in '' $ac_executable_extensions; do
+	   { test -f "$as_dir/$ac_prog$ac_exec_ext" && $as_test_x "$as_dir/$ac_prog$ac_exec_ext"; } || continue
+	   case `"$as_dir/$ac_prog$ac_exec_ext" --version 2>&1` in #(
+	     'mkdir (GNU coreutils) '* | \
+	     'mkdir (coreutils) '* | \
+	     'mkdir (fileutils) '4.1*)
+	       ac_cv_path_mkdir=$as_dir/$ac_prog$ac_exec_ext
+	       break 3;;
+	   esac
+	 done
+       done
+  done
+IFS=$as_save_IFS
+
+fi
+
+  if test "${ac_cv_path_mkdir+set}" = set; then
+    MKDIR_P="$ac_cv_path_mkdir -p"
+  else
+    # As a last resort, use the slow shell script.  Don't cache a
+    # value for MKDIR_P within a source directory, because that will
+    # break other packages using the cache if that directory is
+    # removed, or if the value is a relative name.
+    test -d ./--version && rmdir ./--version
+    MKDIR_P="$ac_install_sh -d"
+  fi
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $MKDIR_P" >&5
+$as_echo "$MKDIR_P" >&6; }
+
+mkdir_p="$MKDIR_P"
+case $mkdir_p in
+  [\\/$]* | ?:[\\/]*) ;;
+  */*) mkdir_p="\$(top_builddir)/$mkdir_p" ;;
+esac
+
+rm -rf .tst 2>/dev/null
+mkdir .tst 2>/dev/null
+if test -d .tst; then
+  am__leading_dot=.
+else
+  am__leading_dot=_
+fi
+rmdir .tst 2>/dev/null
+
+DEPDIR="${am__leading_dot}deps"
+
+ac_config_commands="$ac_config_commands depfiles"
+
+
+am_make=${MAKE-make}
+cat > confinc << 'END'
+am__doit:
+	@echo this is the am__doit target
+.PHONY: am__doit
+END
+# If we don't find an include directive, just comment out the code.
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for style of include used by $am_make" >&5
+$as_echo_n "checking for style of include used by $am_make... " >&6; }
+am__include="#"
+am__quote=
+_am_result=none
+# First try GNU make style include.
+echo "include confinc" > confmf
+# Ignore all kinds of additional output from `make'.
+case `$am_make -s -f confmf 2> /dev/null` in #(
+*the\ am__doit\ target*)
+  am__include=include
+  am__quote=
+  _am_result=GNU
+  ;;
+esac
+# Now try BSD make style include.
+if test "$am__include" = "#"; then
+   echo '.include "confinc"' > confmf
+   case `$am_make -s -f confmf 2> /dev/null` in #(
+   *the\ am__doit\ target*)
+     am__include=.include
+     am__quote="\""
+     _am_result=BSD
+     ;;
+   esac
+fi
+
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $_am_result" >&5
+$as_echo "$_am_result" >&6; }
+rm -f confinc confmf
+
+# Check whether --enable-dependency-tracking was given.
+if test "${enable_dependency_tracking+set}" = set; then :
+  enableval=$enable_dependency_tracking;
+fi
+
+if test "x$enable_dependency_tracking" != xno; then
+  am_depcomp="$ac_aux_dir/depcomp"
+  AMDEPBACKSLASH='\'
+fi
+ if test "x$enable_dependency_tracking" != xno; then
+  AMDEP_TRUE=
+  AMDEP_FALSE='#'
+else
+  AMDEP_TRUE='#'
+  AMDEP_FALSE=
+fi
+
+
+if test "`cd $srcdir && pwd`" != "`pwd`"; then
+  # Use -I$(srcdir) only when $(srcdir) != ., so that make's output
+  # is not polluted with repeated "-I."
+  am__isrc=' -I$(srcdir)'
+  # test to see if srcdir already configured
+  if test -f $srcdir/config.status; then
+    as_fn_error "source directory already configured; run \"make distclean\" there first" "$LINENO" 5
+  fi
+fi
+
+# test whether we have cygpath
+if test -z "$CYGPATH_W"; then
+  if (cygpath --version) >/dev/null 2>/dev/null; then
+    CYGPATH_W='cygpath -w'
+  else
+    CYGPATH_W=echo
+  fi
+fi
+
+
+# Define the identity of the package.
+ PACKAGE=adms
+ VERSION=2.3.1
+
+
+# Some tools Automake needs.
+
+ACLOCAL=${ACLOCAL-"${am_missing_run}aclocal-${am__api_version}"}
+
+
+AUTOCONF=${AUTOCONF-"${am_missing_run}autoconf"}
+
+
+AUTOMAKE=${AUTOMAKE-"${am_missing_run}automake-${am__api_version}"}
+
+
+AUTOHEADER=${AUTOHEADER-"${am_missing_run}autoheader"}
+
+
+MAKEINFO=${MAKEINFO-"${am_missing_run}makeinfo"}
+
+# We need awk for the "check" target.  The system "awk" is bad on
+# some platforms.
+# Always define AMTAR for backward compatibility.
+
+AMTAR=${AMTAR-"${am_missing_run}tar"}
+
+am__tar='${AMTAR} chof - "$$tardir"'; am__untar='${AMTAR} xf -'
+
+
+
+
+depcc="$CC"   am_compiler_list=
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking dependency style of $depcc" >&5
+$as_echo_n "checking dependency style of $depcc... " >&6; }
+if test "${am_cv_CC_dependencies_compiler_type+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -z "$AMDEP_TRUE" && test -f "$am_depcomp"; then
+  # We make a subdir and do the tests there.  Otherwise we can end up
+  # making bogus files that we don't know about and never remove.  For
+  # instance it was reported that on HP-UX the gcc test will end up
+  # making a dummy file named `D' -- because `-MD' means `put the output
+  # in D'.
+  mkdir conftest.dir
+  # Copy depcomp to subdir because otherwise we won't find it if we're
+  # using a relative directory.
+  cp "$am_depcomp" conftest.dir
+  cd conftest.dir
+  # We will build objects and dependencies in a subdirectory because
+  # it helps to detect inapplicable dependency modes.  For instance
+  # both Tru64's cc and ICC support -MD to output dependencies as a
+  # side effect of compilation, but ICC will put the dependencies in
+  # the current directory while Tru64 will put them in the object
+  # directory.
+  mkdir sub
+
+  am_cv_CC_dependencies_compiler_type=none
+  if test "$am_compiler_list" = ""; then
+     am_compiler_list=`sed -n 's/^#*\([a-zA-Z0-9]*\))$/\1/p' < ./depcomp`
+  fi
+  am__universal=false
+  case " $depcc " in #(
+     *\ -arch\ *\ -arch\ *) am__universal=true ;;
+     esac
+
+  for depmode in $am_compiler_list; do
+    # Setup a source with many dependencies, because some compilers
+    # like to wrap large dependency lists on column 80 (with \), and
+    # we should not choose a depcomp mode which is confused by this.
+    #
+    # We need to recreate these files for each test, as the compiler may
+    # overwrite some of them when testing with obscure command lines.
+    # This happens at least with the AIX C compiler.
+    : > sub/conftest.c
+    for i in 1 2 3 4 5 6; do
+      echo '#include "conftst'$i'.h"' >> sub/conftest.c
+      # Using `: > sub/conftst$i.h' creates only sub/conftst1.h with
+      # Solaris 8's {/usr,}/bin/sh.
+      touch sub/conftst$i.h
+    done
+    echo "${am__include} ${am__quote}sub/conftest.Po${am__quote}" > confmf
+
+    # We check with `-c' and `-o' for the sake of the "dashmstdout"
+    # mode.  It turns out that the SunPro C++ compiler does not properly
+    # handle `-M -o', and we need to detect this.  Also, some Intel
+    # versions had trouble with output in subdirs
+    am__obj=sub/conftest.${OBJEXT-o}
+    am__minus_obj="-o $am__obj"
+    case $depmode in
+    gcc)
+      # This depmode causes a compiler race in universal mode.
+      test "$am__universal" = false || continue
+      ;;
+    nosideeffect)
+      # after this tag, mechanisms are not by side-effect, so they'll
+      # only be used when explicitly requested
+      if test "x$enable_dependency_tracking" = xyes; then
+	continue
+      else
+	break
+      fi
+      ;;
+    msvisualcpp | msvcmsys)
+      # This compiler won't grok `-c -o', but also, the minuso test has
+      # not run yet.  These depmodes are late enough in the game, and
+      # so weak that their functioning should not be impacted.
+      am__obj=conftest.${OBJEXT-o}
+      am__minus_obj=
+      ;;
+    none) break ;;
+    esac
+    if depmode=$depmode \
+       source=sub/conftest.c object=$am__obj \
+       depfile=sub/conftest.Po tmpdepfile=sub/conftest.TPo \
+       $SHELL ./depcomp $depcc -c $am__minus_obj sub/conftest.c \
+         >/dev/null 2>conftest.err &&
+       grep sub/conftst1.h sub/conftest.Po > /dev/null 2>&1 &&
+       grep sub/conftst6.h sub/conftest.Po > /dev/null 2>&1 &&
+       grep $am__obj sub/conftest.Po > /dev/null 2>&1 &&
+       ${MAKE-make} -s -f confmf > /dev/null 2>&1; then
+      # icc doesn't choke on unknown options, it will just issue warnings
+      # or remarks (even with -Werror).  So we grep stderr for any message
+      # that says an option was ignored or not supported.
+      # When given -MP, icc 7.0 and 7.1 complain thusly:
+      #   icc: Command line warning: ignoring option '-M'; no argument required
+      # The diagnosis changed in icc 8.0:
+      #   icc: Command line remark: option '-MP' not supported
+      if (grep 'ignoring option' conftest.err ||
+          grep 'not supported' conftest.err) >/dev/null 2>&1; then :; else
+        am_cv_CC_dependencies_compiler_type=$depmode
+        break
+      fi
+    fi
+  done
+
+  cd ..
+  rm -rf conftest.dir
+else
+  am_cv_CC_dependencies_compiler_type=none
+fi
+
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $am_cv_CC_dependencies_compiler_type" >&5
+$as_echo "$am_cv_CC_dependencies_compiler_type" >&6; }
+CCDEPMODE=depmode=$am_cv_CC_dependencies_compiler_type
+
+ if
+  test "x$enable_dependency_tracking" != xno \
+  && test "$am_cv_CC_dependencies_compiler_type" = gcc3; then
+  am__fastdepCC_TRUE=
+  am__fastdepCC_FALSE='#'
+else
+  am__fastdepCC_TRUE='#'
+  am__fastdepCC_FALSE=
+fi
+
+
+depcc="$CXX"  am_compiler_list=
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking dependency style of $depcc" >&5
+$as_echo_n "checking dependency style of $depcc... " >&6; }
+if test "${am_cv_CXX_dependencies_compiler_type+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -z "$AMDEP_TRUE" && test -f "$am_depcomp"; then
+  # We make a subdir and do the tests there.  Otherwise we can end up
+  # making bogus files that we don't know about and never remove.  For
+  # instance it was reported that on HP-UX the gcc test will end up
+  # making a dummy file named `D' -- because `-MD' means `put the output
+  # in D'.
+  mkdir conftest.dir
+  # Copy depcomp to subdir because otherwise we won't find it if we're
+  # using a relative directory.
+  cp "$am_depcomp" conftest.dir
+  cd conftest.dir
+  # We will build objects and dependencies in a subdirectory because
+  # it helps to detect inapplicable dependency modes.  For instance
+  # both Tru64's cc and ICC support -MD to output dependencies as a
+  # side effect of compilation, but ICC will put the dependencies in
+  # the current directory while Tru64 will put them in the object
+  # directory.
+  mkdir sub
+
+  am_cv_CXX_dependencies_compiler_type=none
+  if test "$am_compiler_list" = ""; then
+     am_compiler_list=`sed -n 's/^#*\([a-zA-Z0-9]*\))$/\1/p' < ./depcomp`
+  fi
+  am__universal=false
+  case " $depcc " in #(
+     *\ -arch\ *\ -arch\ *) am__universal=true ;;
+     esac
+
+  for depmode in $am_compiler_list; do
+    # Setup a source with many dependencies, because some compilers
+    # like to wrap large dependency lists on column 80 (with \), and
+    # we should not choose a depcomp mode which is confused by this.
+    #
+    # We need to recreate these files for each test, as the compiler may
+    # overwrite some of them when testing with obscure command lines.
+    # This happens at least with the AIX C compiler.
+    : > sub/conftest.c
+    for i in 1 2 3 4 5 6; do
+      echo '#include "conftst'$i'.h"' >> sub/conftest.c
+      # Using `: > sub/conftst$i.h' creates only sub/conftst1.h with
+      # Solaris 8's {/usr,}/bin/sh.
+      touch sub/conftst$i.h
+    done
+    echo "${am__include} ${am__quote}sub/conftest.Po${am__quote}" > confmf
+
+    # We check with `-c' and `-o' for the sake of the "dashmstdout"
+    # mode.  It turns out that the SunPro C++ compiler does not properly
+    # handle `-M -o', and we need to detect this.  Also, some Intel
+    # versions had trouble with output in subdirs
+    am__obj=sub/conftest.${OBJEXT-o}
+    am__minus_obj="-o $am__obj"
+    case $depmode in
+    gcc)
+      # This depmode causes a compiler race in universal mode.
+      test "$am__universal" = false || continue
+      ;;
+    nosideeffect)
+      # after this tag, mechanisms are not by side-effect, so they'll
+      # only be used when explicitly requested
+      if test "x$enable_dependency_tracking" = xyes; then
+	continue
+      else
+	break
+      fi
+      ;;
+    msvisualcpp | msvcmsys)
+      # This compiler won't grok `-c -o', but also, the minuso test has
+      # not run yet.  These depmodes are late enough in the game, and
+      # so weak that their functioning should not be impacted.
+      am__obj=conftest.${OBJEXT-o}
+      am__minus_obj=
+      ;;
+    none) break ;;
+    esac
+    if depmode=$depmode \
+       source=sub/conftest.c object=$am__obj \
+       depfile=sub/conftest.Po tmpdepfile=sub/conftest.TPo \
+       $SHELL ./depcomp $depcc -c $am__minus_obj sub/conftest.c \
+         >/dev/null 2>conftest.err &&
+       grep sub/conftst1.h sub/conftest.Po > /dev/null 2>&1 &&
+       grep sub/conftst6.h sub/conftest.Po > /dev/null 2>&1 &&
+       grep $am__obj sub/conftest.Po > /dev/null 2>&1 &&
+       ${MAKE-make} -s -f confmf > /dev/null 2>&1; then
+      # icc doesn't choke on unknown options, it will just issue warnings
+      # or remarks (even with -Werror).  So we grep stderr for any message
+      # that says an option was ignored or not supported.
+      # When given -MP, icc 7.0 and 7.1 complain thusly:
+      #   icc: Command line warning: ignoring option '-M'; no argument required
+      # The diagnosis changed in icc 8.0:
+      #   icc: Command line remark: option '-MP' not supported
+      if (grep 'ignoring option' conftest.err ||
+          grep 'not supported' conftest.err) >/dev/null 2>&1; then :; else
+        am_cv_CXX_dependencies_compiler_type=$depmode
+        break
+      fi
+    fi
+  done
+
+  cd ..
+  rm -rf conftest.dir
+else
+  am_cv_CXX_dependencies_compiler_type=none
+fi
+
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $am_cv_CXX_dependencies_compiler_type" >&5
+$as_echo "$am_cv_CXX_dependencies_compiler_type" >&6; }
+CXXDEPMODE=depmode=$am_cv_CXX_dependencies_compiler_type
+
+ if
+  test "x$enable_dependency_tracking" != xno \
+  && test "$am_cv_CXX_dependencies_compiler_type" = gcc3; then
+  am__fastdepCXX_TRUE=
+  am__fastdepCXX_FALSE='#'
+else
+  am__fastdepCXX_TRUE='#'
+  am__fastdepCXX_FALSE=
+fi
+
+
+
+
+#force use of flex/bison
+if test "x$LEX" != "xflex"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: Program flex not found (found $LEX)" >&5
+$as_echo "$as_me: WARNING: Program flex not found (found $LEX)" >&2;}
+  as_fn_error "Please install gnu flex from http://www.gnu.org/software/flex/" "$LINENO" 5
+fi
+if test "x$YACC" != "xbison -y"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: Program bison not found (found $YACC)" >&5
+$as_echo "$as_me: WARNING: Program bison not found (found $YACC)" >&2;}
+  as_fn_error "Please install gnu bison from http://www.gnu.org/software/bison/" "$LINENO" 5
+fi
+
+#advice use of gcc
+if test "x$GCC" = "xyes"; then
+  case "$CFLAGS" in
+  *-Wall*)
+    # already present
+    ;;
+  *)
+    CFLAGS="$CFLAGS -Wall"
+  esac
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: Seems that the selected C-compiler is not gnu gcc C-compiler" >&5
+$as_echo "$as_me: WARNING: Seems that the selected C-compiler is not gnu gcc C-compiler" >&2;}
+  { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: We advice you to use gcc as C-compiler" >&5
+$as_echo "$as_me: WARNING: We advice you to use gcc as C-compiler" >&2;}
+  { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: You can install it from http://www.gnu.org/software/gcc/" >&5
+$as_echo "$as_me: WARNING: You can install it from http://www.gnu.org/software/gcc/" >&2;}
+fi
+
+# Checks for libraries.
+# FIXME: Replace `main' with a function in `-lm':
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for pow in -lm" >&5
+$as_echo_n "checking for pow in -lm... " >&6; }
+if test "${ac_cv_lib_m_pow+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-lm  $LIBS"
+cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char pow ();
+int
+main ()
+{
+return pow ();
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_c_try_link "$LINENO"; then :
+  ac_cv_lib_m_pow=yes
+else
+  ac_cv_lib_m_pow=no
+fi
+rm -f core conftest.err conftest.$ac_objext \
+    conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_lib_m_pow" >&5
+$as_echo "$ac_cv_lib_m_pow" >&6; }
+if test "x$ac_cv_lib_m_pow" = x""yes; then :
+  cat >>confdefs.h <<_ACEOF
+#define HAVE_LIBM 1
+_ACEOF
+
+  LIBS="-lm $LIBS"
+
+fi
+
+
+# Checks for header files.
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for grep that handles long lines and -e" >&5
+$as_echo_n "checking for grep that handles long lines and -e... " >&6; }
+if test "${ac_cv_path_GREP+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -z "$GREP"; then
+  ac_path_GREP_found=false
+  # Loop through the user's path and test for each of PROGNAME-LIST
+  as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH$PATH_SEPARATOR/usr/xpg4/bin
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_prog in grep ggrep; do
+    for ac_exec_ext in '' $ac_executable_extensions; do
+      ac_path_GREP="$as_dir/$ac_prog$ac_exec_ext"
+      { test -f "$ac_path_GREP" && $as_test_x "$ac_path_GREP"; } || continue
+# Check for GNU ac_path_GREP and select it if it is found.
+  # Check for GNU $ac_path_GREP
+case `"$ac_path_GREP" --version 2>&1` in
+*GNU*)
+  ac_cv_path_GREP="$ac_path_GREP" ac_path_GREP_found=:;;
+*)
+  ac_count=0
+  $as_echo_n 0123456789 >"conftest.in"
+  while :
+  do
+    cat "conftest.in" "conftest.in" >"conftest.tmp"
+    mv "conftest.tmp" "conftest.in"
+    cp "conftest.in" "conftest.nl"
+    $as_echo 'GREP' >> "conftest.nl"
+    "$ac_path_GREP" -e 'GREP$' -e '-(cannot match)-' < "conftest.nl" >"conftest.out" 2>/dev/null || break
+    diff "conftest.out" "conftest.nl" >/dev/null 2>&1 || break
+    as_fn_arith $ac_count + 1 && ac_count=$as_val
+    if test $ac_count -gt ${ac_path_GREP_max-0}; then
+      # Best one so far, save it but keep looking for a better one
+      ac_cv_path_GREP="$ac_path_GREP"
+      ac_path_GREP_max=$ac_count
+    fi
+    # 10*(2^10) chars as input seems more than enough
+    test $ac_count -gt 10 && break
+  done
+  rm -f conftest.in conftest.tmp conftest.nl conftest.out;;
+esac
+
+      $ac_path_GREP_found && break 3
+    done
+  done
+  done
+IFS=$as_save_IFS
+  if test -z "$ac_cv_path_GREP"; then
+    as_fn_error "no acceptable grep could be found in $PATH$PATH_SEPARATOR/usr/xpg4/bin" "$LINENO" 5
+  fi
+else
+  ac_cv_path_GREP=$GREP
+fi
+
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_path_GREP" >&5
+$as_echo "$ac_cv_path_GREP" >&6; }
+ GREP="$ac_cv_path_GREP"
+
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for egrep" >&5
+$as_echo_n "checking for egrep... " >&6; }
+if test "${ac_cv_path_EGREP+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if echo a | $GREP -E '(a|b)' >/dev/null 2>&1
+   then ac_cv_path_EGREP="$GREP -E"
+   else
+     if test -z "$EGREP"; then
+  ac_path_EGREP_found=false
+  # Loop through the user's path and test for each of PROGNAME-LIST
+  as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH$PATH_SEPARATOR/usr/xpg4/bin
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_prog in egrep; do
+    for ac_exec_ext in '' $ac_executable_extensions; do
+      ac_path_EGREP="$as_dir/$ac_prog$ac_exec_ext"
+      { test -f "$ac_path_EGREP" && $as_test_x "$ac_path_EGREP"; } || continue
+# Check for GNU ac_path_EGREP and select it if it is found.
+  # Check for GNU $ac_path_EGREP
+case `"$ac_path_EGREP" --version 2>&1` in
+*GNU*)
+  ac_cv_path_EGREP="$ac_path_EGREP" ac_path_EGREP_found=:;;
+*)
+  ac_count=0
+  $as_echo_n 0123456789 >"conftest.in"
+  while :
+  do
+    cat "conftest.in" "conftest.in" >"conftest.tmp"
+    mv "conftest.tmp" "conftest.in"
+    cp "conftest.in" "conftest.nl"
+    $as_echo 'EGREP' >> "conftest.nl"
+    "$ac_path_EGREP" 'EGREP$' < "conftest.nl" >"conftest.out" 2>/dev/null || break
+    diff "conftest.out" "conftest.nl" >/dev/null 2>&1 || break
+    as_fn_arith $ac_count + 1 && ac_count=$as_val
+    if test $ac_count -gt ${ac_path_EGREP_max-0}; then
+      # Best one so far, save it but keep looking for a better one
+      ac_cv_path_EGREP="$ac_path_EGREP"
+      ac_path_EGREP_max=$ac_count
+    fi
+    # 10*(2^10) chars as input seems more than enough
+    test $ac_count -gt 10 && break
+  done
+  rm -f conftest.in conftest.tmp conftest.nl conftest.out;;
+esac
+
+      $ac_path_EGREP_found && break 3
+    done
+  done
+  done
+IFS=$as_save_IFS
+  if test -z "$ac_cv_path_EGREP"; then
+    as_fn_error "no acceptable egrep could be found in $PATH$PATH_SEPARATOR/usr/xpg4/bin" "$LINENO" 5
+  fi
+else
+  ac_cv_path_EGREP=$EGREP
+fi
+
+   fi
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_path_EGREP" >&5
+$as_echo "$ac_cv_path_EGREP" >&6; }
+ EGREP="$ac_cv_path_EGREP"
+
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for ANSI C header files" >&5
+$as_echo_n "checking for ANSI C header files... " >&6; }
+if test "${ac_cv_header_stdc+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+#include <stdlib.h>
+#include <stdarg.h>
+#include <string.h>
+#include <float.h>
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_c_try_compile "$LINENO"; then :
+  ac_cv_header_stdc=yes
+else
+  ac_cv_header_stdc=no
+fi
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+
+if test $ac_cv_header_stdc = yes; then
+  # SunOS 4.x string.h does not declare mem*, contrary to ANSI.
+  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+#include <string.h>
+
+_ACEOF
+if (eval "$ac_cpp conftest.$ac_ext") 2>&5 |
+  $EGREP "memchr" >/dev/null 2>&1; then :
+
+else
+  ac_cv_header_stdc=no
+fi
+rm -f conftest*
+
+fi
+
+if test $ac_cv_header_stdc = yes; then
+  # ISC 2.0.2 stdlib.h does not declare free, contrary to ANSI.
+  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+#include <stdlib.h>
+
+_ACEOF
+if (eval "$ac_cpp conftest.$ac_ext") 2>&5 |
+  $EGREP "free" >/dev/null 2>&1; then :
+
+else
+  ac_cv_header_stdc=no
+fi
+rm -f conftest*
+
+fi
+
+if test $ac_cv_header_stdc = yes; then
+  # /bin/cc in Irix-4.0.5 gets non-ANSI ctype macros unless using -ansi.
+  if test "$cross_compiling" = yes; then :
+  :
+else
+  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+#include <ctype.h>
+#include <stdlib.h>
+#if ((' ' & 0x0FF) == 0x020)
+# define ISLOWER(c) ('a' <= (c) && (c) <= 'z')
+# define TOUPPER(c) (ISLOWER(c) ? 'A' + ((c) - 'a') : (c))
+#else
+# define ISLOWER(c) \
+		   (('a' <= (c) && (c) <= 'i') \
+		     || ('j' <= (c) && (c) <= 'r') \
+		     || ('s' <= (c) && (c) <= 'z'))
+# define TOUPPER(c) (ISLOWER(c) ? ((c) | 0x40) : (c))
+#endif
+
+#define XOR(e, f) (((e) && !(f)) || (!(e) && (f)))
+int
+main ()
+{
+  int i;
+  for (i = 0; i < 256; i++)
+    if (XOR (islower (i), ISLOWER (i))
+	|| toupper (i) != TOUPPER (i))
+      return 2;
+  return 0;
+}
+_ACEOF
+if ac_fn_c_try_run "$LINENO"; then :
+
+else
+  ac_cv_header_stdc=no
+fi
+rm -f core *.core core.conftest.* gmon.out bb.out conftest$ac_exeext \
+  conftest.$ac_objext conftest.beam conftest.$ac_ext
+fi
+
+fi
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_header_stdc" >&5
+$as_echo "$ac_cv_header_stdc" >&6; }
+if test $ac_cv_header_stdc = yes; then
+
+$as_echo "#define STDC_HEADERS 1" >>confdefs.h
+
+fi
+
+# On IRIX 5.3, sys/types and inttypes.h are conflicting.
+for ac_header in sys/types.h sys/stat.h stdlib.h string.h memory.h strings.h \
+		  inttypes.h stdint.h unistd.h
+do :
+  as_ac_Header=`$as_echo "ac_cv_header_$ac_header" | $as_tr_sh`
+ac_fn_c_check_header_compile "$LINENO" "$ac_header" "$as_ac_Header" "$ac_includes_default
+"
+eval as_val=\$$as_ac_Header
+   if test "x$as_val" = x""yes; then :
+  cat >>confdefs.h <<_ACEOF
+#define `$as_echo "HAVE_$ac_header" | $as_tr_cpp` 1
+_ACEOF
+
+fi
+
+done
+
+
+# The Ultrix 4.2 mips builtin alloca declared by alloca.h only works
+# for constant arguments.  Useless!
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for working alloca.h" >&5
+$as_echo_n "checking for working alloca.h... " >&6; }
+if test "${ac_cv_working_alloca_h+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+#include <alloca.h>
+int
+main ()
+{
+char *p = (char *) alloca (2 * sizeof (int));
+			  if (p) return 0;
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_c_try_link "$LINENO"; then :
+  ac_cv_working_alloca_h=yes
+else
+  ac_cv_working_alloca_h=no
+fi
+rm -f core conftest.err conftest.$ac_objext \
+    conftest$ac_exeext conftest.$ac_ext
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_working_alloca_h" >&5
+$as_echo "$ac_cv_working_alloca_h" >&6; }
+if test $ac_cv_working_alloca_h = yes; then
+
+$as_echo "#define HAVE_ALLOCA_H 1" >>confdefs.h
+
+fi
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for alloca" >&5
+$as_echo_n "checking for alloca... " >&6; }
+if test "${ac_cv_func_alloca_works+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+#ifdef __GNUC__
+# define alloca __builtin_alloca
+#else
+# ifdef _MSC_VER
+#  include <malloc.h>
+#  define alloca _alloca
+# else
+#  ifdef HAVE_ALLOCA_H
+#   include <alloca.h>
+#  else
+#   ifdef _AIX
+ #pragma alloca
+#   else
+#    ifndef alloca /* predefined by HP cc +Olibcalls */
+char *alloca ();
+#    endif
+#   endif
+#  endif
+# endif
+#endif
+
+int
+main ()
+{
+char *p = (char *) alloca (1);
+				    if (p) return 0;
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_c_try_link "$LINENO"; then :
+  ac_cv_func_alloca_works=yes
+else
+  ac_cv_func_alloca_works=no
+fi
+rm -f core conftest.err conftest.$ac_objext \
+    conftest$ac_exeext conftest.$ac_ext
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_func_alloca_works" >&5
+$as_echo "$ac_cv_func_alloca_works" >&6; }
+
+if test $ac_cv_func_alloca_works = yes; then
+
+$as_echo "#define HAVE_ALLOCA 1" >>confdefs.h
+
+else
+  # The SVR3 libPW and SVR4 libucb both contain incompatible functions
+# that cause trouble.  Some versions do not even contain alloca or
+# contain a buggy version.  If you still want to use their alloca,
+# use ar to extract alloca.o from them instead of compiling alloca.c.
+
+ALLOCA=\${LIBOBJDIR}alloca.$ac_objext
+
+$as_echo "#define C_ALLOCA 1" >>confdefs.h
+
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking whether \`alloca.c' needs Cray hooks" >&5
+$as_echo_n "checking whether \`alloca.c' needs Cray hooks... " >&6; }
+if test "${ac_cv_os_cray+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+#if defined CRAY && ! defined CRAY2
+webecray
+#else
+wenotbecray
+#endif
+
+_ACEOF
+if (eval "$ac_cpp conftest.$ac_ext") 2>&5 |
+  $EGREP "webecray" >/dev/null 2>&1; then :
+  ac_cv_os_cray=yes
+else
+  ac_cv_os_cray=no
+fi
+rm -f conftest*
+
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_os_cray" >&5
+$as_echo "$ac_cv_os_cray" >&6; }
+if test $ac_cv_os_cray = yes; then
+  for ac_func in _getb67 GETB67 getb67; do
+    as_ac_var=`$as_echo "ac_cv_func_$ac_func" | $as_tr_sh`
+ac_fn_c_check_func "$LINENO" "$ac_func" "$as_ac_var"
+eval as_val=\$$as_ac_var
+   if test "x$as_val" = x""yes; then :
+
+cat >>confdefs.h <<_ACEOF
+#define CRAY_STACKSEG_END $ac_func
+_ACEOF
+
+    break
+fi
+
+  done
+fi
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking stack direction for C alloca" >&5
+$as_echo_n "checking stack direction for C alloca... " >&6; }
+if test "${ac_cv_c_stack_direction+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test "$cross_compiling" = yes; then :
+  ac_cv_c_stack_direction=0
+else
+  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+$ac_includes_default
+int
+find_stack_direction ()
+{
+  static char *addr = 0;
+  auto char dummy;
+  if (addr == 0)
+    {
+      addr = &dummy;
+      return find_stack_direction ();
+    }
+  else
+    return (&dummy > addr) ? 1 : -1;
+}
+
+int
+main ()
+{
+  return find_stack_direction () < 0;
+}
+_ACEOF
+if ac_fn_c_try_run "$LINENO"; then :
+  ac_cv_c_stack_direction=1
+else
+  ac_cv_c_stack_direction=-1
+fi
+rm -f core *.core core.conftest.* gmon.out bb.out conftest$ac_exeext \
+  conftest.$ac_objext conftest.beam conftest.$ac_ext
+fi
+
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_c_stack_direction" >&5
+$as_echo "$ac_cv_c_stack_direction" >&6; }
+cat >>confdefs.h <<_ACEOF
+#define STACK_DIRECTION $ac_cv_c_stack_direction
+_ACEOF
+
+
+fi
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for ANSI C header files" >&5
+$as_echo_n "checking for ANSI C header files... " >&6; }
+if test "${ac_cv_header_stdc+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+#include <stdlib.h>
+#include <stdarg.h>
+#include <string.h>
+#include <float.h>
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_c_try_compile "$LINENO"; then :
+  ac_cv_header_stdc=yes
+else
+  ac_cv_header_stdc=no
+fi
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+
+if test $ac_cv_header_stdc = yes; then
+  # SunOS 4.x string.h does not declare mem*, contrary to ANSI.
+  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+#include <string.h>
+
+_ACEOF
+if (eval "$ac_cpp conftest.$ac_ext") 2>&5 |
+  $EGREP "memchr" >/dev/null 2>&1; then :
+
+else
+  ac_cv_header_stdc=no
+fi
+rm -f conftest*
+
+fi
+
+if test $ac_cv_header_stdc = yes; then
+  # ISC 2.0.2 stdlib.h does not declare free, contrary to ANSI.
+  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+#include <stdlib.h>
+
+_ACEOF
+if (eval "$ac_cpp conftest.$ac_ext") 2>&5 |
+  $EGREP "free" >/dev/null 2>&1; then :
+
+else
+  ac_cv_header_stdc=no
+fi
+rm -f conftest*
+
+fi
+
+if test $ac_cv_header_stdc = yes; then
+  # /bin/cc in Irix-4.0.5 gets non-ANSI ctype macros unless using -ansi.
+  if test "$cross_compiling" = yes; then :
+  :
+else
+  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+#include <ctype.h>
+#include <stdlib.h>
+#if ((' ' & 0x0FF) == 0x020)
+# define ISLOWER(c) ('a' <= (c) && (c) <= 'z')
+# define TOUPPER(c) (ISLOWER(c) ? 'A' + ((c) - 'a') : (c))
+#else
+# define ISLOWER(c) \
+		   (('a' <= (c) && (c) <= 'i') \
+		     || ('j' <= (c) && (c) <= 'r') \
+		     || ('s' <= (c) && (c) <= 'z'))
+# define TOUPPER(c) (ISLOWER(c) ? ((c) | 0x40) : (c))
+#endif
+
+#define XOR(e, f) (((e) && !(f)) || (!(e) && (f)))
+int
+main ()
+{
+  int i;
+  for (i = 0; i < 256; i++)
+    if (XOR (islower (i), ISLOWER (i))
+	|| toupper (i) != TOUPPER (i))
+      return 2;
+  return 0;
+}
+_ACEOF
+if ac_fn_c_try_run "$LINENO"; then :
+
+else
+  ac_cv_header_stdc=no
+fi
+rm -f core *.core core.conftest.* gmon.out bb.out conftest$ac_exeext \
+  conftest.$ac_objext conftest.beam conftest.$ac_ext
+fi
+
+fi
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_header_stdc" >&5
+$as_echo "$ac_cv_header_stdc" >&6; }
+if test $ac_cv_header_stdc = yes; then
+
+$as_echo "#define STDC_HEADERS 1" >>confdefs.h
+
+fi
+
+for ac_header in float.h inttypes.h libintl.h locale.h malloc.h stddef.h stdlib.h string.h unistd.h
+do :
+  as_ac_Header=`$as_echo "ac_cv_header_$ac_header" | $as_tr_sh`
+ac_fn_c_check_header_mongrel "$LINENO" "$ac_header" "$as_ac_Header" "$ac_includes_default"
+eval as_val=\$$as_ac_Header
+   if test "x$as_val" = x""yes; then :
+  cat >>confdefs.h <<_ACEOF
+#define `$as_echo "HAVE_$ac_header" | $as_tr_cpp` 1
+_ACEOF
+
+fi
+
+done
+
+
+# Checks for typedefs, structures, and compiler characteristics.
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for an ANSI C-conforming const" >&5
+$as_echo_n "checking for an ANSI C-conforming const... " >&6; }
+if test "${ac_cv_c_const+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+
+int
+main ()
+{
+/* FIXME: Include the comments suggested by Paul. */
+#ifndef __cplusplus
+  /* Ultrix mips cc rejects this.  */
+  typedef int charset[2];
+  const charset cs;
+  /* SunOS 4.1.1 cc rejects this.  */
+  char const *const *pcpcc;
+  char **ppc;
+  /* NEC SVR4.0.2 mips cc rejects this.  */
+  struct point {int x, y;};
+  static struct point const zero = {0,0};
+  /* AIX XL C 1.02.0.0 rejects this.
+     It does not let you subtract one const X* pointer from another in
+     an arm of an if-expression whose if-part is not a constant
+     expression */
+  const char *g = "string";
+  pcpcc = &g + (g ? g-g : 0);
+  /* HPUX 7.0 cc rejects these. */
+  ++pcpcc;
+  ppc = (char**) pcpcc;
+  pcpcc = (char const *const *) ppc;
+  { /* SCO 3.2v4 cc rejects this.  */
+    char *t;
+    char const *s = 0 ? (char *) 0 : (char const *) 0;
+
+    *t++ = 0;
+    if (s) return 0;
+  }
+  { /* Someone thinks the Sun supposedly-ANSI compiler will reject this.  */
+    int x[] = {25, 17};
+    const int *foo = &x[0];
+    ++foo;
+  }
+  { /* Sun SC1.0 ANSI compiler rejects this -- but not the above. */
+    typedef const int *iptr;
+    iptr p = 0;
+    ++p;
+  }
+  { /* AIX XL C 1.02.0.0 rejects this saying
+       "k.c", line 2.27: 1506-025 (S) Operand must be a modifiable lvalue. */
+    struct s { int j; const int *ap[3]; };
+    struct s *b; b->j = 5;
+  }
+  { /* ULTRIX-32 V3.1 (Rev 9) vcc rejects this */
+    const int foo = 10;
+    if (!foo) return 0;
+  }
+  return !cs[0] && !zero.x;
+#endif
+
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_c_try_compile "$LINENO"; then :
+  ac_cv_c_const=yes
+else
+  ac_cv_c_const=no
+fi
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_c_const" >&5
+$as_echo "$ac_cv_c_const" >&6; }
+if test $ac_cv_c_const = no; then
+
+$as_echo "#define const /**/" >>confdefs.h
+
+fi
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for inline" >&5
+$as_echo_n "checking for inline... " >&6; }
+if test "${ac_cv_c_inline+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  ac_cv_c_inline=no
+for ac_kw in inline __inline__ __inline; do
+  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+#ifndef __cplusplus
+typedef int foo_t;
+static $ac_kw foo_t static_foo () {return 0; }
+$ac_kw foo_t foo () {return 0; }
+#endif
+
+_ACEOF
+if ac_fn_c_try_compile "$LINENO"; then :
+  ac_cv_c_inline=$ac_kw
+fi
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+  test "$ac_cv_c_inline" != no && break
+done
+
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_c_inline" >&5
+$as_echo "$ac_cv_c_inline" >&6; }
+
+case $ac_cv_c_inline in
+  inline | yes) ;;
+  *)
+    case $ac_cv_c_inline in
+      no) ac_val=;;
+      *) ac_val=$ac_cv_c_inline;;
+    esac
+    cat >>confdefs.h <<_ACEOF
+#ifndef __cplusplus
+#define inline $ac_val
+#endif
+_ACEOF
+    ;;
+esac
+
+ac_fn_c_find_intX_t "$LINENO" "16" "ac_cv_c_int16_t"
+case $ac_cv_c_int16_t in #(
+  no|yes) ;; #(
+  *)
+
+cat >>confdefs.h <<_ACEOF
+#define int16_t $ac_cv_c_int16_t
+_ACEOF
+;;
+esac
+
+ac_fn_c_find_intX_t "$LINENO" "32" "ac_cv_c_int32_t"
+case $ac_cv_c_int32_t in #(
+  no|yes) ;; #(
+  *)
+
+cat >>confdefs.h <<_ACEOF
+#define int32_t $ac_cv_c_int32_t
+_ACEOF
+;;
+esac
+
+ac_fn_c_find_intX_t "$LINENO" "8" "ac_cv_c_int8_t"
+case $ac_cv_c_int8_t in #(
+  no|yes) ;; #(
+  *)
+
+cat >>confdefs.h <<_ACEOF
+#define int8_t $ac_cv_c_int8_t
+_ACEOF
+;;
+esac
+
+ac_fn_c_check_type "$LINENO" "size_t" "ac_cv_type_size_t" "$ac_includes_default"
+if test "x$ac_cv_type_size_t" = x""yes; then :
+
+else
+
+cat >>confdefs.h <<_ACEOF
+#define size_t unsigned int
+_ACEOF
+
+fi
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking whether struct tm is in sys/time.h or time.h" >&5
+$as_echo_n "checking whether struct tm is in sys/time.h or time.h... " >&6; }
+if test "${ac_cv_struct_tm+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+#include <sys/types.h>
+#include <time.h>
+
+int
+main ()
+{
+struct tm tm;
+				     int *p = &tm.tm_sec;
+				     return !p;
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_c_try_compile "$LINENO"; then :
+  ac_cv_struct_tm=time.h
+else
+  ac_cv_struct_tm=sys/time.h
+fi
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_struct_tm" >&5
+$as_echo "$ac_cv_struct_tm" >&6; }
+if test $ac_cv_struct_tm = sys/time.h; then
+
+$as_echo "#define TM_IN_SYS_TIME 1" >>confdefs.h
+
+fi
+
+ac_fn_c_find_uintX_t "$LINENO" "16" "ac_cv_c_uint16_t"
+case $ac_cv_c_uint16_t in #(
+  no|yes) ;; #(
+  *)
+
+
+cat >>confdefs.h <<_ACEOF
+#define uint16_t $ac_cv_c_uint16_t
+_ACEOF
+;;
+  esac
+
+ac_fn_c_find_uintX_t "$LINENO" "32" "ac_cv_c_uint32_t"
+case $ac_cv_c_uint32_t in #(
+  no|yes) ;; #(
+  *)
+
+$as_echo "#define _UINT32_T 1" >>confdefs.h
+
+
+cat >>confdefs.h <<_ACEOF
+#define uint32_t $ac_cv_c_uint32_t
+_ACEOF
+;;
+  esac
+
+ac_fn_c_find_uintX_t "$LINENO" "8" "ac_cv_c_uint8_t"
+case $ac_cv_c_uint8_t in #(
+  no|yes) ;; #(
+  *)
+
+$as_echo "#define _UINT8_T 1" >>confdefs.h
+
+
+cat >>confdefs.h <<_ACEOF
+#define uint8_t $ac_cv_c_uint8_t
+_ACEOF
+;;
+  esac
+
+
+# Checks for library functions.
+for ac_header in stdlib.h
+do :
+  ac_fn_c_check_header_mongrel "$LINENO" "stdlib.h" "ac_cv_header_stdlib_h" "$ac_includes_default"
+if test "x$ac_cv_header_stdlib_h" = x""yes; then :
+  cat >>confdefs.h <<_ACEOF
+#define HAVE_STDLIB_H 1
+_ACEOF
+
+fi
+
+done
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for GNU libc compatible malloc" >&5
+$as_echo_n "checking for GNU libc compatible malloc... " >&6; }
+if test "${ac_cv_func_malloc_0_nonnull+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test "$cross_compiling" = yes; then :
+  ac_cv_func_malloc_0_nonnull=no
+else
+  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+#if defined STDC_HEADERS || defined HAVE_STDLIB_H
+# include <stdlib.h>
+#else
+char *malloc ();
+#endif
+
+int
+main ()
+{
+return ! malloc (0);
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_c_try_run "$LINENO"; then :
+  ac_cv_func_malloc_0_nonnull=yes
+else
+  ac_cv_func_malloc_0_nonnull=no
+fi
+rm -f core *.core core.conftest.* gmon.out bb.out conftest$ac_exeext \
+  conftest.$ac_objext conftest.beam conftest.$ac_ext
+fi
+
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_func_malloc_0_nonnull" >&5
+$as_echo "$ac_cv_func_malloc_0_nonnull" >&6; }
+if test $ac_cv_func_malloc_0_nonnull = yes; then :
+
+$as_echo "#define HAVE_MALLOC 1" >>confdefs.h
+
+else
+  $as_echo "#define HAVE_MALLOC 0" >>confdefs.h
+
+   case " $LIBOBJS " in
+  *" malloc.$ac_objext "* ) ;;
+  *) LIBOBJS="$LIBOBJS malloc.$ac_objext"
+ ;;
+esac
+
+
+$as_echo "#define malloc rpl_malloc" >>confdefs.h
+
+fi
+
+
+for ac_header in stdlib.h
+do :
+  ac_fn_c_check_header_mongrel "$LINENO" "stdlib.h" "ac_cv_header_stdlib_h" "$ac_includes_default"
+if test "x$ac_cv_header_stdlib_h" = x""yes; then :
+  cat >>confdefs.h <<_ACEOF
+#define HAVE_STDLIB_H 1
+_ACEOF
+
+fi
+
+done
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for GNU libc compatible realloc" >&5
+$as_echo_n "checking for GNU libc compatible realloc... " >&6; }
+if test "${ac_cv_func_realloc_0_nonnull+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test "$cross_compiling" = yes; then :
+  ac_cv_func_realloc_0_nonnull=no
+else
+  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+#if defined STDC_HEADERS || defined HAVE_STDLIB_H
+# include <stdlib.h>
+#else
+char *realloc ();
+#endif
+
+int
+main ()
+{
+return ! realloc (0, 0);
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_c_try_run "$LINENO"; then :
+  ac_cv_func_realloc_0_nonnull=yes
+else
+  ac_cv_func_realloc_0_nonnull=no
+fi
+rm -f core *.core core.conftest.* gmon.out bb.out conftest$ac_exeext \
+  conftest.$ac_objext conftest.beam conftest.$ac_ext
+fi
+
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_func_realloc_0_nonnull" >&5
+$as_echo "$ac_cv_func_realloc_0_nonnull" >&6; }
+if test $ac_cv_func_realloc_0_nonnull = yes; then :
+
+$as_echo "#define HAVE_REALLOC 1" >>confdefs.h
+
+else
+  $as_echo "#define HAVE_REALLOC 0" >>confdefs.h
+
+   case " $LIBOBJS " in
+  *" realloc.$ac_objext "* ) ;;
+  *) LIBOBJS="$LIBOBJS realloc.$ac_objext"
+ ;;
+esac
+
+
+$as_echo "#define realloc rpl_realloc" >>confdefs.h
+
+fi
+
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking whether lstat dereferences a symlink specified with a trailing slash" >&5
+$as_echo_n "checking whether lstat dereferences a symlink specified with a trailing slash... " >&6; }
+if test "${ac_cv_func_lstat_dereferences_slashed_symlink+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  rm -f conftest.sym conftest.file
+echo >conftest.file
+if test "$as_ln_s" = "ln -s" && ln -s conftest.file conftest.sym; then
+  if test "$cross_compiling" = yes; then :
+  ac_cv_func_lstat_dereferences_slashed_symlink=no
+else
+  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+$ac_includes_default
+int
+main ()
+{
+struct stat sbuf;
+     /* Linux will dereference the symlink and fail.
+	That is better in the sense that it means we will not
+	have to compile and use the lstat wrapper.  */
+     return lstat ("conftest.sym/", &sbuf) == 0;
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_c_try_run "$LINENO"; then :
+  ac_cv_func_lstat_dereferences_slashed_symlink=yes
+else
+  ac_cv_func_lstat_dereferences_slashed_symlink=no
+fi
+rm -f core *.core core.conftest.* gmon.out bb.out conftest$ac_exeext \
+  conftest.$ac_objext conftest.beam conftest.$ac_ext
+fi
+
+else
+  # If the `ln -s' command failed, then we probably don't even
+  # have an lstat function.
+  ac_cv_func_lstat_dereferences_slashed_symlink=no
+fi
+rm -f conftest.sym conftest.file
+
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_func_lstat_dereferences_slashed_symlink" >&5
+$as_echo "$ac_cv_func_lstat_dereferences_slashed_symlink" >&6; }
+
+test $ac_cv_func_lstat_dereferences_slashed_symlink = yes &&
+
+cat >>confdefs.h <<_ACEOF
+#define LSTAT_FOLLOWS_SLASHED_SYMLINK 1
+_ACEOF
+
+
+if test $ac_cv_func_lstat_dereferences_slashed_symlink = no; then
+  case " $LIBOBJS " in
+  *" lstat.$ac_objext "* ) ;;
+  *) LIBOBJS="$LIBOBJS lstat.$ac_objext"
+ ;;
+esac
+
+fi
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking whether stat accepts an empty string" >&5
+$as_echo_n "checking whether stat accepts an empty string... " >&6; }
+if test "${ac_cv_func_stat_empty_string_bug+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test "$cross_compiling" = yes; then :
+  ac_cv_func_stat_empty_string_bug=yes
+else
+  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+$ac_includes_default
+int
+main ()
+{
+struct stat sbuf;
+  return stat ("", &sbuf) == 0;
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_c_try_run "$LINENO"; then :
+  ac_cv_func_stat_empty_string_bug=no
+else
+  ac_cv_func_stat_empty_string_bug=yes
+fi
+rm -f core *.core core.conftest.* gmon.out bb.out conftest$ac_exeext \
+  conftest.$ac_objext conftest.beam conftest.$ac_ext
+fi
+
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_func_stat_empty_string_bug" >&5
+$as_echo "$ac_cv_func_stat_empty_string_bug" >&6; }
+if test $ac_cv_func_stat_empty_string_bug = yes; then
+  case " $LIBOBJS " in
+  *" stat.$ac_objext "* ) ;;
+  *) LIBOBJS="$LIBOBJS stat.$ac_objext"
+ ;;
+esac
+
+
+cat >>confdefs.h <<_ACEOF
+#define HAVE_STAT_EMPTY_STRING_BUG 1
+_ACEOF
+
+fi
+
+for ac_func in strftime
+do :
+  ac_fn_c_check_func "$LINENO" "strftime" "ac_cv_func_strftime"
+if test "x$ac_cv_func_strftime" = x""yes; then :
+  cat >>confdefs.h <<_ACEOF
+#define HAVE_STRFTIME 1
+_ACEOF
+
+else
+  # strftime is in -lintl on SCO UNIX.
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for strftime in -lintl" >&5
+$as_echo_n "checking for strftime in -lintl... " >&6; }
+if test "${ac_cv_lib_intl_strftime+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-lintl  $LIBS"
+cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char strftime ();
+int
+main ()
+{
+return strftime ();
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_c_try_link "$LINENO"; then :
+  ac_cv_lib_intl_strftime=yes
+else
+  ac_cv_lib_intl_strftime=no
+fi
+rm -f core conftest.err conftest.$ac_objext \
+    conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_lib_intl_strftime" >&5
+$as_echo "$ac_cv_lib_intl_strftime" >&6; }
+if test "x$ac_cv_lib_intl_strftime" = x""yes; then :
+  $as_echo "#define HAVE_STRFTIME 1" >>confdefs.h
+
+LIBS="-lintl $LIBS"
+fi
+
+fi
+done
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for working strtod" >&5
+$as_echo_n "checking for working strtod... " >&6; }
+if test "${ac_cv_func_strtod+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test "$cross_compiling" = yes; then :
+  ac_cv_func_strtod=no
+else
+  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+
+$ac_includes_default
+#ifndef strtod
+double strtod ();
+#endif
+int
+main()
+{
+  {
+    /* Some versions of Linux strtod mis-parse strings with leading '+'.  */
+    char *string = " +69";
+    char *term;
+    double value;
+    value = strtod (string, &term);
+    if (value != 69 || term != (string + 4))
+      return 1;
+  }
+
+  {
+    /* Under Solaris 2.4, strtod returns the wrong value for the
+       terminating character under some conditions.  */
+    char *string = "NaN";
+    char *term;
+    strtod (string, &term);
+    if (term != string && *(term - 1) == 0)
+      return 1;
+  }
+  return 0;
+}
+
+_ACEOF
+if ac_fn_c_try_run "$LINENO"; then :
+  ac_cv_func_strtod=yes
+else
+  ac_cv_func_strtod=no
+fi
+rm -f core *.core core.conftest.* gmon.out bb.out conftest$ac_exeext \
+  conftest.$ac_objext conftest.beam conftest.$ac_ext
+fi
+
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_func_strtod" >&5
+$as_echo "$ac_cv_func_strtod" >&6; }
+if test $ac_cv_func_strtod = no; then
+  case " $LIBOBJS " in
+  *" strtod.$ac_objext "* ) ;;
+  *) LIBOBJS="$LIBOBJS strtod.$ac_objext"
+ ;;
+esac
+
+ac_fn_c_check_func "$LINENO" "pow" "ac_cv_func_pow"
+if test "x$ac_cv_func_pow" = x""yes; then :
+
+fi
+
+if test $ac_cv_func_pow = no; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: checking for pow in -lm" >&5
+$as_echo_n "checking for pow in -lm... " >&6; }
+if test "${ac_cv_lib_m_pow+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-lm  $LIBS"
+cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char pow ();
+int
+main ()
+{
+return pow ();
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_c_try_link "$LINENO"; then :
+  ac_cv_lib_m_pow=yes
+else
+  ac_cv_lib_m_pow=no
+fi
+rm -f core conftest.err conftest.$ac_objext \
+    conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_lib_m_pow" >&5
+$as_echo "$ac_cv_lib_m_pow" >&6; }
+if test "x$ac_cv_lib_m_pow" = x""yes; then :
+  POW_LIB=-lm
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: cannot find library containing definition of pow" >&5
+$as_echo "$as_me: WARNING: cannot find library containing definition of pow" >&2;}
+fi
+
+fi
+
+fi
+
+for ac_func in floor memset putenv setenv strdup strstr strtol
+do :
+  as_ac_var=`$as_echo "ac_cv_func_$ac_func" | $as_tr_sh`
+ac_fn_c_check_func "$LINENO" "$ac_func" "$as_ac_var"
+eval as_val=\$$as_ac_var
+   if test "x$as_val" = x""yes; then :
+  cat >>confdefs.h <<_ACEOF
+#define `$as_echo "HAVE_$ac_func" | $as_tr_cpp` 1
+_ACEOF
+
+fi
+done
+
+
+# Libtool initialisation.
+# Make sure we can run config.sub.
+$SHELL "$ac_aux_dir/config.sub" sun4 >/dev/null 2>&1 ||
+  as_fn_error "cannot run $SHELL $ac_aux_dir/config.sub" "$LINENO" 5
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking build system type" >&5
+$as_echo_n "checking build system type... " >&6; }
+if test "${ac_cv_build+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  ac_build_alias=$build_alias
+test "x$ac_build_alias" = x &&
+  ac_build_alias=`$SHELL "$ac_aux_dir/config.guess"`
+test "x$ac_build_alias" = x &&
+  as_fn_error "cannot guess build type; you must specify one" "$LINENO" 5
+ac_cv_build=`$SHELL "$ac_aux_dir/config.sub" $ac_build_alias` ||
+  as_fn_error "$SHELL $ac_aux_dir/config.sub $ac_build_alias failed" "$LINENO" 5
+
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_build" >&5
+$as_echo "$ac_cv_build" >&6; }
+case $ac_cv_build in
+*-*-*) ;;
+*) as_fn_error "invalid value of canonical build" "$LINENO" 5;;
+esac
+build=$ac_cv_build
+ac_save_IFS=$IFS; IFS='-'
+set x $ac_cv_build
+shift
+build_cpu=$1
+build_vendor=$2
+shift; shift
+# Remember, the first character of IFS is used to create $*,
+# except with old shells:
+build_os=$*
+IFS=$ac_save_IFS
+case $build_os in *\ *) build_os=`echo "$build_os" | sed 's/ /-/g'`;; esac
+
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking host system type" >&5
+$as_echo_n "checking host system type... " >&6; }
+if test "${ac_cv_host+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test "x$host_alias" = x; then
+  ac_cv_host=$ac_cv_build
+else
+  ac_cv_host=`$SHELL "$ac_aux_dir/config.sub" $host_alias` ||
+    as_fn_error "$SHELL $ac_aux_dir/config.sub $host_alias failed" "$LINENO" 5
+fi
+
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_host" >&5
+$as_echo "$ac_cv_host" >&6; }
+case $ac_cv_host in
+*-*-*) ;;
+*) as_fn_error "invalid value of canonical host" "$LINENO" 5;;
+esac
+host=$ac_cv_host
+ac_save_IFS=$IFS; IFS='-'
+set x $ac_cv_host
+shift
+host_cpu=$1
+host_vendor=$2
+shift; shift
+# Remember, the first character of IFS is used to create $*,
+# except with old shells:
+host_os=$*
+IFS=$ac_save_IFS
+case $host_os in *\ *) host_os=`echo "$host_os" | sed 's/ /-/g'`;; esac
+
+
+enable_win32_dll=yes
+
+case $host in
+*-*-cygwin* | *-*-mingw* | *-*-pw32* | *-cegcc*)
+  if test -n "$ac_tool_prefix"; then
+  # Extract the first word of "${ac_tool_prefix}as", so it can be a program name with args.
+set dummy ${ac_tool_prefix}as; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_AS+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$AS"; then
+  ac_cv_prog_AS="$AS" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_AS="${ac_tool_prefix}as"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+AS=$ac_cv_prog_AS
+if test -n "$AS"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $AS" >&5
+$as_echo "$AS" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+fi
+if test -z "$ac_cv_prog_AS"; then
+  ac_ct_AS=$AS
+  # Extract the first word of "as", so it can be a program name with args.
+set dummy as; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_ac_ct_AS+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_AS"; then
+  ac_cv_prog_ac_ct_AS="$ac_ct_AS" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_ac_ct_AS="as"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_AS=$ac_cv_prog_ac_ct_AS
+if test -n "$ac_ct_AS"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_ct_AS" >&5
+$as_echo "$ac_ct_AS" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+  if test "x$ac_ct_AS" = x; then
+    AS="false"
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    AS=$ac_ct_AS
+  fi
+else
+  AS="$ac_cv_prog_AS"
+fi
+
+  if test -n "$ac_tool_prefix"; then
+  # Extract the first word of "${ac_tool_prefix}dlltool", so it can be a program name with args.
+set dummy ${ac_tool_prefix}dlltool; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_DLLTOOL+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$DLLTOOL"; then
+  ac_cv_prog_DLLTOOL="$DLLTOOL" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_DLLTOOL="${ac_tool_prefix}dlltool"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+DLLTOOL=$ac_cv_prog_DLLTOOL
+if test -n "$DLLTOOL"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $DLLTOOL" >&5
+$as_echo "$DLLTOOL" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+fi
+if test -z "$ac_cv_prog_DLLTOOL"; then
+  ac_ct_DLLTOOL=$DLLTOOL
+  # Extract the first word of "dlltool", so it can be a program name with args.
+set dummy dlltool; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_ac_ct_DLLTOOL+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_DLLTOOL"; then
+  ac_cv_prog_ac_ct_DLLTOOL="$ac_ct_DLLTOOL" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_ac_ct_DLLTOOL="dlltool"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_DLLTOOL=$ac_cv_prog_ac_ct_DLLTOOL
+if test -n "$ac_ct_DLLTOOL"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_ct_DLLTOOL" >&5
+$as_echo "$ac_ct_DLLTOOL" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+  if test "x$ac_ct_DLLTOOL" = x; then
+    DLLTOOL="false"
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    DLLTOOL=$ac_ct_DLLTOOL
+  fi
+else
+  DLLTOOL="$ac_cv_prog_DLLTOOL"
+fi
+
+  if test -n "$ac_tool_prefix"; then
+  # Extract the first word of "${ac_tool_prefix}objdump", so it can be a program name with args.
+set dummy ${ac_tool_prefix}objdump; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_OBJDUMP+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$OBJDUMP"; then
+  ac_cv_prog_OBJDUMP="$OBJDUMP" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_OBJDUMP="${ac_tool_prefix}objdump"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+OBJDUMP=$ac_cv_prog_OBJDUMP
+if test -n "$OBJDUMP"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $OBJDUMP" >&5
+$as_echo "$OBJDUMP" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+fi
+if test -z "$ac_cv_prog_OBJDUMP"; then
+  ac_ct_OBJDUMP=$OBJDUMP
+  # Extract the first word of "objdump", so it can be a program name with args.
+set dummy objdump; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_ac_ct_OBJDUMP+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_OBJDUMP"; then
+  ac_cv_prog_ac_ct_OBJDUMP="$ac_ct_OBJDUMP" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_ac_ct_OBJDUMP="objdump"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_OBJDUMP=$ac_cv_prog_ac_ct_OBJDUMP
+if test -n "$ac_ct_OBJDUMP"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_ct_OBJDUMP" >&5
+$as_echo "$ac_ct_OBJDUMP" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+  if test "x$ac_ct_OBJDUMP" = x; then
+    OBJDUMP="false"
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    OBJDUMP=$ac_ct_OBJDUMP
+  fi
+else
+  OBJDUMP="$ac_cv_prog_OBJDUMP"
+fi
+
+  ;;
+esac
+
+test -z "$AS" && AS=as
+
+
+
+
+
+test -z "$DLLTOOL" && DLLTOOL=dlltool
+
+
+
+
+
+test -z "$OBJDUMP" && OBJDUMP=objdump
+
+
+
+
+
+
+
+case `pwd` in
+  *\ * | *\	*)
+    { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: Libtool does not cope well with whitespace in \`pwd\`" >&5
+$as_echo "$as_me: WARNING: Libtool does not cope well with whitespace in \`pwd\`" >&2;} ;;
+esac
+
+
+
+macro_version='2.2.6b'
+macro_revision='1.3017'
+
+
+
+
+
+
+
+
+
+
+
+
+
+ltmain="$ac_aux_dir/ltmain.sh"
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for a sed that does not truncate output" >&5
+$as_echo_n "checking for a sed that does not truncate output... " >&6; }
+if test "${ac_cv_path_SED+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+            ac_script=s/aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa/bbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbb/
+     for ac_i in 1 2 3 4 5 6 7; do
+       ac_script="$ac_script$as_nl$ac_script"
+     done
+     echo "$ac_script" 2>/dev/null | sed 99q >conftest.sed
+     { ac_script=; unset ac_script;}
+     if test -z "$SED"; then
+  ac_path_SED_found=false
+  # Loop through the user's path and test for each of PROGNAME-LIST
+  as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_prog in sed gsed; do
+    for ac_exec_ext in '' $ac_executable_extensions; do
+      ac_path_SED="$as_dir/$ac_prog$ac_exec_ext"
+      { test -f "$ac_path_SED" && $as_test_x "$ac_path_SED"; } || continue
+# Check for GNU ac_path_SED and select it if it is found.
+  # Check for GNU $ac_path_SED
+case `"$ac_path_SED" --version 2>&1` in
+*GNU*)
+  ac_cv_path_SED="$ac_path_SED" ac_path_SED_found=:;;
+*)
+  ac_count=0
+  $as_echo_n 0123456789 >"conftest.in"
+  while :
+  do
+    cat "conftest.in" "conftest.in" >"conftest.tmp"
+    mv "conftest.tmp" "conftest.in"
+    cp "conftest.in" "conftest.nl"
+    $as_echo '' >> "conftest.nl"
+    "$ac_path_SED" -f conftest.sed < "conftest.nl" >"conftest.out" 2>/dev/null || break
+    diff "conftest.out" "conftest.nl" >/dev/null 2>&1 || break
+    as_fn_arith $ac_count + 1 && ac_count=$as_val
+    if test $ac_count -gt ${ac_path_SED_max-0}; then
+      # Best one so far, save it but keep looking for a better one
+      ac_cv_path_SED="$ac_path_SED"
+      ac_path_SED_max=$ac_count
+    fi
+    # 10*(2^10) chars as input seems more than enough
+    test $ac_count -gt 10 && break
+  done
+  rm -f conftest.in conftest.tmp conftest.nl conftest.out;;
+esac
+
+      $ac_path_SED_found && break 3
+    done
+  done
+  done
+IFS=$as_save_IFS
+  if test -z "$ac_cv_path_SED"; then
+    as_fn_error "no acceptable sed could be found in \$PATH" "$LINENO" 5
+  fi
+else
+  ac_cv_path_SED=$SED
+fi
+
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_path_SED" >&5
+$as_echo "$ac_cv_path_SED" >&6; }
+ SED="$ac_cv_path_SED"
+  rm -f conftest.sed
+
+test -z "$SED" && SED=sed
+Xsed="$SED -e 1s/^X//"
+
+
+
+
+
+
+
+
+
+
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for fgrep" >&5
+$as_echo_n "checking for fgrep... " >&6; }
+if test "${ac_cv_path_FGREP+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if echo 'ab*c' | $GREP -F 'ab*c' >/dev/null 2>&1
+   then ac_cv_path_FGREP="$GREP -F"
+   else
+     if test -z "$FGREP"; then
+  ac_path_FGREP_found=false
+  # Loop through the user's path and test for each of PROGNAME-LIST
+  as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH$PATH_SEPARATOR/usr/xpg4/bin
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_prog in fgrep; do
+    for ac_exec_ext in '' $ac_executable_extensions; do
+      ac_path_FGREP="$as_dir/$ac_prog$ac_exec_ext"
+      { test -f "$ac_path_FGREP" && $as_test_x "$ac_path_FGREP"; } || continue
+# Check for GNU ac_path_FGREP and select it if it is found.
+  # Check for GNU $ac_path_FGREP
+case `"$ac_path_FGREP" --version 2>&1` in
+*GNU*)
+  ac_cv_path_FGREP="$ac_path_FGREP" ac_path_FGREP_found=:;;
+*)
+  ac_count=0
+  $as_echo_n 0123456789 >"conftest.in"
+  while :
+  do
+    cat "conftest.in" "conftest.in" >"conftest.tmp"
+    mv "conftest.tmp" "conftest.in"
+    cp "conftest.in" "conftest.nl"
+    $as_echo 'FGREP' >> "conftest.nl"
+    "$ac_path_FGREP" FGREP < "conftest.nl" >"conftest.out" 2>/dev/null || break
+    diff "conftest.out" "conftest.nl" >/dev/null 2>&1 || break
+    as_fn_arith $ac_count + 1 && ac_count=$as_val
+    if test $ac_count -gt ${ac_path_FGREP_max-0}; then
+      # Best one so far, save it but keep looking for a better one
+      ac_cv_path_FGREP="$ac_path_FGREP"
+      ac_path_FGREP_max=$ac_count
+    fi
+    # 10*(2^10) chars as input seems more than enough
+    test $ac_count -gt 10 && break
+  done
+  rm -f conftest.in conftest.tmp conftest.nl conftest.out;;
+esac
+
+      $ac_path_FGREP_found && break 3
+    done
+  done
+  done
+IFS=$as_save_IFS
+  if test -z "$ac_cv_path_FGREP"; then
+    as_fn_error "no acceptable fgrep could be found in $PATH$PATH_SEPARATOR/usr/xpg4/bin" "$LINENO" 5
+  fi
+else
+  ac_cv_path_FGREP=$FGREP
+fi
+
+   fi
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_path_FGREP" >&5
+$as_echo "$ac_cv_path_FGREP" >&6; }
+ FGREP="$ac_cv_path_FGREP"
+
+
+test -z "$GREP" && GREP=grep
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+# Check whether --with-gnu-ld was given.
+if test "${with_gnu_ld+set}" = set; then :
+  withval=$with_gnu_ld; test "$withval" = no || with_gnu_ld=yes
+else
+  with_gnu_ld=no
+fi
+
+ac_prog=ld
+if test "$GCC" = yes; then
+  # Check if gcc -print-prog-name=ld gives a path.
+  { $as_echo "$as_me:${as_lineno-$LINENO}: checking for ld used by $CC" >&5
+$as_echo_n "checking for ld used by $CC... " >&6; }
+  case $host in
+  *-*-mingw*)
+    # gcc leaves a trailing carriage return which upsets mingw
+    ac_prog=`($CC -print-prog-name=ld) 2>&5 | tr -d '\015'` ;;
+  *)
+    ac_prog=`($CC -print-prog-name=ld) 2>&5` ;;
+  esac
+  case $ac_prog in
+    # Accept absolute paths.
+    [\\/]* | ?:[\\/]*)
+      re_direlt='/[^/][^/]*/\.\./'
+      # Canonicalize the pathname of ld
+      ac_prog=`$ECHO "$ac_prog"| $SED 's%\\\\%/%g'`
+      while $ECHO "$ac_prog" | $GREP "$re_direlt" > /dev/null 2>&1; do
+	ac_prog=`$ECHO $ac_prog| $SED "s%$re_direlt%/%"`
+      done
+      test -z "$LD" && LD="$ac_prog"
+      ;;
+  "")
+    # If it fails, then pretend we aren't using GCC.
+    ac_prog=ld
+    ;;
+  *)
+    # If it is relative, then search for the first ld in PATH.
+    with_gnu_ld=unknown
+    ;;
+  esac
+elif test "$with_gnu_ld" = yes; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: checking for GNU ld" >&5
+$as_echo_n "checking for GNU ld... " >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: checking for non-GNU ld" >&5
+$as_echo_n "checking for non-GNU ld... " >&6; }
+fi
+if test "${lt_cv_path_LD+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -z "$LD"; then
+  lt_save_ifs="$IFS"; IFS=$PATH_SEPARATOR
+  for ac_dir in $PATH; do
+    IFS="$lt_save_ifs"
+    test -z "$ac_dir" && ac_dir=.
+    if test -f "$ac_dir/$ac_prog" || test -f "$ac_dir/$ac_prog$ac_exeext"; then
+      lt_cv_path_LD="$ac_dir/$ac_prog"
+      # Check to see if the program is GNU ld.  I'd rather use --version,
+      # but apparently some variants of GNU ld only accept -v.
+      # Break only if it was the GNU/non-GNU ld that we prefer.
+      case `"$lt_cv_path_LD" -v 2>&1 </dev/null` in
+      *GNU* | *'with BFD'*)
+	test "$with_gnu_ld" != no && break
+	;;
+      *)
+	test "$with_gnu_ld" != yes && break
+	;;
+      esac
+    fi
+  done
+  IFS="$lt_save_ifs"
+else
+  lt_cv_path_LD="$LD" # Let the user override the test with a path.
+fi
+fi
+
+LD="$lt_cv_path_LD"
+if test -n "$LD"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $LD" >&5
+$as_echo "$LD" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+test -z "$LD" && as_fn_error "no acceptable ld found in \$PATH" "$LINENO" 5
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking if the linker ($LD) is GNU ld" >&5
+$as_echo_n "checking if the linker ($LD) is GNU ld... " >&6; }
+if test "${lt_cv_prog_gnu_ld+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  # I'd rather use --version here, but apparently some GNU lds only accept -v.
+case `$LD -v 2>&1 </dev/null` in
+*GNU* | *'with BFD'*)
+  lt_cv_prog_gnu_ld=yes
+  ;;
+*)
+  lt_cv_prog_gnu_ld=no
+  ;;
+esac
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $lt_cv_prog_gnu_ld" >&5
+$as_echo "$lt_cv_prog_gnu_ld" >&6; }
+with_gnu_ld=$lt_cv_prog_gnu_ld
+
+
+
+
+
+
+
+
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for BSD- or MS-compatible name lister (nm)" >&5
+$as_echo_n "checking for BSD- or MS-compatible name lister (nm)... " >&6; }
+if test "${lt_cv_path_NM+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$NM"; then
+  # Let the user override the test.
+  lt_cv_path_NM="$NM"
+else
+  lt_nm_to_check="${ac_tool_prefix}nm"
+  if test -n "$ac_tool_prefix" && test "$build" = "$host"; then
+    lt_nm_to_check="$lt_nm_to_check nm"
+  fi
+  for lt_tmp_nm in $lt_nm_to_check; do
+    lt_save_ifs="$IFS"; IFS=$PATH_SEPARATOR
+    for ac_dir in $PATH /usr/ccs/bin/elf /usr/ccs/bin /usr/ucb /bin; do
+      IFS="$lt_save_ifs"
+      test -z "$ac_dir" && ac_dir=.
+      tmp_nm="$ac_dir/$lt_tmp_nm"
+      if test -f "$tmp_nm" || test -f "$tmp_nm$ac_exeext" ; then
+	# Check to see if the nm accepts a BSD-compat flag.
+	# Adding the `sed 1q' prevents false positives on HP-UX, which says:
+	#   nm: unknown option "B" ignored
+	# Tru64's nm complains that /dev/null is an invalid object file
+	case `"$tmp_nm" -B /dev/null 2>&1 | sed '1q'` in
+	*/dev/null* | *'Invalid file or object type'*)
+	  lt_cv_path_NM="$tmp_nm -B"
+	  break
+	  ;;
+	*)
+	  case `"$tmp_nm" -p /dev/null 2>&1 | sed '1q'` in
+	  */dev/null*)
+	    lt_cv_path_NM="$tmp_nm -p"
+	    break
+	    ;;
+	  *)
+	    lt_cv_path_NM=${lt_cv_path_NM="$tmp_nm"} # keep the first match, but
+	    continue # so that we can try to find one that supports BSD flags
+	    ;;
+	  esac
+	  ;;
+	esac
+      fi
+    done
+    IFS="$lt_save_ifs"
+  done
+  : ${lt_cv_path_NM=no}
+fi
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $lt_cv_path_NM" >&5
+$as_echo "$lt_cv_path_NM" >&6; }
+if test "$lt_cv_path_NM" != "no"; then
+  NM="$lt_cv_path_NM"
+else
+  # Didn't find any BSD compatible name lister, look for dumpbin.
+  if test -n "$ac_tool_prefix"; then
+  for ac_prog in "dumpbin -symbols" "link -dump -symbols"
+  do
+    # Extract the first word of "$ac_tool_prefix$ac_prog", so it can be a program name with args.
+set dummy $ac_tool_prefix$ac_prog; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_DUMPBIN+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$DUMPBIN"; then
+  ac_cv_prog_DUMPBIN="$DUMPBIN" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_DUMPBIN="$ac_tool_prefix$ac_prog"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+DUMPBIN=$ac_cv_prog_DUMPBIN
+if test -n "$DUMPBIN"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $DUMPBIN" >&5
+$as_echo "$DUMPBIN" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+    test -n "$DUMPBIN" && break
+  done
+fi
+if test -z "$DUMPBIN"; then
+  ac_ct_DUMPBIN=$DUMPBIN
+  for ac_prog in "dumpbin -symbols" "link -dump -symbols"
+do
+  # Extract the first word of "$ac_prog", so it can be a program name with args.
+set dummy $ac_prog; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_ac_ct_DUMPBIN+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_DUMPBIN"; then
+  ac_cv_prog_ac_ct_DUMPBIN="$ac_ct_DUMPBIN" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_ac_ct_DUMPBIN="$ac_prog"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_DUMPBIN=$ac_cv_prog_ac_ct_DUMPBIN
+if test -n "$ac_ct_DUMPBIN"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_ct_DUMPBIN" >&5
+$as_echo "$ac_ct_DUMPBIN" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+  test -n "$ac_ct_DUMPBIN" && break
+done
+
+  if test "x$ac_ct_DUMPBIN" = x; then
+    DUMPBIN=":"
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    DUMPBIN=$ac_ct_DUMPBIN
+  fi
+fi
+
+
+  if test "$DUMPBIN" != ":"; then
+    NM="$DUMPBIN"
+  fi
+fi
+test -z "$NM" && NM=nm
+
+
+
+
+
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking the name lister ($NM) interface" >&5
+$as_echo_n "checking the name lister ($NM) interface... " >&6; }
+if test "${lt_cv_nm_interface+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  lt_cv_nm_interface="BSD nm"
+  echo "int some_variable = 0;" > conftest.$ac_ext
+  (eval echo "\"\$as_me:7120: $ac_compile\"" >&5)
+  (eval "$ac_compile" 2>conftest.err)
+  cat conftest.err >&5
+  (eval echo "\"\$as_me:7123: $NM \\\"conftest.$ac_objext\\\"\"" >&5)
+  (eval "$NM \"conftest.$ac_objext\"" 2>conftest.err > conftest.out)
+  cat conftest.err >&5
+  (eval echo "\"\$as_me:7126: output\"" >&5)
+  cat conftest.out >&5
+  if $GREP 'External.*some_variable' conftest.out > /dev/null; then
+    lt_cv_nm_interface="MS dumpbin"
+  fi
+  rm -f conftest*
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $lt_cv_nm_interface" >&5
+$as_echo "$lt_cv_nm_interface" >&6; }
+
+# find the maximum length of command line arguments
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking the maximum length of command line arguments" >&5
+$as_echo_n "checking the maximum length of command line arguments... " >&6; }
+if test "${lt_cv_sys_max_cmd_len+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+    i=0
+  teststring="ABCD"
+
+  case $build_os in
+  msdosdjgpp*)
+    # On DJGPP, this test can blow up pretty badly due to problems in libc
+    # (any single argument exceeding 2000 bytes causes a buffer overrun
+    # during glob expansion).  Even if it were fixed, the result of this
+    # check would be larger than it should be.
+    lt_cv_sys_max_cmd_len=12288;    # 12K is about right
+    ;;
+
+  gnu*)
+    # Under GNU Hurd, this test is not required because there is
+    # no limit to the length of command line arguments.
+    # Libtool will interpret -1 as no limit whatsoever
+    lt_cv_sys_max_cmd_len=-1;
+    ;;
+
+  cygwin* | mingw* | cegcc*)
+    # On Win9x/ME, this test blows up -- it succeeds, but takes
+    # about 5 minutes as the teststring grows exponentially.
+    # Worse, since 9x/ME are not pre-emptively multitasking,
+    # you end up with a "frozen" computer, even though with patience
+    # the test eventually succeeds (with a max line length of 256k).
+    # Instead, let's just punt: use the minimum linelength reported by
+    # all of the supported platforms: 8192 (on NT/2K/XP).
+    lt_cv_sys_max_cmd_len=8192;
+    ;;
+
+  amigaos*)
+    # On AmigaOS with pdksh, this test takes hours, literally.
+    # So we just punt and use a minimum line length of 8192.
+    lt_cv_sys_max_cmd_len=8192;
+    ;;
+
+  netbsd* | freebsd* | openbsd* | darwin* | dragonfly*)
+    # This has been around since 386BSD, at least.  Likely further.
+    if test -x /sbin/sysctl; then
+      lt_cv_sys_max_cmd_len=`/sbin/sysctl -n kern.argmax`
+    elif test -x /usr/sbin/sysctl; then
+      lt_cv_sys_max_cmd_len=`/usr/sbin/sysctl -n kern.argmax`
+    else
+      lt_cv_sys_max_cmd_len=65536	# usable default for all BSDs
+    fi
+    # And add a safety zone
+    lt_cv_sys_max_cmd_len=`expr $lt_cv_sys_max_cmd_len \/ 4`
+    lt_cv_sys_max_cmd_len=`expr $lt_cv_sys_max_cmd_len \* 3`
+    ;;
+
+  interix*)
+    # We know the value 262144 and hardcode it with a safety zone (like BSD)
+    lt_cv_sys_max_cmd_len=196608
+    ;;
+
+  osf*)
+    # Dr. Hans Ekkehard Plesser reports seeing a kernel panic running configure
+    # due to this test when exec_disable_arg_limit is 1 on Tru64. It is not
+    # nice to cause kernel panics so lets avoid the loop below.
+    # First set a reasonable default.
+    lt_cv_sys_max_cmd_len=16384
+    #
+    if test -x /sbin/sysconfig; then
+      case `/sbin/sysconfig -q proc exec_disable_arg_limit` in
+        *1*) lt_cv_sys_max_cmd_len=-1 ;;
+      esac
+    fi
+    ;;
+  sco3.2v5*)
+    lt_cv_sys_max_cmd_len=102400
+    ;;
+  sysv5* | sco5v6* | sysv4.2uw2*)
+    kargmax=`grep ARG_MAX /etc/conf/cf.d/stune 2>/dev/null`
+    if test -n "$kargmax"; then
+      lt_cv_sys_max_cmd_len=`echo $kargmax | sed 's/.*[	 ]//'`
+    else
+      lt_cv_sys_max_cmd_len=32768
+    fi
+    ;;
+  *)
+    lt_cv_sys_max_cmd_len=`(getconf ARG_MAX) 2> /dev/null`
+    if test -n "$lt_cv_sys_max_cmd_len"; then
+      lt_cv_sys_max_cmd_len=`expr $lt_cv_sys_max_cmd_len \/ 4`
+      lt_cv_sys_max_cmd_len=`expr $lt_cv_sys_max_cmd_len \* 3`
+    else
+      # Make teststring a little bigger before we do anything with it.
+      # a 1K string should be a reasonable start.
+      for i in 1 2 3 4 5 6 7 8 ; do
+        teststring=$teststring$teststring
+      done
+      SHELL=${SHELL-${CONFIG_SHELL-/bin/sh}}
+      # If test is not a shell built-in, we'll probably end up computing a
+      # maximum length that is only half of the actual maximum length, but
+      # we can't tell.
+      while { test "X"`$SHELL $0 --fallback-echo "X$teststring$teststring" 2>/dev/null` \
+	         = "XX$teststring$teststring"; } >/dev/null 2>&1 &&
+	      test $i != 17 # 1/2 MB should be enough
+      do
+        i=`expr $i + 1`
+        teststring=$teststring$teststring
+      done
+      # Only check the string length outside the loop.
+      lt_cv_sys_max_cmd_len=`expr "X$teststring" : ".*" 2>&1`
+      teststring=
+      # Add a significant safety factor because C++ compilers can tack on
+      # massive amounts of additional arguments before passing them to the
+      # linker.  It appears as though 1/2 is a usable value.
+      lt_cv_sys_max_cmd_len=`expr $lt_cv_sys_max_cmd_len \/ 2`
+    fi
+    ;;
+  esac
+
+fi
+
+if test -n $lt_cv_sys_max_cmd_len ; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $lt_cv_sys_max_cmd_len" >&5
+$as_echo "$lt_cv_sys_max_cmd_len" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: none" >&5
+$as_echo "none" >&6; }
+fi
+max_cmd_len=$lt_cv_sys_max_cmd_len
+
+
+
+
+
+
+: ${CP="cp -f"}
+: ${MV="mv -f"}
+: ${RM="rm -f"}
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking whether the shell understands some XSI constructs" >&5
+$as_echo_n "checking whether the shell understands some XSI constructs... " >&6; }
+# Try some XSI features
+xsi_shell=no
+( _lt_dummy="a/b/c"
+  test "${_lt_dummy##*/},${_lt_dummy%/*},"${_lt_dummy%"$_lt_dummy"}, \
+      = c,a/b,, \
+    && eval 'test $(( 1 + 1 )) -eq 2 \
+    && test "${#_lt_dummy}" -eq 5' ) >/dev/null 2>&1 \
+  && xsi_shell=yes
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $xsi_shell" >&5
+$as_echo "$xsi_shell" >&6; }
+
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking whether the shell understands \"+=\"" >&5
+$as_echo_n "checking whether the shell understands \"+=\"... " >&6; }
+lt_shell_append=no
+( foo=bar; set foo baz; eval "$1+=\$2" && test "$foo" = barbaz ) \
+    >/dev/null 2>&1 \
+  && lt_shell_append=yes
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $lt_shell_append" >&5
+$as_echo "$lt_shell_append" >&6; }
+
+
+if ( (MAIL=60; unset MAIL) || exit) >/dev/null 2>&1; then
+  lt_unset=unset
+else
+  lt_unset=false
+fi
+
+
+
+
+
+# test EBCDIC or ASCII
+case `echo X|tr X '\101'` in
+ A) # ASCII based system
+    # \n is not interpreted correctly by Solaris 8 /usr/ucb/tr
+  lt_SP2NL='tr \040 \012'
+  lt_NL2SP='tr \015\012 \040\040'
+  ;;
+ *) # EBCDIC based system
+  lt_SP2NL='tr \100 \n'
+  lt_NL2SP='tr \r\n \100\100'
+  ;;
+esac
+
+
+
+
+
+
+
+
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $LD option to reload object files" >&5
+$as_echo_n "checking for $LD option to reload object files... " >&6; }
+if test "${lt_cv_ld_reload_flag+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  lt_cv_ld_reload_flag='-r'
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $lt_cv_ld_reload_flag" >&5
+$as_echo "$lt_cv_ld_reload_flag" >&6; }
+reload_flag=$lt_cv_ld_reload_flag
+case $reload_flag in
+"" | " "*) ;;
+*) reload_flag=" $reload_flag" ;;
+esac
+reload_cmds='$LD$reload_flag -o $output$reload_objs'
+case $host_os in
+  darwin*)
+    if test "$GCC" = yes; then
+      reload_cmds='$LTCC $LTCFLAGS -nostdlib ${wl}-r -o $output$reload_objs'
+    else
+      reload_cmds='$LD$reload_flag -o $output$reload_objs'
+    fi
+    ;;
+esac
+
+
+
+
+
+
+
+
+
+if test -n "$ac_tool_prefix"; then
+  # Extract the first word of "${ac_tool_prefix}objdump", so it can be a program name with args.
+set dummy ${ac_tool_prefix}objdump; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_OBJDUMP+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$OBJDUMP"; then
+  ac_cv_prog_OBJDUMP="$OBJDUMP" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_OBJDUMP="${ac_tool_prefix}objdump"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+OBJDUMP=$ac_cv_prog_OBJDUMP
+if test -n "$OBJDUMP"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $OBJDUMP" >&5
+$as_echo "$OBJDUMP" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+fi
+if test -z "$ac_cv_prog_OBJDUMP"; then
+  ac_ct_OBJDUMP=$OBJDUMP
+  # Extract the first word of "objdump", so it can be a program name with args.
+set dummy objdump; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_ac_ct_OBJDUMP+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_OBJDUMP"; then
+  ac_cv_prog_ac_ct_OBJDUMP="$ac_ct_OBJDUMP" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_ac_ct_OBJDUMP="objdump"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_OBJDUMP=$ac_cv_prog_ac_ct_OBJDUMP
+if test -n "$ac_ct_OBJDUMP"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_ct_OBJDUMP" >&5
+$as_echo "$ac_ct_OBJDUMP" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+  if test "x$ac_ct_OBJDUMP" = x; then
+    OBJDUMP="false"
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    OBJDUMP=$ac_ct_OBJDUMP
+  fi
+else
+  OBJDUMP="$ac_cv_prog_OBJDUMP"
+fi
+
+test -z "$OBJDUMP" && OBJDUMP=objdump
+
+
+
+
+
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking how to recognize dependent libraries" >&5
+$as_echo_n "checking how to recognize dependent libraries... " >&6; }
+if test "${lt_cv_deplibs_check_method+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  lt_cv_file_magic_cmd='$MAGIC_CMD'
+lt_cv_file_magic_test_file=
+lt_cv_deplibs_check_method='unknown'
+# Need to set the preceding variable on all platforms that support
+# interlibrary dependencies.
+# 'none' -- dependencies not supported.
+# `unknown' -- same as none, but documents that we really don't know.
+# 'pass_all' -- all dependencies passed with no checks.
+# 'test_compile' -- check by making test program.
+# 'file_magic [[regex]]' -- check by looking for files in library path
+# which responds to the $file_magic_cmd with a given extended regex.
+# If you have `file' or equivalent on your system and you're not sure
+# whether `pass_all' will *always* work, you probably want this one.
+
+case $host_os in
+aix[4-9]*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+beos*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+bsdi[45]*)
+  lt_cv_deplibs_check_method='file_magic ELF [0-9][0-9]*-bit [ML]SB (shared object|dynamic lib)'
+  lt_cv_file_magic_cmd='/usr/bin/file -L'
+  lt_cv_file_magic_test_file=/shlib/libc.so
+  ;;
+
+cygwin*)
+  # func_win32_libid is a shell function defined in ltmain.sh
+  lt_cv_deplibs_check_method='file_magic ^x86 archive import|^x86 DLL'
+  lt_cv_file_magic_cmd='func_win32_libid'
+  ;;
+
+mingw* | pw32*)
+  # Base MSYS/MinGW do not provide the 'file' command needed by
+  # func_win32_libid shell function, so use a weaker test based on 'objdump',
+  # unless we find 'file', for example because we are cross-compiling.
+  if ( file / ) >/dev/null 2>&1; then
+    lt_cv_deplibs_check_method='file_magic ^x86 archive import|^x86 DLL'
+    lt_cv_file_magic_cmd='func_win32_libid'
+  else
+    lt_cv_deplibs_check_method='file_magic file format pei*-i386(.*architecture: i386)?'
+    lt_cv_file_magic_cmd='$OBJDUMP -f'
+  fi
+  ;;
+
+cegcc)
+  # use the weaker test based on 'objdump'. See mingw*.
+  lt_cv_deplibs_check_method='file_magic file format pe-arm-.*little(.*architecture: arm)?'
+  lt_cv_file_magic_cmd='$OBJDUMP -f'
+  ;;
+
+darwin* | rhapsody*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+freebsd* | dragonfly*)
+  if echo __ELF__ | $CC -E - | $GREP __ELF__ > /dev/null; then
+    case $host_cpu in
+    i*86 )
+      # Not sure whether the presence of OpenBSD here was a mistake.
+      # Let's accept both of them until this is cleared up.
+      lt_cv_deplibs_check_method='file_magic (FreeBSD|OpenBSD|DragonFly)/i[3-9]86 (compact )?demand paged shared library'
+      lt_cv_file_magic_cmd=/usr/bin/file
+      lt_cv_file_magic_test_file=`echo /usr/lib/libc.so.*`
+      ;;
+    esac
+  else
+    lt_cv_deplibs_check_method=pass_all
+  fi
+  ;;
+
+gnu*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+hpux10.20* | hpux11*)
+  lt_cv_file_magic_cmd=/usr/bin/file
+  case $host_cpu in
+  ia64*)
+    lt_cv_deplibs_check_method='file_magic (s[0-9][0-9][0-9]|ELF-[0-9][0-9]) shared object file - IA64'
+    lt_cv_file_magic_test_file=/usr/lib/hpux32/libc.so
+    ;;
+  hppa*64*)
+    lt_cv_deplibs_check_method='file_magic (s[0-9][0-9][0-9]|ELF-[0-9][0-9]) shared object file - PA-RISC [0-9].[0-9]'
+    lt_cv_file_magic_test_file=/usr/lib/pa20_64/libc.sl
+    ;;
+  *)
+    lt_cv_deplibs_check_method='file_magic (s[0-9][0-9][0-9]|PA-RISC[0-9].[0-9]) shared library'
+    lt_cv_file_magic_test_file=/usr/lib/libc.sl
+    ;;
+  esac
+  ;;
+
+interix[3-9]*)
+  # PIC code is broken on Interix 3.x, that's why |\.a not |_pic\.a here
+  lt_cv_deplibs_check_method='match_pattern /lib[^/]+(\.so|\.a)$'
+  ;;
+
+irix5* | irix6* | nonstopux*)
+  case $LD in
+  *-32|*"-32 ") libmagic=32-bit;;
+  *-n32|*"-n32 ") libmagic=N32;;
+  *-64|*"-64 ") libmagic=64-bit;;
+  *) libmagic=never-match;;
+  esac
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+# This must be Linux ELF.
+linux* | k*bsd*-gnu)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+netbsd*)
+  if echo __ELF__ | $CC -E - | $GREP __ELF__ > /dev/null; then
+    lt_cv_deplibs_check_method='match_pattern /lib[^/]+(\.so\.[0-9]+\.[0-9]+|_pic\.a)$'
+  else
+    lt_cv_deplibs_check_method='match_pattern /lib[^/]+(\.so|_pic\.a)$'
+  fi
+  ;;
+
+newos6*)
+  lt_cv_deplibs_check_method='file_magic ELF [0-9][0-9]*-bit [ML]SB (executable|dynamic lib)'
+  lt_cv_file_magic_cmd=/usr/bin/file
+  lt_cv_file_magic_test_file=/usr/lib/libnls.so
+  ;;
+
+*nto* | *qnx*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+openbsd*)
+  if test -z "`echo __ELF__ | $CC -E - | $GREP __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
+    lt_cv_deplibs_check_method='match_pattern /lib[^/]+(\.so\.[0-9]+\.[0-9]+|\.so|_pic\.a)$'
+  else
+    lt_cv_deplibs_check_method='match_pattern /lib[^/]+(\.so\.[0-9]+\.[0-9]+|_pic\.a)$'
+  fi
+  ;;
+
+osf3* | osf4* | osf5*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+rdos*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+solaris*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+sysv5* | sco3.2v5* | sco5v6* | unixware* | OpenUNIX* | sysv4*uw2*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+sysv4 | sysv4.3*)
+  case $host_vendor in
+  motorola)
+    lt_cv_deplibs_check_method='file_magic ELF [0-9][0-9]*-bit [ML]SB (shared object|dynamic lib) M[0-9][0-9]* Version [0-9]'
+    lt_cv_file_magic_test_file=`echo /usr/lib/libc.so*`
+    ;;
+  ncr)
+    lt_cv_deplibs_check_method=pass_all
+    ;;
+  sequent)
+    lt_cv_file_magic_cmd='/bin/file'
+    lt_cv_deplibs_check_method='file_magic ELF [0-9][0-9]*-bit [LM]SB (shared object|dynamic lib )'
+    ;;
+  sni)
+    lt_cv_file_magic_cmd='/bin/file'
+    lt_cv_deplibs_check_method="file_magic ELF [0-9][0-9]*-bit [LM]SB dynamic lib"
+    lt_cv_file_magic_test_file=/lib/libc.so
+    ;;
+  siemens)
+    lt_cv_deplibs_check_method=pass_all
+    ;;
+  pc)
+    lt_cv_deplibs_check_method=pass_all
+    ;;
+  esac
+  ;;
+
+tpf*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+esac
+
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $lt_cv_deplibs_check_method" >&5
+$as_echo "$lt_cv_deplibs_check_method" >&6; }
+file_magic_cmd=$lt_cv_file_magic_cmd
+deplibs_check_method=$lt_cv_deplibs_check_method
+test -z "$deplibs_check_method" && deplibs_check_method=unknown
+
+
+
+
+
+
+
+
+
+
+
+
+if test -n "$ac_tool_prefix"; then
+  # Extract the first word of "${ac_tool_prefix}ar", so it can be a program name with args.
+set dummy ${ac_tool_prefix}ar; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_AR+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$AR"; then
+  ac_cv_prog_AR="$AR" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_AR="${ac_tool_prefix}ar"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+AR=$ac_cv_prog_AR
+if test -n "$AR"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $AR" >&5
+$as_echo "$AR" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+fi
+if test -z "$ac_cv_prog_AR"; then
+  ac_ct_AR=$AR
+  # Extract the first word of "ar", so it can be a program name with args.
+set dummy ar; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_ac_ct_AR+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_AR"; then
+  ac_cv_prog_ac_ct_AR="$ac_ct_AR" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_ac_ct_AR="ar"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_AR=$ac_cv_prog_ac_ct_AR
+if test -n "$ac_ct_AR"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_ct_AR" >&5
+$as_echo "$ac_ct_AR" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+  if test "x$ac_ct_AR" = x; then
+    AR="false"
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    AR=$ac_ct_AR
+  fi
+else
+  AR="$ac_cv_prog_AR"
+fi
+
+test -z "$AR" && AR=ar
+test -z "$AR_FLAGS" && AR_FLAGS=cru
+
+
+
+
+
+
+
+
+
+
+
+if test -n "$ac_tool_prefix"; then
+  # Extract the first word of "${ac_tool_prefix}strip", so it can be a program name with args.
+set dummy ${ac_tool_prefix}strip; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_STRIP+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$STRIP"; then
+  ac_cv_prog_STRIP="$STRIP" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_STRIP="${ac_tool_prefix}strip"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+STRIP=$ac_cv_prog_STRIP
+if test -n "$STRIP"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $STRIP" >&5
+$as_echo "$STRIP" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+fi
+if test -z "$ac_cv_prog_STRIP"; then
+  ac_ct_STRIP=$STRIP
+  # Extract the first word of "strip", so it can be a program name with args.
+set dummy strip; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_ac_ct_STRIP+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_STRIP"; then
+  ac_cv_prog_ac_ct_STRIP="$ac_ct_STRIP" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_ac_ct_STRIP="strip"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_STRIP=$ac_cv_prog_ac_ct_STRIP
+if test -n "$ac_ct_STRIP"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_ct_STRIP" >&5
+$as_echo "$ac_ct_STRIP" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+  if test "x$ac_ct_STRIP" = x; then
+    STRIP=":"
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    STRIP=$ac_ct_STRIP
+  fi
+else
+  STRIP="$ac_cv_prog_STRIP"
+fi
+
+test -z "$STRIP" && STRIP=:
+
+
+
+
+
+
+if test -n "$ac_tool_prefix"; then
+  # Extract the first word of "${ac_tool_prefix}ranlib", so it can be a program name with args.
+set dummy ${ac_tool_prefix}ranlib; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_RANLIB+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$RANLIB"; then
+  ac_cv_prog_RANLIB="$RANLIB" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_RANLIB="${ac_tool_prefix}ranlib"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+RANLIB=$ac_cv_prog_RANLIB
+if test -n "$RANLIB"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $RANLIB" >&5
+$as_echo "$RANLIB" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+fi
+if test -z "$ac_cv_prog_RANLIB"; then
+  ac_ct_RANLIB=$RANLIB
+  # Extract the first word of "ranlib", so it can be a program name with args.
+set dummy ranlib; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_ac_ct_RANLIB+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_RANLIB"; then
+  ac_cv_prog_ac_ct_RANLIB="$ac_ct_RANLIB" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_ac_ct_RANLIB="ranlib"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_RANLIB=$ac_cv_prog_ac_ct_RANLIB
+if test -n "$ac_ct_RANLIB"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_ct_RANLIB" >&5
+$as_echo "$ac_ct_RANLIB" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+  if test "x$ac_ct_RANLIB" = x; then
+    RANLIB=":"
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    RANLIB=$ac_ct_RANLIB
+  fi
+else
+  RANLIB="$ac_cv_prog_RANLIB"
+fi
+
+test -z "$RANLIB" && RANLIB=:
+
+
+
+
+
+
+# Determine commands to create old-style static archives.
+old_archive_cmds='$AR $AR_FLAGS $oldlib$oldobjs'
+old_postinstall_cmds='chmod 644 $oldlib'
+old_postuninstall_cmds=
+
+if test -n "$RANLIB"; then
+  case $host_os in
+  openbsd*)
+    old_postinstall_cmds="$old_postinstall_cmds~\$RANLIB -t \$oldlib"
+    ;;
+  *)
+    old_postinstall_cmds="$old_postinstall_cmds~\$RANLIB \$oldlib"
+    ;;
+  esac
+  old_archive_cmds="$old_archive_cmds~\$RANLIB \$oldlib"
+fi
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+# If no C compiler was specified, use CC.
+LTCC=${LTCC-"$CC"}
+
+# If no C compiler flags were specified, use CFLAGS.
+LTCFLAGS=${LTCFLAGS-"$CFLAGS"}
+
+# Allow CC to be a program name with arguments.
+compiler=$CC
+
+
+# Check for command to grab the raw symbol name followed by C symbol from nm.
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking command to parse $NM output from $compiler object" >&5
+$as_echo_n "checking command to parse $NM output from $compiler object... " >&6; }
+if test "${lt_cv_sys_global_symbol_pipe+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+
+# These are sane defaults that work on at least a few old systems.
+# [They come from Ultrix.  What could be older than Ultrix?!! ;)]
+
+# Character class describing NM global symbol codes.
+symcode='[BCDEGRST]'
+
+# Regexp to match symbols that can be accessed directly from C.
+sympat='\([_A-Za-z][_A-Za-z0-9]*\)'
+
+# Define system-specific variables.
+case $host_os in
+aix*)
+  symcode='[BCDT]'
+  ;;
+cygwin* | mingw* | pw32* | cegcc*)
+  symcode='[ABCDGISTW]'
+  ;;
+hpux*)
+  if test "$host_cpu" = ia64; then
+    symcode='[ABCDEGRST]'
+  fi
+  ;;
+irix* | nonstopux*)
+  symcode='[BCDEGRST]'
+  ;;
+osf*)
+  symcode='[BCDEGQRST]'
+  ;;
+solaris*)
+  symcode='[BDRT]'
+  ;;
+sco3.2v5*)
+  symcode='[DT]'
+  ;;
+sysv4.2uw2*)
+  symcode='[DT]'
+  ;;
+sysv5* | sco5v6* | unixware* | OpenUNIX*)
+  symcode='[ABDT]'
+  ;;
+sysv4)
+  symcode='[DFNSTU]'
+  ;;
+esac
+
+# If we're using GNU nm, then use its standard symbol codes.
+case `$NM -V 2>&1` in
+*GNU* | *'with BFD'*)
+  symcode='[ABCDGIRSTW]' ;;
+esac
+
+# Transform an extracted symbol line into a proper C declaration.
+# Some systems (esp. on ia64) link data and code symbols differently,
+# so use this general approach.
+lt_cv_sys_global_symbol_to_cdecl="sed -n -e 's/^T .* \(.*\)$/extern int \1();/p' -e 's/^$symcode* .* \(.*\)$/extern char \1;/p'"
+
+# Transform an extracted symbol line into symbol name and symbol address
+lt_cv_sys_global_symbol_to_c_name_address="sed -n -e 's/^: \([^ ]*\) $/  {\\\"\1\\\", (void *) 0},/p' -e 's/^$symcode* \([^ ]*\) \([^ ]*\)$/  {\"\2\", (void *) \&\2},/p'"
+lt_cv_sys_global_symbol_to_c_name_address_lib_prefix="sed -n -e 's/^: \([^ ]*\) $/  {\\\"\1\\\", (void *) 0},/p' -e 's/^$symcode* \([^ ]*\) \(lib[^ ]*\)$/  {\"\2\", (void *) \&\2},/p' -e 's/^$symcode* \([^ ]*\) \([^ ]*\)$/  {\"lib\2\", (void *) \&\2},/p'"
+
+# Handle CRLF in mingw tool chain
+opt_cr=
+case $build_os in
+mingw*)
+  opt_cr=`$ECHO 'x\{0,1\}' | tr x '\015'` # option cr in regexp
+  ;;
+esac
+
+# Try without a prefix underscore, then with it.
+for ac_symprfx in "" "_"; do
+
+  # Transform symcode, sympat, and symprfx into a raw symbol and a C symbol.
+  symxfrm="\\1 $ac_symprfx\\2 \\2"
+
+  # Write the raw and C identifiers.
+  if test "$lt_cv_nm_interface" = "MS dumpbin"; then
+    # Fake it for dumpbin and say T for any non-static function
+    # and D for any global variable.
+    # Also find C++ and __fastcall symbols from MSVC++,
+    # which start with @ or ?.
+    lt_cv_sys_global_symbol_pipe="$AWK '"\
+"     {last_section=section; section=\$ 3};"\
+"     /Section length .*#relocs.*(pick any)/{hide[last_section]=1};"\
+"     \$ 0!~/External *\|/{next};"\
+"     / 0+ UNDEF /{next}; / UNDEF \([^|]\)*()/{next};"\
+"     {if(hide[section]) next};"\
+"     {f=0}; \$ 0~/\(\).*\|/{f=1}; {printf f ? \"T \" : \"D \"};"\
+"     {split(\$ 0, a, /\||\r/); split(a[2], s)};"\
+"     s[1]~/^[@?]/{print s[1], s[1]; next};"\
+"     s[1]~prfx {split(s[1],t,\"@\"); print t[1], substr(t[1],length(prfx))}"\
+"     ' prfx=^$ac_symprfx"
+  else
+    lt_cv_sys_global_symbol_pipe="sed -n -e 's/^.*[	 ]\($symcode$symcode*\)[	 ][	 ]*$ac_symprfx$sympat$opt_cr$/$symxfrm/p'"
+  fi
+
+  # Check to see that the pipe works correctly.
+  pipe_works=no
+
+  rm -f conftest*
+  cat > conftest.$ac_ext <<_LT_EOF
+#ifdef __cplusplus
+extern "C" {
+#endif
+char nm_test_var;
+void nm_test_func(void);
+void nm_test_func(void){}
+#ifdef __cplusplus
+}
+#endif
+int main(){nm_test_var='a';nm_test_func();return(0);}
+_LT_EOF
+
+  if { { eval echo "\"\$as_me\":${as_lineno-$LINENO}: \"$ac_compile\""; } >&5
+  (eval $ac_compile) 2>&5
+  ac_status=$?
+  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
+  test $ac_status = 0; }; then
+    # Now try to grab the symbols.
+    nlist=conftest.nm
+    if { { eval echo "\"\$as_me\":${as_lineno-$LINENO}: \"$NM conftest.$ac_objext \| $lt_cv_sys_global_symbol_pipe \> $nlist\""; } >&5
+  (eval $NM conftest.$ac_objext \| $lt_cv_sys_global_symbol_pipe \> $nlist) 2>&5
+  ac_status=$?
+  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
+  test $ac_status = 0; } && test -s "$nlist"; then
+      # Try sorting and uniquifying the output.
+      if sort "$nlist" | uniq > "$nlist"T; then
+	mv -f "$nlist"T "$nlist"
+      else
+	rm -f "$nlist"T
+      fi
+
+      # Make sure that we snagged all the symbols we need.
+      if $GREP ' nm_test_var$' "$nlist" >/dev/null; then
+	if $GREP ' nm_test_func$' "$nlist" >/dev/null; then
+	  cat <<_LT_EOF > conftest.$ac_ext
+#ifdef __cplusplus
+extern "C" {
+#endif
+
+_LT_EOF
+	  # Now generate the symbol file.
+	  eval "$lt_cv_sys_global_symbol_to_cdecl"' < "$nlist" | $GREP -v main >> conftest.$ac_ext'
+
+	  cat <<_LT_EOF >> conftest.$ac_ext
+
+/* The mapping between symbol names and symbols.  */
+const struct {
+  const char *name;
+  void       *address;
+}
+lt__PROGRAM__LTX_preloaded_symbols[] =
+{
+  { "@PROGRAM@", (void *) 0 },
+_LT_EOF
+	  $SED "s/^$symcode$symcode* \(.*\) \(.*\)$/  {\"\2\", (void *) \&\2},/" < "$nlist" | $GREP -v main >> conftest.$ac_ext
+	  cat <<\_LT_EOF >> conftest.$ac_ext
+  {0, (void *) 0}
+};
+
+/* This works around a problem in FreeBSD linker */
+#ifdef FREEBSD_WORKAROUND
+static const void *lt_preloaded_setup() {
+  return lt__PROGRAM__LTX_preloaded_symbols;
+}
+#endif
+
+#ifdef __cplusplus
+}
+#endif
+_LT_EOF
+	  # Now try linking the two files.
+	  mv conftest.$ac_objext conftstm.$ac_objext
+	  lt_save_LIBS="$LIBS"
+	  lt_save_CFLAGS="$CFLAGS"
+	  LIBS="conftstm.$ac_objext"
+	  CFLAGS="$CFLAGS$lt_prog_compiler_no_builtin_flag"
+	  if { { eval echo "\"\$as_me\":${as_lineno-$LINENO}: \"$ac_link\""; } >&5
+  (eval $ac_link) 2>&5
+  ac_status=$?
+  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
+  test $ac_status = 0; } && test -s conftest${ac_exeext}; then
+	    pipe_works=yes
+	  fi
+	  LIBS="$lt_save_LIBS"
+	  CFLAGS="$lt_save_CFLAGS"
+	else
+	  echo "cannot find nm_test_func in $nlist" >&5
+	fi
+      else
+	echo "cannot find nm_test_var in $nlist" >&5
+      fi
+    else
+      echo "cannot run $lt_cv_sys_global_symbol_pipe" >&5
+    fi
+  else
+    echo "$progname: failed program was:" >&5
+    cat conftest.$ac_ext >&5
+  fi
+  rm -rf conftest* conftst*
+
+  # Do not use the global_symbol_pipe unless it works.
+  if test "$pipe_works" = yes; then
+    break
+  else
+    lt_cv_sys_global_symbol_pipe=
+  fi
+done
+
+fi
+
+if test -z "$lt_cv_sys_global_symbol_pipe"; then
+  lt_cv_sys_global_symbol_to_cdecl=
+fi
+if test -z "$lt_cv_sys_global_symbol_pipe$lt_cv_sys_global_symbol_to_cdecl"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: failed" >&5
+$as_echo "failed" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: ok" >&5
+$as_echo "ok" >&6; }
+fi
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+# Check whether --enable-libtool-lock was given.
+if test "${enable_libtool_lock+set}" = set; then :
+  enableval=$enable_libtool_lock;
+fi
+
+test "x$enable_libtool_lock" != xno && enable_libtool_lock=yes
+
+# Some flags need to be propagated to the compiler or linker for good
+# libtool support.
+case $host in
+ia64-*-hpux*)
+  # Find out which ABI we are using.
+  echo 'int i;' > conftest.$ac_ext
+  if { { eval echo "\"\$as_me\":${as_lineno-$LINENO}: \"$ac_compile\""; } >&5
+  (eval $ac_compile) 2>&5
+  ac_status=$?
+  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
+  test $ac_status = 0; }; then
+    case `/usr/bin/file conftest.$ac_objext` in
+      *ELF-32*)
+	HPUX_IA64_MODE="32"
+	;;
+      *ELF-64*)
+	HPUX_IA64_MODE="64"
+	;;
+    esac
+  fi
+  rm -rf conftest*
+  ;;
+*-*-irix6*)
+  # Find out which ABI we are using.
+  echo '#line 8317 "configure"' > conftest.$ac_ext
+  if { { eval echo "\"\$as_me\":${as_lineno-$LINENO}: \"$ac_compile\""; } >&5
+  (eval $ac_compile) 2>&5
+  ac_status=$?
+  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
+  test $ac_status = 0; }; then
+    if test "$lt_cv_prog_gnu_ld" = yes; then
+      case `/usr/bin/file conftest.$ac_objext` in
+	*32-bit*)
+	  LD="${LD-ld} -melf32bsmip"
+	  ;;
+	*N32*)
+	  LD="${LD-ld} -melf32bmipn32"
+	  ;;
+	*64-bit*)
+	  LD="${LD-ld} -melf64bmip"
+	;;
+      esac
+    else
+      case `/usr/bin/file conftest.$ac_objext` in
+	*32-bit*)
+	  LD="${LD-ld} -32"
+	  ;;
+	*N32*)
+	  LD="${LD-ld} -n32"
+	  ;;
+	*64-bit*)
+	  LD="${LD-ld} -64"
+	  ;;
+      esac
+    fi
+  fi
+  rm -rf conftest*
+  ;;
+
+x86_64-*kfreebsd*-gnu|x86_64-*linux*|ppc*-*linux*|powerpc*-*linux*| \
+s390*-*linux*|s390*-*tpf*|sparc*-*linux*)
+  # Find out which ABI we are using.
+  echo 'int i;' > conftest.$ac_ext
+  if { { eval echo "\"\$as_me\":${as_lineno-$LINENO}: \"$ac_compile\""; } >&5
+  (eval $ac_compile) 2>&5
+  ac_status=$?
+  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
+  test $ac_status = 0; }; then
+    case `/usr/bin/file conftest.o` in
+      *32-bit*)
+	case $host in
+	  x86_64-*kfreebsd*-gnu)
+	    LD="${LD-ld} -m elf_i386_fbsd"
+	    ;;
+	  x86_64-*linux*)
+	    LD="${LD-ld} -m elf_i386"
+	    ;;
+	  ppc64-*linux*|powerpc64-*linux*)
+	    LD="${LD-ld} -m elf32ppclinux"
+	    ;;
+	  s390x-*linux*)
+	    LD="${LD-ld} -m elf_s390"
+	    ;;
+	  sparc64-*linux*)
+	    LD="${LD-ld} -m elf32_sparc"
+	    ;;
+	esac
+	;;
+      *64-bit*)
+	case $host in
+	  x86_64-*kfreebsd*-gnu)
+	    LD="${LD-ld} -m elf_x86_64_fbsd"
+	    ;;
+	  x86_64-*linux*)
+	    LD="${LD-ld} -m elf_x86_64"
+	    ;;
+	  ppc*-*linux*|powerpc*-*linux*)
+	    LD="${LD-ld} -m elf64ppc"
+	    ;;
+	  s390*-*linux*|s390*-*tpf*)
+	    LD="${LD-ld} -m elf64_s390"
+	    ;;
+	  sparc*-*linux*)
+	    LD="${LD-ld} -m elf64_sparc"
+	    ;;
+	esac
+	;;
+    esac
+  fi
+  rm -rf conftest*
+  ;;
+
+*-*-sco3.2v5*)
+  # On SCO OpenServer 5, we need -belf to get full-featured binaries.
+  SAVE_CFLAGS="$CFLAGS"
+  CFLAGS="$CFLAGS -belf"
+  { $as_echo "$as_me:${as_lineno-$LINENO}: checking whether the C compiler needs -belf" >&5
+$as_echo_n "checking whether the C compiler needs -belf... " >&6; }
+if test "${lt_cv_cc_needs_belf+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+     cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_c_try_link "$LINENO"; then :
+  lt_cv_cc_needs_belf=yes
+else
+  lt_cv_cc_needs_belf=no
+fi
+rm -f core conftest.err conftest.$ac_objext \
+    conftest$ac_exeext conftest.$ac_ext
+     ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $lt_cv_cc_needs_belf" >&5
+$as_echo "$lt_cv_cc_needs_belf" >&6; }
+  if test x"$lt_cv_cc_needs_belf" != x"yes"; then
+    # this is probably gcc 2.8.0, egcs 1.0 or newer; no need for -belf
+    CFLAGS="$SAVE_CFLAGS"
+  fi
+  ;;
+sparc*-*solaris*)
+  # Find out which ABI we are using.
+  echo 'int i;' > conftest.$ac_ext
+  if { { eval echo "\"\$as_me\":${as_lineno-$LINENO}: \"$ac_compile\""; } >&5
+  (eval $ac_compile) 2>&5
+  ac_status=$?
+  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
+  test $ac_status = 0; }; then
+    case `/usr/bin/file conftest.o` in
+    *64-bit*)
+      case $lt_cv_prog_gnu_ld in
+      yes*) LD="${LD-ld} -m elf64_sparc" ;;
+      *)
+	if ${LD-ld} -64 -r -o conftest2.o conftest.o >/dev/null 2>&1; then
+	  LD="${LD-ld} -64"
+	fi
+	;;
+      esac
+      ;;
+    esac
+  fi
+  rm -rf conftest*
+  ;;
+esac
+
+need_locks="$enable_libtool_lock"
+
+
+  case $host_os in
+    rhapsody* | darwin*)
+    if test -n "$ac_tool_prefix"; then
+  # Extract the first word of "${ac_tool_prefix}dsymutil", so it can be a program name with args.
+set dummy ${ac_tool_prefix}dsymutil; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_DSYMUTIL+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$DSYMUTIL"; then
+  ac_cv_prog_DSYMUTIL="$DSYMUTIL" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_DSYMUTIL="${ac_tool_prefix}dsymutil"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+DSYMUTIL=$ac_cv_prog_DSYMUTIL
+if test -n "$DSYMUTIL"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $DSYMUTIL" >&5
+$as_echo "$DSYMUTIL" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+fi
+if test -z "$ac_cv_prog_DSYMUTIL"; then
+  ac_ct_DSYMUTIL=$DSYMUTIL
+  # Extract the first word of "dsymutil", so it can be a program name with args.
+set dummy dsymutil; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_ac_ct_DSYMUTIL+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_DSYMUTIL"; then
+  ac_cv_prog_ac_ct_DSYMUTIL="$ac_ct_DSYMUTIL" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_ac_ct_DSYMUTIL="dsymutil"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_DSYMUTIL=$ac_cv_prog_ac_ct_DSYMUTIL
+if test -n "$ac_ct_DSYMUTIL"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_ct_DSYMUTIL" >&5
+$as_echo "$ac_ct_DSYMUTIL" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+  if test "x$ac_ct_DSYMUTIL" = x; then
+    DSYMUTIL=":"
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    DSYMUTIL=$ac_ct_DSYMUTIL
+  fi
+else
+  DSYMUTIL="$ac_cv_prog_DSYMUTIL"
+fi
+
+    if test -n "$ac_tool_prefix"; then
+  # Extract the first word of "${ac_tool_prefix}nmedit", so it can be a program name with args.
+set dummy ${ac_tool_prefix}nmedit; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_NMEDIT+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$NMEDIT"; then
+  ac_cv_prog_NMEDIT="$NMEDIT" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_NMEDIT="${ac_tool_prefix}nmedit"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+NMEDIT=$ac_cv_prog_NMEDIT
+if test -n "$NMEDIT"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $NMEDIT" >&5
+$as_echo "$NMEDIT" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+fi
+if test -z "$ac_cv_prog_NMEDIT"; then
+  ac_ct_NMEDIT=$NMEDIT
+  # Extract the first word of "nmedit", so it can be a program name with args.
+set dummy nmedit; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_ac_ct_NMEDIT+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_NMEDIT"; then
+  ac_cv_prog_ac_ct_NMEDIT="$ac_ct_NMEDIT" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_ac_ct_NMEDIT="nmedit"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_NMEDIT=$ac_cv_prog_ac_ct_NMEDIT
+if test -n "$ac_ct_NMEDIT"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_ct_NMEDIT" >&5
+$as_echo "$ac_ct_NMEDIT" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+  if test "x$ac_ct_NMEDIT" = x; then
+    NMEDIT=":"
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    NMEDIT=$ac_ct_NMEDIT
+  fi
+else
+  NMEDIT="$ac_cv_prog_NMEDIT"
+fi
+
+    if test -n "$ac_tool_prefix"; then
+  # Extract the first word of "${ac_tool_prefix}lipo", so it can be a program name with args.
+set dummy ${ac_tool_prefix}lipo; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_LIPO+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$LIPO"; then
+  ac_cv_prog_LIPO="$LIPO" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_LIPO="${ac_tool_prefix}lipo"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+LIPO=$ac_cv_prog_LIPO
+if test -n "$LIPO"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $LIPO" >&5
+$as_echo "$LIPO" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+fi
+if test -z "$ac_cv_prog_LIPO"; then
+  ac_ct_LIPO=$LIPO
+  # Extract the first word of "lipo", so it can be a program name with args.
+set dummy lipo; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_ac_ct_LIPO+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_LIPO"; then
+  ac_cv_prog_ac_ct_LIPO="$ac_ct_LIPO" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_ac_ct_LIPO="lipo"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_LIPO=$ac_cv_prog_ac_ct_LIPO
+if test -n "$ac_ct_LIPO"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_ct_LIPO" >&5
+$as_echo "$ac_ct_LIPO" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+  if test "x$ac_ct_LIPO" = x; then
+    LIPO=":"
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    LIPO=$ac_ct_LIPO
+  fi
+else
+  LIPO="$ac_cv_prog_LIPO"
+fi
+
+    if test -n "$ac_tool_prefix"; then
+  # Extract the first word of "${ac_tool_prefix}otool", so it can be a program name with args.
+set dummy ${ac_tool_prefix}otool; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_OTOOL+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$OTOOL"; then
+  ac_cv_prog_OTOOL="$OTOOL" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_OTOOL="${ac_tool_prefix}otool"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+OTOOL=$ac_cv_prog_OTOOL
+if test -n "$OTOOL"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $OTOOL" >&5
+$as_echo "$OTOOL" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+fi
+if test -z "$ac_cv_prog_OTOOL"; then
+  ac_ct_OTOOL=$OTOOL
+  # Extract the first word of "otool", so it can be a program name with args.
+set dummy otool; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_ac_ct_OTOOL+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_OTOOL"; then
+  ac_cv_prog_ac_ct_OTOOL="$ac_ct_OTOOL" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_ac_ct_OTOOL="otool"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_OTOOL=$ac_cv_prog_ac_ct_OTOOL
+if test -n "$ac_ct_OTOOL"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_ct_OTOOL" >&5
+$as_echo "$ac_ct_OTOOL" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+  if test "x$ac_ct_OTOOL" = x; then
+    OTOOL=":"
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    OTOOL=$ac_ct_OTOOL
+  fi
+else
+  OTOOL="$ac_cv_prog_OTOOL"
+fi
+
+    if test -n "$ac_tool_prefix"; then
+  # Extract the first word of "${ac_tool_prefix}otool64", so it can be a program name with args.
+set dummy ${ac_tool_prefix}otool64; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_OTOOL64+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$OTOOL64"; then
+  ac_cv_prog_OTOOL64="$OTOOL64" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_OTOOL64="${ac_tool_prefix}otool64"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+OTOOL64=$ac_cv_prog_OTOOL64
+if test -n "$OTOOL64"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $OTOOL64" >&5
+$as_echo "$OTOOL64" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+fi
+if test -z "$ac_cv_prog_OTOOL64"; then
+  ac_ct_OTOOL64=$OTOOL64
+  # Extract the first word of "otool64", so it can be a program name with args.
+set dummy otool64; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_ac_ct_OTOOL64+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_OTOOL64"; then
+  ac_cv_prog_ac_ct_OTOOL64="$ac_ct_OTOOL64" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_ac_ct_OTOOL64="otool64"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_OTOOL64=$ac_cv_prog_ac_ct_OTOOL64
+if test -n "$ac_ct_OTOOL64"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_ct_OTOOL64" >&5
+$as_echo "$ac_ct_OTOOL64" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+  if test "x$ac_ct_OTOOL64" = x; then
+    OTOOL64=":"
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    OTOOL64=$ac_ct_OTOOL64
+  fi
+else
+  OTOOL64="$ac_cv_prog_OTOOL64"
+fi
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+    { $as_echo "$as_me:${as_lineno-$LINENO}: checking for -single_module linker flag" >&5
+$as_echo_n "checking for -single_module linker flag... " >&6; }
+if test "${lt_cv_apple_cc_single_mod+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  lt_cv_apple_cc_single_mod=no
+      if test -z "${LT_MULTI_MODULE}"; then
+	# By default we will add the -single_module flag. You can override
+	# by either setting the environment variable LT_MULTI_MODULE
+	# non-empty at configure time, or by adding -multi_module to the
+	# link flags.
+	rm -rf libconftest.dylib*
+	echo "int foo(void){return 1;}" > conftest.c
+	echo "$LTCC $LTCFLAGS $LDFLAGS -o libconftest.dylib \
+-dynamiclib -Wl,-single_module conftest.c" >&5
+	$LTCC $LTCFLAGS $LDFLAGS -o libconftest.dylib \
+	  -dynamiclib -Wl,-single_module conftest.c 2>conftest.err
+        _lt_result=$?
+	if test -f libconftest.dylib && test ! -s conftest.err && test $_lt_result = 0; then
+	  lt_cv_apple_cc_single_mod=yes
+	else
+	  cat conftest.err >&5
+	fi
+	rm -rf libconftest.dylib*
+	rm -f conftest.*
+      fi
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $lt_cv_apple_cc_single_mod" >&5
+$as_echo "$lt_cv_apple_cc_single_mod" >&6; }
+    { $as_echo "$as_me:${as_lineno-$LINENO}: checking for -exported_symbols_list linker flag" >&5
+$as_echo_n "checking for -exported_symbols_list linker flag... " >&6; }
+if test "${lt_cv_ld_exported_symbols_list+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  lt_cv_ld_exported_symbols_list=no
+      save_LDFLAGS=$LDFLAGS
+      echo "_main" > conftest.sym
+      LDFLAGS="$LDFLAGS -Wl,-exported_symbols_list,conftest.sym"
+      cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_c_try_link "$LINENO"; then :
+  lt_cv_ld_exported_symbols_list=yes
+else
+  lt_cv_ld_exported_symbols_list=no
+fi
+rm -f core conftest.err conftest.$ac_objext \
+    conftest$ac_exeext conftest.$ac_ext
+	LDFLAGS="$save_LDFLAGS"
+
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $lt_cv_ld_exported_symbols_list" >&5
+$as_echo "$lt_cv_ld_exported_symbols_list" >&6; }
+    case $host_os in
+    rhapsody* | darwin1.[012])
+      _lt_dar_allow_undefined='${wl}-undefined ${wl}suppress' ;;
+    darwin1.*)
+      _lt_dar_allow_undefined='${wl}-flat_namespace ${wl}-undefined ${wl}suppress' ;;
+    darwin*) # darwin 5.x on
+      # if running on 10.5 or later, the deployment target defaults
+      # to the OS version, if on x86, and 10.4, the deployment
+      # target defaults to 10.4. Don't you love it?
+      case ${MACOSX_DEPLOYMENT_TARGET-10.0},$host in
+	10.0,*86*-darwin8*|10.0,*-darwin[91]*)
+	  _lt_dar_allow_undefined='${wl}-undefined ${wl}dynamic_lookup' ;;
+	10.[012]*)
+	  _lt_dar_allow_undefined='${wl}-flat_namespace ${wl}-undefined ${wl}suppress' ;;
+	10.*)
+	  _lt_dar_allow_undefined='${wl}-undefined ${wl}dynamic_lookup' ;;
+      esac
+    ;;
+  esac
+    if test "$lt_cv_apple_cc_single_mod" = "yes"; then
+      _lt_dar_single_mod='$single_module'
+    fi
+    if test "$lt_cv_ld_exported_symbols_list" = "yes"; then
+      _lt_dar_export_syms=' ${wl}-exported_symbols_list,$output_objdir/${libname}-symbols.expsym'
+    else
+      _lt_dar_export_syms='~$NMEDIT -s $output_objdir/${libname}-symbols.expsym ${lib}'
+    fi
+    if test "$DSYMUTIL" != ":"; then
+      _lt_dsymutil='~$DSYMUTIL $lib || :'
+    else
+      _lt_dsymutil=
+    fi
+    ;;
+  esac
+
+for ac_header in dlfcn.h
+do :
+  ac_fn_c_check_header_compile "$LINENO" "dlfcn.h" "ac_cv_header_dlfcn_h" "$ac_includes_default
+"
+if test "x$ac_cv_header_dlfcn_h" = x""yes; then :
+  cat >>confdefs.h <<_ACEOF
+#define HAVE_DLFCN_H 1
+_ACEOF
+
+fi
+
+done
+
+
+
+ac_ext=cpp
+ac_cpp='$CXXCPP $CPPFLAGS'
+ac_compile='$CXX -c $CXXFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CXX -o conftest$ac_exeext $CXXFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_cxx_compiler_gnu
+if test -z "$CXX"; then
+  if test -n "$CCC"; then
+    CXX=$CCC
+  else
+    if test -n "$ac_tool_prefix"; then
+  for ac_prog in g++ c++ gpp aCC CC cxx cc++ cl.exe FCC KCC RCC xlC_r xlC
+  do
+    # Extract the first word of "$ac_tool_prefix$ac_prog", so it can be a program name with args.
+set dummy $ac_tool_prefix$ac_prog; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_CXX+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$CXX"; then
+  ac_cv_prog_CXX="$CXX" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_CXX="$ac_tool_prefix$ac_prog"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+CXX=$ac_cv_prog_CXX
+if test -n "$CXX"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $CXX" >&5
+$as_echo "$CXX" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+    test -n "$CXX" && break
+  done
+fi
+if test -z "$CXX"; then
+  ac_ct_CXX=$CXX
+  for ac_prog in g++ c++ gpp aCC CC cxx cc++ cl.exe FCC KCC RCC xlC_r xlC
+do
+  # Extract the first word of "$ac_prog", so it can be a program name with args.
+set dummy $ac_prog; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_ac_ct_CXX+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_CXX"; then
+  ac_cv_prog_ac_ct_CXX="$ac_ct_CXX" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_ac_ct_CXX="$ac_prog"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_CXX=$ac_cv_prog_ac_ct_CXX
+if test -n "$ac_ct_CXX"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_ct_CXX" >&5
+$as_echo "$ac_ct_CXX" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+  test -n "$ac_ct_CXX" && break
+done
+
+  if test "x$ac_ct_CXX" = x; then
+    CXX="g++"
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    CXX=$ac_ct_CXX
+  fi
+fi
+
+  fi
+fi
+# Provide some information about the compiler.
+$as_echo "$as_me:${as_lineno-$LINENO}: checking for C++ compiler version" >&5
+set X $ac_compile
+ac_compiler=$2
+for ac_option in --version -v -V -qversion; do
+  { { ac_try="$ac_compiler $ac_option >&5"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:${as_lineno-$LINENO}: $ac_try_echo\""
+$as_echo "$ac_try_echo"; } >&5
+  (eval "$ac_compiler $ac_option >&5") 2>conftest.err
+  ac_status=$?
+  if test -s conftest.err; then
+    sed '10a\
+... rest of stderr output deleted ...
+         10q' conftest.err >conftest.er1
+    cat conftest.er1 >&5
+    rm -f conftest.er1 conftest.err
+  fi
+  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
+  test $ac_status = 0; }
+done
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking whether we are using the GNU C++ compiler" >&5
+$as_echo_n "checking whether we are using the GNU C++ compiler... " >&6; }
+if test "${ac_cv_cxx_compiler_gnu+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+
+int
+main ()
+{
+#ifndef __GNUC__
+       choke me
+#endif
+
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_cxx_try_compile "$LINENO"; then :
+  ac_compiler_gnu=yes
+else
+  ac_compiler_gnu=no
+fi
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+ac_cv_cxx_compiler_gnu=$ac_compiler_gnu
+
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_cxx_compiler_gnu" >&5
+$as_echo "$ac_cv_cxx_compiler_gnu" >&6; }
+if test $ac_compiler_gnu = yes; then
+  GXX=yes
+else
+  GXX=
+fi
+ac_test_CXXFLAGS=${CXXFLAGS+set}
+ac_save_CXXFLAGS=$CXXFLAGS
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking whether $CXX accepts -g" >&5
+$as_echo_n "checking whether $CXX accepts -g... " >&6; }
+if test "${ac_cv_prog_cxx_g+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  ac_save_cxx_werror_flag=$ac_cxx_werror_flag
+   ac_cxx_werror_flag=yes
+   ac_cv_prog_cxx_g=no
+   CXXFLAGS="-g"
+   cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_cxx_try_compile "$LINENO"; then :
+  ac_cv_prog_cxx_g=yes
+else
+  CXXFLAGS=""
+      cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_cxx_try_compile "$LINENO"; then :
+
+else
+  ac_cxx_werror_flag=$ac_save_cxx_werror_flag
+	 CXXFLAGS="-g"
+	 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_cxx_try_compile "$LINENO"; then :
+  ac_cv_prog_cxx_g=yes
+fi
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+fi
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+fi
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+   ac_cxx_werror_flag=$ac_save_cxx_werror_flag
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_prog_cxx_g" >&5
+$as_echo "$ac_cv_prog_cxx_g" >&6; }
+if test "$ac_test_CXXFLAGS" = set; then
+  CXXFLAGS=$ac_save_CXXFLAGS
+elif test $ac_cv_prog_cxx_g = yes; then
+  if test "$GXX" = yes; then
+    CXXFLAGS="-g -O2"
+  else
+    CXXFLAGS="-g"
+  fi
+else
+  if test "$GXX" = yes; then
+    CXXFLAGS="-O2"
+  else
+    CXXFLAGS=
+  fi
+fi
+ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+if test -n "$CXX" && ( test "X$CXX" != "Xno" &&
+    ( (test "X$CXX" = "Xg++" && `g++ -v >/dev/null 2>&1` ) ||
+    (test "X$CXX" != "Xg++"))) ; then
+  ac_ext=cpp
+ac_cpp='$CXXCPP $CPPFLAGS'
+ac_compile='$CXX -c $CXXFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CXX -o conftest$ac_exeext $CXXFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_cxx_compiler_gnu
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking how to run the C++ preprocessor" >&5
+$as_echo_n "checking how to run the C++ preprocessor... " >&6; }
+if test -z "$CXXCPP"; then
+  if test "${ac_cv_prog_CXXCPP+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+      # Double quotes because CXXCPP needs to be expanded
+    for CXXCPP in "$CXX -E" "/lib/cpp"
+    do
+      ac_preproc_ok=false
+for ac_cxx_preproc_warn_flag in '' yes
+do
+  # Use a header file that comes with gcc, so configuring glibc
+  # with a fresh cross-compiler works.
+  # Prefer <limits.h> to <assert.h> if __STDC__ is defined, since
+  # <limits.h> exists even on freestanding compilers.
+  # On the NeXT, cc -E runs the code through the compiler's parser,
+  # not just through cpp. "Syntax error" is here to catch this case.
+  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+#ifdef __STDC__
+# include <limits.h>
+#else
+# include <assert.h>
+#endif
+		     Syntax error
+_ACEOF
+if ac_fn_cxx_try_cpp "$LINENO"; then :
+
+else
+  # Broken: fails on valid input.
+continue
+fi
+rm -f conftest.err conftest.$ac_ext
+
+  # OK, works on sane cases.  Now check whether nonexistent headers
+  # can be detected and how.
+  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+#include <ac_nonexistent.h>
+_ACEOF
+if ac_fn_cxx_try_cpp "$LINENO"; then :
+  # Broken: success on invalid input.
+continue
+else
+  # Passes both tests.
+ac_preproc_ok=:
+break
+fi
+rm -f conftest.err conftest.$ac_ext
+
+done
+# Because of `break', _AC_PREPROC_IFELSE's cleaning code was skipped.
+rm -f conftest.err conftest.$ac_ext
+if $ac_preproc_ok; then :
+  break
+fi
+
+    done
+    ac_cv_prog_CXXCPP=$CXXCPP
+
+fi
+  CXXCPP=$ac_cv_prog_CXXCPP
+else
+  ac_cv_prog_CXXCPP=$CXXCPP
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $CXXCPP" >&5
+$as_echo "$CXXCPP" >&6; }
+ac_preproc_ok=false
+for ac_cxx_preproc_warn_flag in '' yes
+do
+  # Use a header file that comes with gcc, so configuring glibc
+  # with a fresh cross-compiler works.
+  # Prefer <limits.h> to <assert.h> if __STDC__ is defined, since
+  # <limits.h> exists even on freestanding compilers.
+  # On the NeXT, cc -E runs the code through the compiler's parser,
+  # not just through cpp. "Syntax error" is here to catch this case.
+  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+#ifdef __STDC__
+# include <limits.h>
+#else
+# include <assert.h>
+#endif
+		     Syntax error
+_ACEOF
+if ac_fn_cxx_try_cpp "$LINENO"; then :
+
+else
+  # Broken: fails on valid input.
+continue
+fi
+rm -f conftest.err conftest.$ac_ext
+
+  # OK, works on sane cases.  Now check whether nonexistent headers
+  # can be detected and how.
+  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+#include <ac_nonexistent.h>
+_ACEOF
+if ac_fn_cxx_try_cpp "$LINENO"; then :
+  # Broken: success on invalid input.
+continue
+else
+  # Passes both tests.
+ac_preproc_ok=:
+break
+fi
+rm -f conftest.err conftest.$ac_ext
+
+done
+# Because of `break', _AC_PREPROC_IFELSE's cleaning code was skipped.
+rm -f conftest.err conftest.$ac_ext
+if $ac_preproc_ok; then :
+
+else
+  { { $as_echo "$as_me:${as_lineno-$LINENO}: error: in \`$ac_pwd':" >&5
+$as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
+_lt_caught_CXX_error=yes; }
+fi
+
+ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+else
+  _lt_caught_CXX_error=yes
+fi
+
+
+
+
+
+# Set options
+
+
+
+        enable_dlopen=no
+
+
+
+            # Check whether --enable-shared was given.
+if test "${enable_shared+set}" = set; then :
+  enableval=$enable_shared; p=${PACKAGE-default}
+    case $enableval in
+    yes) enable_shared=yes ;;
+    no) enable_shared=no ;;
+    *)
+      enable_shared=no
+      # Look at the argument we got.  We use all the common list separators.
+      lt_save_ifs="$IFS"; IFS="${IFS}$PATH_SEPARATOR,"
+      for pkg in $enableval; do
+	IFS="$lt_save_ifs"
+	if test "X$pkg" = "X$p"; then
+	  enable_shared=yes
+	fi
+      done
+      IFS="$lt_save_ifs"
+      ;;
+    esac
+else
+  enable_shared=yes
+fi
+
+
+
+
+
+
+
+
+
+  # Check whether --enable-static was given.
+if test "${enable_static+set}" = set; then :
+  enableval=$enable_static; p=${PACKAGE-default}
+    case $enableval in
+    yes) enable_static=yes ;;
+    no) enable_static=no ;;
+    *)
+     enable_static=no
+      # Look at the argument we got.  We use all the common list separators.
+      lt_save_ifs="$IFS"; IFS="${IFS}$PATH_SEPARATOR,"
+      for pkg in $enableval; do
+	IFS="$lt_save_ifs"
+	if test "X$pkg" = "X$p"; then
+	  enable_static=yes
+	fi
+      done
+      IFS="$lt_save_ifs"
+      ;;
+    esac
+else
+  enable_static=yes
+fi
+
+
+
+
+
+
+
+
+
+
+# Check whether --with-pic was given.
+if test "${with_pic+set}" = set; then :
+  withval=$with_pic; pic_mode="$withval"
+else
+  pic_mode=default
+fi
+
+
+test -z "$pic_mode" && pic_mode=default
+
+
+
+
+
+
+
+  # Check whether --enable-fast-install was given.
+if test "${enable_fast_install+set}" = set; then :
+  enableval=$enable_fast_install; p=${PACKAGE-default}
+    case $enableval in
+    yes) enable_fast_install=yes ;;
+    no) enable_fast_install=no ;;
+    *)
+      enable_fast_install=no
+      # Look at the argument we got.  We use all the common list separators.
+      lt_save_ifs="$IFS"; IFS="${IFS}$PATH_SEPARATOR,"
+      for pkg in $enableval; do
+	IFS="$lt_save_ifs"
+	if test "X$pkg" = "X$p"; then
+	  enable_fast_install=yes
+	fi
+      done
+      IFS="$lt_save_ifs"
+      ;;
+    esac
+else
+  enable_fast_install=yes
+fi
+
+
+
+
+
+
+
+
+
+
+
+# This can be used to rebuild libtool when needed
+LIBTOOL_DEPS="$ltmain"
+
+# Always use our own libtool.
+LIBTOOL='$(SHELL) $(top_builddir)/libtool'
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+test -z "$LN_S" && LN_S="ln -s"
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+if test -n "${ZSH_VERSION+set}" ; then
+   setopt NO_GLOB_SUBST
+fi
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for objdir" >&5
+$as_echo_n "checking for objdir... " >&6; }
+if test "${lt_cv_objdir+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  rm -f .libs 2>/dev/null
+mkdir .libs 2>/dev/null
+if test -d .libs; then
+  lt_cv_objdir=.libs
+else
+  # MS-DOS does not allow filenames that begin with a dot.
+  lt_cv_objdir=_libs
+fi
+rmdir .libs 2>/dev/null
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $lt_cv_objdir" >&5
+$as_echo "$lt_cv_objdir" >&6; }
+objdir=$lt_cv_objdir
+
+
+
+
+
+cat >>confdefs.h <<_ACEOF
+#define LT_OBJDIR "$lt_cv_objdir/"
+_ACEOF
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+case $host_os in
+aix3*)
+  # AIX sometimes has problems with the GCC collect2 program.  For some
+  # reason, if we set the COLLECT_NAMES environment variable, the problems
+  # vanish in a puff of smoke.
+  if test "X${COLLECT_NAMES+set}" != Xset; then
+    COLLECT_NAMES=
+    export COLLECT_NAMES
+  fi
+  ;;
+esac
+
+# Sed substitution that helps us do robust quoting.  It backslashifies
+# metacharacters that are still active within double-quoted strings.
+sed_quote_subst='s/\(["`$\\]\)/\\\1/g'
+
+# Same as above, but do not quote variable references.
+double_quote_subst='s/\(["`\\]\)/\\\1/g'
+
+# Sed substitution to delay expansion of an escaped shell variable in a
+# double_quote_subst'ed string.
+delay_variable_subst='s/\\\\\\\\\\\$/\\\\\\$/g'
+
+# Sed substitution to delay expansion of an escaped single quote.
+delay_single_quote_subst='s/'\''/'\'\\\\\\\'\''/g'
+
+# Sed substitution to avoid accidental globbing in evaled expressions
+no_glob_subst='s/\*/\\\*/g'
+
+# Global variables:
+ofile=libtool
+can_build_shared=yes
+
+# All known linkers require a `.a' archive for static linking (except MSVC,
+# which needs '.lib').
+libext=a
+
+with_gnu_ld="$lt_cv_prog_gnu_ld"
+
+old_CC="$CC"
+old_CFLAGS="$CFLAGS"
+
+# Set sane defaults for various variables
+test -z "$CC" && CC=cc
+test -z "$LTCC" && LTCC=$CC
+test -z "$LTCFLAGS" && LTCFLAGS=$CFLAGS
+test -z "$LD" && LD=ld
+test -z "$ac_objext" && ac_objext=o
+
+for cc_temp in $compiler""; do
+  case $cc_temp in
+    compile | *[\\/]compile | ccache | *[\\/]ccache ) ;;
+    distcc | *[\\/]distcc | purify | *[\\/]purify ) ;;
+    \-*) ;;
+    *) break;;
+  esac
+done
+cc_basename=`$ECHO "X$cc_temp" | $Xsed -e 's%.*/%%' -e "s%^$host_alias-%%"`
+
+
+# Only perform the check for file, if the check method requires it
+test -z "$MAGIC_CMD" && MAGIC_CMD=file
+case $deplibs_check_method in
+file_magic*)
+  if test "$file_magic_cmd" = '$MAGIC_CMD'; then
+    { $as_echo "$as_me:${as_lineno-$LINENO}: checking for ${ac_tool_prefix}file" >&5
+$as_echo_n "checking for ${ac_tool_prefix}file... " >&6; }
+if test "${lt_cv_path_MAGIC_CMD+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  case $MAGIC_CMD in
+[\\/*] |  ?:[\\/]*)
+  lt_cv_path_MAGIC_CMD="$MAGIC_CMD" # Let the user override the test with a path.
+  ;;
+*)
+  lt_save_MAGIC_CMD="$MAGIC_CMD"
+  lt_save_ifs="$IFS"; IFS=$PATH_SEPARATOR
+  ac_dummy="/usr/bin$PATH_SEPARATOR$PATH"
+  for ac_dir in $ac_dummy; do
+    IFS="$lt_save_ifs"
+    test -z "$ac_dir" && ac_dir=.
+    if test -f $ac_dir/${ac_tool_prefix}file; then
+      lt_cv_path_MAGIC_CMD="$ac_dir/${ac_tool_prefix}file"
+      if test -n "$file_magic_test_file"; then
+	case $deplibs_check_method in
+	"file_magic "*)
+	  file_magic_regex=`expr "$deplibs_check_method" : "file_magic \(.*\)"`
+	  MAGIC_CMD="$lt_cv_path_MAGIC_CMD"
+	  if eval $file_magic_cmd \$file_magic_test_file 2> /dev/null |
+	    $EGREP "$file_magic_regex" > /dev/null; then
+	    :
+	  else
+	    cat <<_LT_EOF 1>&2
+
+*** Warning: the command libtool uses to detect shared libraries,
+*** $file_magic_cmd, produces output that libtool cannot recognize.
+*** The result is that libtool may fail to recognize shared libraries
+*** as such.  This will affect the creation of libtool libraries that
+*** depend on shared libraries, but programs linked with such libtool
+*** libraries will work regardless of this problem.  Nevertheless, you
+*** may want to report the problem to your system manager and/or to
+*** bug-libtool at gnu.org
+
+_LT_EOF
+	  fi ;;
+	esac
+      fi
+      break
+    fi
+  done
+  IFS="$lt_save_ifs"
+  MAGIC_CMD="$lt_save_MAGIC_CMD"
+  ;;
+esac
+fi
+
+MAGIC_CMD="$lt_cv_path_MAGIC_CMD"
+if test -n "$MAGIC_CMD"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $MAGIC_CMD" >&5
+$as_echo "$MAGIC_CMD" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+
+
+
+if test -z "$lt_cv_path_MAGIC_CMD"; then
+  if test -n "$ac_tool_prefix"; then
+    { $as_echo "$as_me:${as_lineno-$LINENO}: checking for file" >&5
+$as_echo_n "checking for file... " >&6; }
+if test "${lt_cv_path_MAGIC_CMD+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  case $MAGIC_CMD in
+[\\/*] |  ?:[\\/]*)
+  lt_cv_path_MAGIC_CMD="$MAGIC_CMD" # Let the user override the test with a path.
+  ;;
+*)
+  lt_save_MAGIC_CMD="$MAGIC_CMD"
+  lt_save_ifs="$IFS"; IFS=$PATH_SEPARATOR
+  ac_dummy="/usr/bin$PATH_SEPARATOR$PATH"
+  for ac_dir in $ac_dummy; do
+    IFS="$lt_save_ifs"
+    test -z "$ac_dir" && ac_dir=.
+    if test -f $ac_dir/file; then
+      lt_cv_path_MAGIC_CMD="$ac_dir/file"
+      if test -n "$file_magic_test_file"; then
+	case $deplibs_check_method in
+	"file_magic "*)
+	  file_magic_regex=`expr "$deplibs_check_method" : "file_magic \(.*\)"`
+	  MAGIC_CMD="$lt_cv_path_MAGIC_CMD"
+	  if eval $file_magic_cmd \$file_magic_test_file 2> /dev/null |
+	    $EGREP "$file_magic_regex" > /dev/null; then
+	    :
+	  else
+	    cat <<_LT_EOF 1>&2
+
+*** Warning: the command libtool uses to detect shared libraries,
+*** $file_magic_cmd, produces output that libtool cannot recognize.
+*** The result is that libtool may fail to recognize shared libraries
+*** as such.  This will affect the creation of libtool libraries that
+*** depend on shared libraries, but programs linked with such libtool
+*** libraries will work regardless of this problem.  Nevertheless, you
+*** may want to report the problem to your system manager and/or to
+*** bug-libtool at gnu.org
+
+_LT_EOF
+	  fi ;;
+	esac
+      fi
+      break
+    fi
+  done
+  IFS="$lt_save_ifs"
+  MAGIC_CMD="$lt_save_MAGIC_CMD"
+  ;;
+esac
+fi
+
+MAGIC_CMD="$lt_cv_path_MAGIC_CMD"
+if test -n "$MAGIC_CMD"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $MAGIC_CMD" >&5
+$as_echo "$MAGIC_CMD" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+  else
+    MAGIC_CMD=:
+  fi
+fi
+
+  fi
+  ;;
+esac
+
+# Use C for the default configuration in the libtool script
+
+lt_save_CC="$CC"
+ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+
+# Source file extension for C test sources.
+ac_ext=c
+
+# Object file extension for compiled C test sources.
+objext=o
+objext=$objext
+
+# Code to be used in simple compile tests
+lt_simple_compile_test_code="int some_variable = 0;"
+
+# Code to be used in simple link tests
+lt_simple_link_test_code='int main(){return(0);}'
+
+
+
+
+
+
+
+# If no C compiler was specified, use CC.
+LTCC=${LTCC-"$CC"}
+
+# If no C compiler flags were specified, use CFLAGS.
+LTCFLAGS=${LTCFLAGS-"$CFLAGS"}
+
+# Allow CC to be a program name with arguments.
+compiler=$CC
+
+# Save the default compiler, since it gets overwritten when the other
+# tags are being tested, and _LT_TAGVAR(compiler, []) is a NOP.
+compiler_DEFAULT=$CC
+
+# save warnings/boilerplate of simple test code
+ac_outfile=conftest.$ac_objext
+echo "$lt_simple_compile_test_code" >conftest.$ac_ext
+eval "$ac_compile" 2>&1 >/dev/null | $SED '/^$/d; /^ *+/d' >conftest.err
+_lt_compiler_boilerplate=`cat conftest.err`
+$RM conftest*
+
+ac_outfile=conftest.$ac_objext
+echo "$lt_simple_link_test_code" >conftest.$ac_ext
+eval "$ac_link" 2>&1 >/dev/null | $SED '/^$/d; /^ *+/d' >conftest.err
+_lt_linker_boilerplate=`cat conftest.err`
+$RM -r conftest*
+
+
+if test -n "$compiler"; then
+
+lt_prog_compiler_no_builtin_flag=
+
+if test "$GCC" = yes; then
+  lt_prog_compiler_no_builtin_flag=' -fno-builtin'
+
+  { $as_echo "$as_me:${as_lineno-$LINENO}: checking if $compiler supports -fno-rtti -fno-exceptions" >&5
+$as_echo_n "checking if $compiler supports -fno-rtti -fno-exceptions... " >&6; }
+if test "${lt_cv_prog_compiler_rtti_exceptions+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  lt_cv_prog_compiler_rtti_exceptions=no
+   ac_outfile=conftest.$ac_objext
+   echo "$lt_simple_compile_test_code" > conftest.$ac_ext
+   lt_compiler_flag="-fno-rtti -fno-exceptions"
+   # Insert the option either (1) after the last *FLAGS variable, or
+   # (2) before a word containing "conftest.", or (3) at the end.
+   # Note that $ac_compile itself does not contain backslashes and begins
+   # with a dollar sign (not a hyphen), so the echo should work correctly.
+   # The option is referenced via a variable to avoid confusing sed.
+   lt_compile=`echo "$ac_compile" | $SED \
+   -e 's:.*FLAGS}\{0,1\} :&$lt_compiler_flag :; t' \
+   -e 's: [^ ]*conftest\.: $lt_compiler_flag&:; t' \
+   -e 's:$: $lt_compiler_flag:'`
+   (eval echo "\"\$as_me:9973: $lt_compile\"" >&5)
+   (eval "$lt_compile" 2>conftest.err)
+   ac_status=$?
+   cat conftest.err >&5
+   echo "$as_me:9977: \$? = $ac_status" >&5
+   if (exit $ac_status) && test -s "$ac_outfile"; then
+     # The compiler can only warn and ignore the option if not recognized
+     # So say no if there are warnings other than the usual output.
+     $ECHO "X$_lt_compiler_boilerplate" | $Xsed -e '/^$/d' >conftest.exp
+     $SED '/^$/d; /^ *+/d' conftest.err >conftest.er2
+     if test ! -s conftest.er2 || diff conftest.exp conftest.er2 >/dev/null; then
+       lt_cv_prog_compiler_rtti_exceptions=yes
+     fi
+   fi
+   $RM conftest*
+
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $lt_cv_prog_compiler_rtti_exceptions" >&5
+$as_echo "$lt_cv_prog_compiler_rtti_exceptions" >&6; }
+
+if test x"$lt_cv_prog_compiler_rtti_exceptions" = xyes; then
+    lt_prog_compiler_no_builtin_flag="$lt_prog_compiler_no_builtin_flag -fno-rtti -fno-exceptions"
+else
+    :
+fi
+
+fi
+
+
+
+
+
+
+  lt_prog_compiler_wl=
+lt_prog_compiler_pic=
+lt_prog_compiler_static=
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $compiler option to produce PIC" >&5
+$as_echo_n "checking for $compiler option to produce PIC... " >&6; }
+
+  if test "$GCC" = yes; then
+    lt_prog_compiler_wl='-Wl,'
+    lt_prog_compiler_static='-static'
+
+    case $host_os in
+      aix*)
+      # All AIX code is PIC.
+      if test "$host_cpu" = ia64; then
+	# AIX 5 now supports IA64 processor
+	lt_prog_compiler_static='-Bstatic'
+      fi
+      ;;
+
+    amigaos*)
+      case $host_cpu in
+      powerpc)
+            # see comment about AmigaOS4 .so support
+            lt_prog_compiler_pic='-fPIC'
+        ;;
+      m68k)
+            # FIXME: we need at least 68020 code to build shared libraries, but
+            # adding the `-m68020' flag to GCC prevents building anything better,
+            # like `-m68040'.
+            lt_prog_compiler_pic='-m68020 -resident32 -malways-restore-a4'
+        ;;
+      esac
+      ;;
+
+    beos* | irix5* | irix6* | nonstopux* | osf3* | osf4* | osf5*)
+      # PIC is the default for these OSes.
+      ;;
+
+    mingw* | cygwin* | pw32* | os2* | cegcc*)
+      # This hack is so that the source file can tell whether it is being
+      # built for inclusion in a dll (and should export symbols for example).
+      # Although the cygwin gcc ignores -fPIC, still need this for old-style
+      # (--disable-auto-import) libraries
+      lt_prog_compiler_pic='-DDLL_EXPORT'
+      ;;
+
+    darwin* | rhapsody*)
+      # PIC is the default on this platform
+      # Common symbols not allowed in MH_DYLIB files
+      lt_prog_compiler_pic='-fno-common'
+      ;;
+
+    hpux*)
+      # PIC is the default for 64-bit PA HP-UX, but not for 32-bit
+      # PA HP-UX.  On IA64 HP-UX, PIC is the default but the pic flag
+      # sets the default TLS model and affects inlining.
+      case $host_cpu in
+      hppa*64*)
+	# +Z the default
+	;;
+      *)
+	lt_prog_compiler_pic='-fPIC'
+	;;
+      esac
+      ;;
+
+    interix[3-9]*)
+      # Interix 3.x gcc -fpic/-fPIC options generate broken code.
+      # Instead, we relocate shared libraries at runtime.
+      ;;
+
+    msdosdjgpp*)
+      # Just because we use GCC doesn't mean we suddenly get shared libraries
+      # on systems that don't support them.
+      lt_prog_compiler_can_build_shared=no
+      enable_shared=no
+      ;;
+
+    *nto* | *qnx*)
+      # QNX uses GNU C++, but need to define -shared option too, otherwise
+      # it will coredump.
+      lt_prog_compiler_pic='-fPIC -shared'
+      ;;
+
+    sysv4*MP*)
+      if test -d /usr/nec; then
+	lt_prog_compiler_pic=-Kconform_pic
+      fi
+      ;;
+
+    *)
+      lt_prog_compiler_pic='-fPIC'
+      ;;
+    esac
+  else
+    # PORTME Check for flag to pass linker flags through the system compiler.
+    case $host_os in
+    aix*)
+      lt_prog_compiler_wl='-Wl,'
+      if test "$host_cpu" = ia64; then
+	# AIX 5 now supports IA64 processor
+	lt_prog_compiler_static='-Bstatic'
+      else
+	lt_prog_compiler_static='-bnso -bI:/lib/syscalls.exp'
+      fi
+      ;;
+
+    mingw* | cygwin* | pw32* | os2* | cegcc*)
+      # This hack is so that the source file can tell whether it is being
+      # built for inclusion in a dll (and should export symbols for example).
+      lt_prog_compiler_pic='-DDLL_EXPORT'
+      ;;
+
+    hpux9* | hpux10* | hpux11*)
+      lt_prog_compiler_wl='-Wl,'
+      # PIC is the default for IA64 HP-UX and 64-bit HP-UX, but
+      # not for PA HP-UX.
+      case $host_cpu in
+      hppa*64*|ia64*)
+	# +Z the default
+	;;
+      *)
+	lt_prog_compiler_pic='+Z'
+	;;
+      esac
+      # Is there a better lt_prog_compiler_static that works with the bundled CC?
+      lt_prog_compiler_static='${wl}-a ${wl}archive'
+      ;;
+
+    irix5* | irix6* | nonstopux*)
+      lt_prog_compiler_wl='-Wl,'
+      # PIC (with -KPIC) is the default.
+      lt_prog_compiler_static='-non_shared'
+      ;;
+
+    linux* | k*bsd*-gnu)
+      case $cc_basename in
+      # old Intel for x86_64 which still supported -KPIC.
+      ecc*)
+	lt_prog_compiler_wl='-Wl,'
+	lt_prog_compiler_pic='-KPIC'
+	lt_prog_compiler_static='-static'
+        ;;
+      # icc used to be incompatible with GCC.
+      # ICC 10 doesn't accept -KPIC any more.
+      icc* | ifort*)
+	lt_prog_compiler_wl='-Wl,'
+	lt_prog_compiler_pic='-fPIC'
+	lt_prog_compiler_static='-static'
+        ;;
+      # Lahey Fortran 8.1.
+      lf95*)
+	lt_prog_compiler_wl='-Wl,'
+	lt_prog_compiler_pic='--shared'
+	lt_prog_compiler_static='--static'
+	;;
+      pgcc* | pgf77* | pgf90* | pgf95*)
+        # Portland Group compilers (*not* the Pentium gcc compiler,
+	# which looks to be a dead project)
+	lt_prog_compiler_wl='-Wl,'
+	lt_prog_compiler_pic='-fpic'
+	lt_prog_compiler_static='-Bstatic'
+        ;;
+      ccc*)
+        lt_prog_compiler_wl='-Wl,'
+        # All Alpha code is PIC.
+        lt_prog_compiler_static='-non_shared'
+        ;;
+      xl*)
+	# IBM XL C 8.0/Fortran 10.1 on PPC
+	lt_prog_compiler_wl='-Wl,'
+	lt_prog_compiler_pic='-qpic'
+	lt_prog_compiler_static='-qstaticlink'
+	;;
+      *)
+	case `$CC -V 2>&1 | sed 5q` in
+	*Sun\ C*)
+	  # Sun C 5.9
+	  lt_prog_compiler_pic='-KPIC'
+	  lt_prog_compiler_static='-Bstatic'
+	  lt_prog_compiler_wl='-Wl,'
+	  ;;
+	*Sun\ F*)
+	  # Sun Fortran 8.3 passes all unrecognized flags to the linker
+	  lt_prog_compiler_pic='-KPIC'
+	  lt_prog_compiler_static='-Bstatic'
+	  lt_prog_compiler_wl=''
+	  ;;
+	esac
+	;;
+      esac
+      ;;
+
+    newsos6)
+      lt_prog_compiler_pic='-KPIC'
+      lt_prog_compiler_static='-Bstatic'
+      ;;
+
+    *nto* | *qnx*)
+      # QNX uses GNU C++, but need to define -shared option too, otherwise
+      # it will coredump.
+      lt_prog_compiler_pic='-fPIC -shared'
+      ;;
+
+    osf3* | osf4* | osf5*)
+      lt_prog_compiler_wl='-Wl,'
+      # All OSF/1 code is PIC.
+      lt_prog_compiler_static='-non_shared'
+      ;;
+
+    rdos*)
+      lt_prog_compiler_static='-non_shared'
+      ;;
+
+    solaris*)
+      lt_prog_compiler_pic='-KPIC'
+      lt_prog_compiler_static='-Bstatic'
+      case $cc_basename in
+      f77* | f90* | f95*)
+	lt_prog_compiler_wl='-Qoption ld ';;
+      *)
+	lt_prog_compiler_wl='-Wl,';;
+      esac
+      ;;
+
+    sunos4*)
+      lt_prog_compiler_wl='-Qoption ld '
+      lt_prog_compiler_pic='-PIC'
+      lt_prog_compiler_static='-Bstatic'
+      ;;
+
+    sysv4 | sysv4.2uw2* | sysv4.3*)
+      lt_prog_compiler_wl='-Wl,'
+      lt_prog_compiler_pic='-KPIC'
+      lt_prog_compiler_static='-Bstatic'
+      ;;
+
+    sysv4*MP*)
+      if test -d /usr/nec ;then
+	lt_prog_compiler_pic='-Kconform_pic'
+	lt_prog_compiler_static='-Bstatic'
+      fi
+      ;;
+
+    sysv5* | unixware* | sco3.2v5* | sco5v6* | OpenUNIX*)
+      lt_prog_compiler_wl='-Wl,'
+      lt_prog_compiler_pic='-KPIC'
+      lt_prog_compiler_static='-Bstatic'
+      ;;
+
+    unicos*)
+      lt_prog_compiler_wl='-Wl,'
+      lt_prog_compiler_can_build_shared=no
+      ;;
+
+    uts4*)
+      lt_prog_compiler_pic='-pic'
+      lt_prog_compiler_static='-Bstatic'
+      ;;
+
+    *)
+      lt_prog_compiler_can_build_shared=no
+      ;;
+    esac
+  fi
+
+case $host_os in
+  # For platforms which do not support PIC, -DPIC is meaningless:
+  *djgpp*)
+    lt_prog_compiler_pic=
+    ;;
+  *)
+    lt_prog_compiler_pic="$lt_prog_compiler_pic -DPIC"
+    ;;
+esac
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $lt_prog_compiler_pic" >&5
+$as_echo "$lt_prog_compiler_pic" >&6; }
+
+
+
+
+
+
+#
+# Check to make sure the PIC flag actually works.
+#
+if test -n "$lt_prog_compiler_pic"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: checking if $compiler PIC flag $lt_prog_compiler_pic works" >&5
+$as_echo_n "checking if $compiler PIC flag $lt_prog_compiler_pic works... " >&6; }
+if test "${lt_cv_prog_compiler_pic_works+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  lt_cv_prog_compiler_pic_works=no
+   ac_outfile=conftest.$ac_objext
+   echo "$lt_simple_compile_test_code" > conftest.$ac_ext
+   lt_compiler_flag="$lt_prog_compiler_pic -DPIC"
+   # Insert the option either (1) after the last *FLAGS variable, or
+   # (2) before a word containing "conftest.", or (3) at the end.
+   # Note that $ac_compile itself does not contain backslashes and begins
+   # with a dollar sign (not a hyphen), so the echo should work correctly.
+   # The option is referenced via a variable to avoid confusing sed.
+   lt_compile=`echo "$ac_compile" | $SED \
+   -e 's:.*FLAGS}\{0,1\} :&$lt_compiler_flag :; t' \
+   -e 's: [^ ]*conftest\.: $lt_compiler_flag&:; t' \
+   -e 's:$: $lt_compiler_flag:'`
+   (eval echo "\"\$as_me:10312: $lt_compile\"" >&5)
+   (eval "$lt_compile" 2>conftest.err)
+   ac_status=$?
+   cat conftest.err >&5
+   echo "$as_me:10316: \$? = $ac_status" >&5
+   if (exit $ac_status) && test -s "$ac_outfile"; then
+     # The compiler can only warn and ignore the option if not recognized
+     # So say no if there are warnings other than the usual output.
+     $ECHO "X$_lt_compiler_boilerplate" | $Xsed -e '/^$/d' >conftest.exp
+     $SED '/^$/d; /^ *+/d' conftest.err >conftest.er2
+     if test ! -s conftest.er2 || diff conftest.exp conftest.er2 >/dev/null; then
+       lt_cv_prog_compiler_pic_works=yes
+     fi
+   fi
+   $RM conftest*
+
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $lt_cv_prog_compiler_pic_works" >&5
+$as_echo "$lt_cv_prog_compiler_pic_works" >&6; }
+
+if test x"$lt_cv_prog_compiler_pic_works" = xyes; then
+    case $lt_prog_compiler_pic in
+     "" | " "*) ;;
+     *) lt_prog_compiler_pic=" $lt_prog_compiler_pic" ;;
+     esac
+else
+    lt_prog_compiler_pic=
+     lt_prog_compiler_can_build_shared=no
+fi
+
+fi
+
+
+
+
+
+
+#
+# Check to make sure the static flag actually works.
+#
+wl=$lt_prog_compiler_wl eval lt_tmp_static_flag=\"$lt_prog_compiler_static\"
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking if $compiler static flag $lt_tmp_static_flag works" >&5
+$as_echo_n "checking if $compiler static flag $lt_tmp_static_flag works... " >&6; }
+if test "${lt_cv_prog_compiler_static_works+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  lt_cv_prog_compiler_static_works=no
+   save_LDFLAGS="$LDFLAGS"
+   LDFLAGS="$LDFLAGS $lt_tmp_static_flag"
+   echo "$lt_simple_link_test_code" > conftest.$ac_ext
+   if (eval $ac_link 2>conftest.err) && test -s conftest$ac_exeext; then
+     # The linker can only warn and ignore the option if not recognized
+     # So say no if there are warnings
+     if test -s conftest.err; then
+       # Append any errors to the config.log.
+       cat conftest.err 1>&5
+       $ECHO "X$_lt_linker_boilerplate" | $Xsed -e '/^$/d' > conftest.exp
+       $SED '/^$/d; /^ *+/d' conftest.err >conftest.er2
+       if diff conftest.exp conftest.er2 >/dev/null; then
+         lt_cv_prog_compiler_static_works=yes
+       fi
+     else
+       lt_cv_prog_compiler_static_works=yes
+     fi
+   fi
+   $RM -r conftest*
+   LDFLAGS="$save_LDFLAGS"
+
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $lt_cv_prog_compiler_static_works" >&5
+$as_echo "$lt_cv_prog_compiler_static_works" >&6; }
+
+if test x"$lt_cv_prog_compiler_static_works" = xyes; then
+    :
+else
+    lt_prog_compiler_static=
+fi
+
+
+
+
+
+
+
+  { $as_echo "$as_me:${as_lineno-$LINENO}: checking if $compiler supports -c -o file.$ac_objext" >&5
+$as_echo_n "checking if $compiler supports -c -o file.$ac_objext... " >&6; }
+if test "${lt_cv_prog_compiler_c_o+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  lt_cv_prog_compiler_c_o=no
+   $RM -r conftest 2>/dev/null
+   mkdir conftest
+   cd conftest
+   mkdir out
+   echo "$lt_simple_compile_test_code" > conftest.$ac_ext
+
+   lt_compiler_flag="-o out/conftest2.$ac_objext"
+   # Insert the option either (1) after the last *FLAGS variable, or
+   # (2) before a word containing "conftest.", or (3) at the end.
+   # Note that $ac_compile itself does not contain backslashes and begins
+   # with a dollar sign (not a hyphen), so the echo should work correctly.
+   lt_compile=`echo "$ac_compile" | $SED \
+   -e 's:.*FLAGS}\{0,1\} :&$lt_compiler_flag :; t' \
+   -e 's: [^ ]*conftest\.: $lt_compiler_flag&:; t' \
+   -e 's:$: $lt_compiler_flag:'`
+   (eval echo "\"\$as_me:10417: $lt_compile\"" >&5)
+   (eval "$lt_compile" 2>out/conftest.err)
+   ac_status=$?
+   cat out/conftest.err >&5
+   echo "$as_me:10421: \$? = $ac_status" >&5
+   if (exit $ac_status) && test -s out/conftest2.$ac_objext
+   then
+     # The compiler can only warn and ignore the option if not recognized
+     # So say no if there are warnings
+     $ECHO "X$_lt_compiler_boilerplate" | $Xsed -e '/^$/d' > out/conftest.exp
+     $SED '/^$/d; /^ *+/d' out/conftest.err >out/conftest.er2
+     if test ! -s out/conftest.er2 || diff out/conftest.exp out/conftest.er2 >/dev/null; then
+       lt_cv_prog_compiler_c_o=yes
+     fi
+   fi
+   chmod u+w . 2>&5
+   $RM conftest*
+   # SGI C++ compiler will create directory out/ii_files/ for
+   # template instantiation
+   test -d out/ii_files && $RM out/ii_files/* && rmdir out/ii_files
+   $RM out/* && rmdir out
+   cd ..
+   $RM -r conftest
+   $RM conftest*
+
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $lt_cv_prog_compiler_c_o" >&5
+$as_echo "$lt_cv_prog_compiler_c_o" >&6; }
+
+
+
+
+
+
+  { $as_echo "$as_me:${as_lineno-$LINENO}: checking if $compiler supports -c -o file.$ac_objext" >&5
+$as_echo_n "checking if $compiler supports -c -o file.$ac_objext... " >&6; }
+if test "${lt_cv_prog_compiler_c_o+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  lt_cv_prog_compiler_c_o=no
+   $RM -r conftest 2>/dev/null
+   mkdir conftest
+   cd conftest
+   mkdir out
+   echo "$lt_simple_compile_test_code" > conftest.$ac_ext
+
+   lt_compiler_flag="-o out/conftest2.$ac_objext"
+   # Insert the option either (1) after the last *FLAGS variable, or
+   # (2) before a word containing "conftest.", or (3) at the end.
+   # Note that $ac_compile itself does not contain backslashes and begins
+   # with a dollar sign (not a hyphen), so the echo should work correctly.
+   lt_compile=`echo "$ac_compile" | $SED \
+   -e 's:.*FLAGS}\{0,1\} :&$lt_compiler_flag :; t' \
+   -e 's: [^ ]*conftest\.: $lt_compiler_flag&:; t' \
+   -e 's:$: $lt_compiler_flag:'`
+   (eval echo "\"\$as_me:10472: $lt_compile\"" >&5)
+   (eval "$lt_compile" 2>out/conftest.err)
+   ac_status=$?
+   cat out/conftest.err >&5
+   echo "$as_me:10476: \$? = $ac_status" >&5
+   if (exit $ac_status) && test -s out/conftest2.$ac_objext
+   then
+     # The compiler can only warn and ignore the option if not recognized
+     # So say no if there are warnings
+     $ECHO "X$_lt_compiler_boilerplate" | $Xsed -e '/^$/d' > out/conftest.exp
+     $SED '/^$/d; /^ *+/d' out/conftest.err >out/conftest.er2
+     if test ! -s out/conftest.er2 || diff out/conftest.exp out/conftest.er2 >/dev/null; then
+       lt_cv_prog_compiler_c_o=yes
+     fi
+   fi
+   chmod u+w . 2>&5
+   $RM conftest*
+   # SGI C++ compiler will create directory out/ii_files/ for
+   # template instantiation
+   test -d out/ii_files && $RM out/ii_files/* && rmdir out/ii_files
+   $RM out/* && rmdir out
+   cd ..
+   $RM -r conftest
+   $RM conftest*
+
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $lt_cv_prog_compiler_c_o" >&5
+$as_echo "$lt_cv_prog_compiler_c_o" >&6; }
+
+
+
+
+hard_links="nottested"
+if test "$lt_cv_prog_compiler_c_o" = no && test "$need_locks" != no; then
+  # do not overwrite the value of need_locks provided by the user
+  { $as_echo "$as_me:${as_lineno-$LINENO}: checking if we can lock with hard links" >&5
+$as_echo_n "checking if we can lock with hard links... " >&6; }
+  hard_links=yes
+  $RM conftest*
+  ln conftest.a conftest.b 2>/dev/null && hard_links=no
+  touch conftest.a
+  ln conftest.a conftest.b 2>&5 || hard_links=no
+  ln conftest.a conftest.b 2>/dev/null && hard_links=no
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $hard_links" >&5
+$as_echo "$hard_links" >&6; }
+  if test "$hard_links" = no; then
+    { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: \`$CC' does not support \`-c -o', so \`make -j' may be unsafe" >&5
+$as_echo "$as_me: WARNING: \`$CC' does not support \`-c -o', so \`make -j' may be unsafe" >&2;}
+    need_locks=warn
+  fi
+else
+  need_locks=no
+fi
+
+
+
+
+
+
+  { $as_echo "$as_me:${as_lineno-$LINENO}: checking whether the $compiler linker ($LD) supports shared libraries" >&5
+$as_echo_n "checking whether the $compiler linker ($LD) supports shared libraries... " >&6; }
+
+  runpath_var=
+  allow_undefined_flag=
+  always_export_symbols=no
+  archive_cmds=
+  archive_expsym_cmds=
+  compiler_needs_object=no
+  enable_shared_with_static_runtimes=no
+  export_dynamic_flag_spec=
+  export_symbols_cmds='$NM $libobjs $convenience | $global_symbol_pipe | $SED '\''s/.* //'\'' | sort | uniq > $export_symbols'
+  hardcode_automatic=no
+  hardcode_direct=no
+  hardcode_direct_absolute=no
+  hardcode_libdir_flag_spec=
+  hardcode_libdir_flag_spec_ld=
+  hardcode_libdir_separator=
+  hardcode_minus_L=no
+  hardcode_shlibpath_var=unsupported
+  inherit_rpath=no
+  link_all_deplibs=unknown
+  module_cmds=
+  module_expsym_cmds=
+  old_archive_from_new_cmds=
+  old_archive_from_expsyms_cmds=
+  thread_safe_flag_spec=
+  whole_archive_flag_spec=
+  # include_expsyms should be a list of space-separated symbols to be *always*
+  # included in the symbol list
+  include_expsyms=
+  # exclude_expsyms can be an extended regexp of symbols to exclude
+  # it will be wrapped by ` (' and `)$', so one must not match beginning or
+  # end of line.  Example: `a|bc|.*d.*' will exclude the symbols `a' and `bc',
+  # as well as any symbol that contains `d'.
+  exclude_expsyms='_GLOBAL_OFFSET_TABLE_|_GLOBAL__F[ID]_.*'
+  # Although _GLOBAL_OFFSET_TABLE_ is a valid symbol C name, most a.out
+  # platforms (ab)use it in PIC code, but their linkers get confused if
+  # the symbol is explicitly referenced.  Since portable code cannot
+  # rely on this symbol name, it's probably fine to never include it in
+  # preloaded symbol tables.
+  # Exclude shared library initialization/finalization symbols.
+  extract_expsyms_cmds=
+
+  case $host_os in
+  cygwin* | mingw* | pw32* | cegcc*)
+    # FIXME: the MSVC++ port hasn't been tested in a loooong time
+    # When not using gcc, we currently assume that we are using
+    # Microsoft Visual C++.
+    if test "$GCC" != yes; then
+      with_gnu_ld=no
+    fi
+    ;;
+  interix*)
+    # we just hope/assume this is gcc and not c89 (= MSVC++)
+    with_gnu_ld=yes
+    ;;
+  openbsd*)
+    with_gnu_ld=no
+    ;;
+  esac
+
+  ld_shlibs=yes
+  if test "$with_gnu_ld" = yes; then
+    # If archive_cmds runs LD, not CC, wlarc should be empty
+    wlarc='${wl}'
+
+    # Set some defaults for GNU ld with shared library support. These
+    # are reset later if shared libraries are not supported. Putting them
+    # here allows them to be overridden if necessary.
+    runpath_var=LD_RUN_PATH
+    hardcode_libdir_flag_spec='${wl}-rpath ${wl}$libdir'
+    export_dynamic_flag_spec='${wl}--export-dynamic'
+    # ancient GNU ld didn't support --whole-archive et. al.
+    if $LD --help 2>&1 | $GREP 'no-whole-archive' > /dev/null; then
+      whole_archive_flag_spec="$wlarc"'--whole-archive$convenience '"$wlarc"'--no-whole-archive'
+    else
+      whole_archive_flag_spec=
+    fi
+    supports_anon_versioning=no
+    case `$LD -v 2>&1` in
+      *\ [01].* | *\ 2.[0-9].* | *\ 2.10.*) ;; # catch versions < 2.11
+      *\ 2.11.93.0.2\ *) supports_anon_versioning=yes ;; # RH7.3 ...
+      *\ 2.11.92.0.12\ *) supports_anon_versioning=yes ;; # Mandrake 8.2 ...
+      *\ 2.11.*) ;; # other 2.11 versions
+      *) supports_anon_versioning=yes ;;
+    esac
+
+    # See if GNU ld supports shared libraries.
+    case $host_os in
+    aix[3-9]*)
+      # On AIX/PPC, the GNU linker is very broken
+      if test "$host_cpu" != ia64; then
+	ld_shlibs=no
+	cat <<_LT_EOF 1>&2
+
+*** Warning: the GNU linker, at least up to release 2.9.1, is reported
+*** to be unable to reliably create shared libraries on AIX.
+*** Therefore, libtool is disabling shared libraries support.  If you
+*** really care for shared libraries, you may want to modify your PATH
+*** so that a non-GNU linker is found, and then restart.
+
+_LT_EOF
+      fi
+      ;;
+
+    amigaos*)
+      case $host_cpu in
+      powerpc)
+            # see comment about AmigaOS4 .so support
+            archive_cmds='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+            archive_expsym_cmds=''
+        ;;
+      m68k)
+            archive_cmds='$RM $output_objdir/a2ixlibrary.data~$ECHO "#define NAME $libname" > $output_objdir/a2ixlibrary.data~$ECHO "#define LIBRARY_ID 1" >> $output_objdir/a2ixlibrary.data~$ECHO "#define VERSION $major" >> $output_objdir/a2ixlibrary.data~$ECHO "#define REVISION $revision" >> $output_objdir/a2ixlibrary.data~$AR $AR_FLAGS $lib $libobjs~$RANLIB $lib~(cd $output_objdir && a2ixlibrary -32)'
+            hardcode_libdir_flag_spec='-L$libdir'
+            hardcode_minus_L=yes
+        ;;
+      esac
+      ;;
+
+    beos*)
+      if $LD --help 2>&1 | $GREP ': supported targets:.* elf' > /dev/null; then
+	allow_undefined_flag=unsupported
+	# Joseph Beckenbach <jrb3 at best.com> says some releases of gcc
+	# support --undefined.  This deserves some investigation.  FIXME
+	archive_cmds='$CC -nostart $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+      else
+	ld_shlibs=no
+      fi
+      ;;
+
+    cygwin* | mingw* | pw32* | cegcc*)
+      # _LT_TAGVAR(hardcode_libdir_flag_spec, ) is actually meaningless,
+      # as there is no search path for DLLs.
+      hardcode_libdir_flag_spec='-L$libdir'
+      allow_undefined_flag=unsupported
+      always_export_symbols=no
+      enable_shared_with_static_runtimes=yes
+      export_symbols_cmds='$NM $libobjs $convenience | $global_symbol_pipe | $SED -e '\''/^[BCDGRS][ ]/s/.*[ ]\([^ ]*\)/\1 DATA/'\'' | $SED -e '\''/^[AITW][ ]/s/.*[ ]//'\'' | sort | uniq > $export_symbols'
+
+      if $LD --help 2>&1 | $GREP 'auto-import' > /dev/null; then
+        archive_cmds='$CC -shared $libobjs $deplibs $compiler_flags -o $output_objdir/$soname ${wl}--enable-auto-image-base -Xlinker --out-implib -Xlinker $lib'
+	# If the export-symbols file already is a .def file (1st line
+	# is EXPORTS), use it as is; otherwise, prepend...
+	archive_expsym_cmds='if test "x`$SED 1q $export_symbols`" = xEXPORTS; then
+	  cp $export_symbols $output_objdir/$soname.def;
+	else
+	  echo EXPORTS > $output_objdir/$soname.def;
+	  cat $export_symbols >> $output_objdir/$soname.def;
+	fi~
+	$CC -shared $output_objdir/$soname.def $libobjs $deplibs $compiler_flags -o $output_objdir/$soname ${wl}--enable-auto-image-base -Xlinker --out-implib -Xlinker $lib'
+      else
+	ld_shlibs=no
+      fi
+      ;;
+
+    interix[3-9]*)
+      hardcode_direct=no
+      hardcode_shlibpath_var=no
+      hardcode_libdir_flag_spec='${wl}-rpath,$libdir'
+      export_dynamic_flag_spec='${wl}-E'
+      # Hack: On Interix 3.x, we cannot compile PIC because of a broken gcc.
+      # Instead, shared libraries are loaded at an image base (0x10000000 by
+      # default) and relocated if they conflict, which is a slow very memory
+      # consuming and fragmenting process.  To avoid this, we pick a random,
+      # 256 KiB-aligned image base between 0x50000000 and 0x6FFC0000 at link
+      # time.  Moving up from 0x10000000 also allows more sbrk(2) space.
+      archive_cmds='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-h,$soname ${wl}--image-base,`expr ${RANDOM-$$} % 4096 / 2 \* 262144 + 1342177280` -o $lib'
+      archive_expsym_cmds='sed "s,^,_," $export_symbols >$output_objdir/$soname.expsym~$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-h,$soname ${wl}--retain-symbols-file,$output_objdir/$soname.expsym ${wl}--image-base,`expr ${RANDOM-$$} % 4096 / 2 \* 262144 + 1342177280` -o $lib'
+      ;;
+
+    gnu* | linux* | tpf* | k*bsd*-gnu)
+      tmp_diet=no
+      if test "$host_os" = linux-dietlibc; then
+	case $cc_basename in
+	  diet\ *) tmp_diet=yes;;	# linux-dietlibc with static linking (!diet-dyn)
+	esac
+      fi
+      if $LD --help 2>&1 | $EGREP ': supported targets:.* elf' > /dev/null \
+	 && test "$tmp_diet" = no
+      then
+	tmp_addflag=
+	tmp_sharedflag='-shared'
+	case $cc_basename,$host_cpu in
+        pgcc*)				# Portland Group C compiler
+	  whole_archive_flag_spec='${wl}--whole-archive`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience,$conv\"; done; $ECHO \"$new_convenience\"` ${wl}--no-whole-archive'
+	  tmp_addflag=' $pic_flag'
+	  ;;
+	pgf77* | pgf90* | pgf95*)	# Portland Group f77 and f90 compilers
+	  whole_archive_flag_spec='${wl}--whole-archive`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience,$conv\"; done; $ECHO \"$new_convenience\"` ${wl}--no-whole-archive'
+	  tmp_addflag=' $pic_flag -Mnomain' ;;
+	ecc*,ia64* | icc*,ia64*)	# Intel C compiler on ia64
+	  tmp_addflag=' -i_dynamic' ;;
+	efc*,ia64* | ifort*,ia64*)	# Intel Fortran compiler on ia64
+	  tmp_addflag=' -i_dynamic -nofor_main' ;;
+	ifc* | ifort*)			# Intel Fortran compiler
+	  tmp_addflag=' -nofor_main' ;;
+	lf95*)				# Lahey Fortran 8.1
+	  whole_archive_flag_spec=
+	  tmp_sharedflag='--shared' ;;
+	xl[cC]*)			# IBM XL C 8.0 on PPC (deal with xlf below)
+	  tmp_sharedflag='-qmkshrobj'
+	  tmp_addflag= ;;
+	esac
+	case `$CC -V 2>&1 | sed 5q` in
+	*Sun\ C*)			# Sun C 5.9
+	  whole_archive_flag_spec='${wl}--whole-archive`new_convenience=; for conv in $convenience\"\"; do test -z \"$conv\" || new_convenience=\"$new_convenience,$conv\"; done; $ECHO \"$new_convenience\"` ${wl}--no-whole-archive'
+	  compiler_needs_object=yes
+	  tmp_sharedflag='-G' ;;
+	*Sun\ F*)			# Sun Fortran 8.3
+	  tmp_sharedflag='-G' ;;
+	esac
+	archive_cmds='$CC '"$tmp_sharedflag""$tmp_addflag"' $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+
+        if test "x$supports_anon_versioning" = xyes; then
+          archive_expsym_cmds='echo "{ global:" > $output_objdir/$libname.ver~
+	    cat $export_symbols | sed -e "s/\(.*\)/\1;/" >> $output_objdir/$libname.ver~
+	    echo "local: *; };" >> $output_objdir/$libname.ver~
+	    $CC '"$tmp_sharedflag""$tmp_addflag"' $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname ${wl}-version-script ${wl}$output_objdir/$libname.ver -o $lib'
+        fi
+
+	case $cc_basename in
+	xlf*)
+	  # IBM XL Fortran 10.1 on PPC cannot create shared libs itself
+	  whole_archive_flag_spec='--whole-archive$convenience --no-whole-archive'
+	  hardcode_libdir_flag_spec=
+	  hardcode_libdir_flag_spec_ld='-rpath $libdir'
+	  archive_cmds='$LD -shared $libobjs $deplibs $compiler_flags -soname $soname -o $lib'
+	  if test "x$supports_anon_versioning" = xyes; then
+	    archive_expsym_cmds='echo "{ global:" > $output_objdir/$libname.ver~
+	      cat $export_symbols | sed -e "s/\(.*\)/\1;/" >> $output_objdir/$libname.ver~
+	      echo "local: *; };" >> $output_objdir/$libname.ver~
+	      $LD -shared $libobjs $deplibs $compiler_flags -soname $soname -version-script $output_objdir/$libname.ver -o $lib'
+	  fi
+	  ;;
+	esac
+      else
+        ld_shlibs=no
+      fi
+      ;;
+
+    netbsd*)
+      if echo __ELF__ | $CC -E - | $GREP __ELF__ >/dev/null; then
+	archive_cmds='$LD -Bshareable $libobjs $deplibs $linker_flags -o $lib'
+	wlarc=
+      else
+	archive_cmds='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+	archive_expsym_cmds='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname ${wl}-retain-symbols-file $wl$export_symbols -o $lib'
+      fi
+      ;;
+
+    solaris*)
+      if $LD -v 2>&1 | $GREP 'BFD 2\.8' > /dev/null; then
+	ld_shlibs=no
+	cat <<_LT_EOF 1>&2
+
+*** Warning: The releases 2.8.* of the GNU linker cannot reliably
+*** create shared libraries on Solaris systems.  Therefore, libtool
+*** is disabling shared libraries support.  We urge you to upgrade GNU
+*** binutils to release 2.9.1 or newer.  Another option is to modify
+*** your PATH or compiler configuration so that the native linker is
+*** used, and then restart.
+
+_LT_EOF
+      elif $LD --help 2>&1 | $GREP ': supported targets:.* elf' > /dev/null; then
+	archive_cmds='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+	archive_expsym_cmds='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname ${wl}-retain-symbols-file $wl$export_symbols -o $lib'
+      else
+	ld_shlibs=no
+      fi
+      ;;
+
+    sysv5* | sco3.2v5* | sco5v6* | unixware* | OpenUNIX*)
+      case `$LD -v 2>&1` in
+        *\ [01].* | *\ 2.[0-9].* | *\ 2.1[0-5].*)
+	ld_shlibs=no
+	cat <<_LT_EOF 1>&2
+
+*** Warning: Releases of the GNU linker prior to 2.16.91.0.3 can not
+*** reliably create shared libraries on SCO systems.  Therefore, libtool
+*** is disabling shared libraries support.  We urge you to upgrade GNU
+*** binutils to release 2.16.91.0.3 or newer.  Another option is to modify
+*** your PATH or compiler configuration so that the native linker is
+*** used, and then restart.
+
+_LT_EOF
+	;;
+	*)
+	  # For security reasons, it is highly recommended that you always
+	  # use absolute paths for naming shared libraries, and exclude the
+	  # DT_RUNPATH tag from executables and libraries.  But doing so
+	  # requires that you compile everything twice, which is a pain.
+	  if $LD --help 2>&1 | $GREP ': supported targets:.* elf' > /dev/null; then
+	    hardcode_libdir_flag_spec='${wl}-rpath ${wl}$libdir'
+	    archive_cmds='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+	    archive_expsym_cmds='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname ${wl}-retain-symbols-file $wl$export_symbols -o $lib'
+	  else
+	    ld_shlibs=no
+	  fi
+	;;
+      esac
+      ;;
+
+    sunos4*)
+      archive_cmds='$LD -assert pure-text -Bshareable -o $lib $libobjs $deplibs $linker_flags'
+      wlarc=
+      hardcode_direct=yes
+      hardcode_shlibpath_var=no
+      ;;
+
+    *)
+      if $LD --help 2>&1 | $GREP ': supported targets:.* elf' > /dev/null; then
+	archive_cmds='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+	archive_expsym_cmds='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname ${wl}-retain-symbols-file $wl$export_symbols -o $lib'
+      else
+	ld_shlibs=no
+      fi
+      ;;
+    esac
+
+    if test "$ld_shlibs" = no; then
+      runpath_var=
+      hardcode_libdir_flag_spec=
+      export_dynamic_flag_spec=
+      whole_archive_flag_spec=
+    fi
+  else
+    # PORTME fill in a description of your system's linker (not GNU ld)
+    case $host_os in
+    aix3*)
+      allow_undefined_flag=unsupported
+      always_export_symbols=yes
+      archive_expsym_cmds='$LD -o $output_objdir/$soname $libobjs $deplibs $linker_flags -bE:$export_symbols -T512 -H512 -bM:SRE~$AR $AR_FLAGS $lib $output_objdir/$soname'
+      # Note: this linker hardcodes the directories in LIBPATH if there
+      # are no directories specified by -L.
+      hardcode_minus_L=yes
+      if test "$GCC" = yes && test -z "$lt_prog_compiler_static"; then
+	# Neither direct hardcoding nor static linking is supported with a
+	# broken collect2.
+	hardcode_direct=unsupported
+      fi
+      ;;
+
+    aix[4-9]*)
+      if test "$host_cpu" = ia64; then
+	# On IA64, the linker does run time linking by default, so we don't
+	# have to do anything special.
+	aix_use_runtimelinking=no
+	exp_sym_flag='-Bexport'
+	no_entry_flag=""
+      else
+	# If we're using GNU nm, then we don't want the "-C" option.
+	# -C means demangle to AIX nm, but means don't demangle with GNU nm
+	if $NM -V 2>&1 | $GREP 'GNU' > /dev/null; then
+	  export_symbols_cmds='$NM -Bpg $libobjs $convenience | awk '\''{ if (((\$ 2 == "T") || (\$ 2 == "D") || (\$ 2 == "B")) && (substr(\$ 3,1,1) != ".")) { print \$ 3 } }'\'' | sort -u > $export_symbols'
+	else
+	  export_symbols_cmds='$NM -BCpg $libobjs $convenience | awk '\''{ if (((\$ 2 == "T") || (\$ 2 == "D") || (\$ 2 == "B")) && (substr(\$ 3,1,1) != ".")) { print \$ 3 } }'\'' | sort -u > $export_symbols'
+	fi
+	aix_use_runtimelinking=no
+
+	# Test if we are trying to use run time linking or normal
+	# AIX style linking. If -brtl is somewhere in LDFLAGS, we
+	# need to do runtime linking.
+	case $host_os in aix4.[23]|aix4.[23].*|aix[5-9]*)
+	  for ld_flag in $LDFLAGS; do
+	  if (test $ld_flag = "-brtl" || test $ld_flag = "-Wl,-brtl"); then
+	    aix_use_runtimelinking=yes
+	    break
+	  fi
+	  done
+	  ;;
+	esac
+
+	exp_sym_flag='-bexport'
+	no_entry_flag='-bnoentry'
+      fi
+
+      # When large executables or shared objects are built, AIX ld can
+      # have problems creating the table of contents.  If linking a library
+      # or program results in "error TOC overflow" add -mminimal-toc to
+      # CXXFLAGS/CFLAGS for g++/gcc.  In the cases where that is not
+      # enough to fix the problem, add -Wl,-bbigtoc to LDFLAGS.
+
+      archive_cmds=''
+      hardcode_direct=yes
+      hardcode_direct_absolute=yes
+      hardcode_libdir_separator=':'
+      link_all_deplibs=yes
+      file_list_spec='${wl}-f,'
+
+      if test "$GCC" = yes; then
+	case $host_os in aix4.[012]|aix4.[012].*)
+	# We only want to do this on AIX 4.2 and lower, the check
+	# below for broken collect2 doesn't work under 4.3+
+	  collect2name=`${CC} -print-prog-name=collect2`
+	  if test -f "$collect2name" &&
+	   strings "$collect2name" | $GREP resolve_lib_name >/dev/null
+	  then
+	  # We have reworked collect2
+	  :
+	  else
+	  # We have old collect2
+	  hardcode_direct=unsupported
+	  # It fails to find uninstalled libraries when the uninstalled
+	  # path is not listed in the libpath.  Setting hardcode_minus_L
+	  # to unsupported forces relinking
+	  hardcode_minus_L=yes
+	  hardcode_libdir_flag_spec='-L$libdir'
+	  hardcode_libdir_separator=
+	  fi
+	  ;;
+	esac
+	shared_flag='-shared'
+	if test "$aix_use_runtimelinking" = yes; then
+	  shared_flag="$shared_flag "'${wl}-G'
+	fi
+      else
+	# not using gcc
+	if test "$host_cpu" = ia64; then
+	# VisualAge C++, Version 5.5 for AIX 5L for IA-64, Beta 3 Release
+	# chokes on -Wl,-G. The following line is correct:
+	  shared_flag='-G'
+	else
+	  if test "$aix_use_runtimelinking" = yes; then
+	    shared_flag='${wl}-G'
+	  else
+	    shared_flag='${wl}-bM:SRE'
+	  fi
+	fi
+      fi
+
+      export_dynamic_flag_spec='${wl}-bexpall'
+      # It seems that -bexpall does not export symbols beginning with
+      # underscore (_), so it is better to generate a list of symbols to export.
+      always_export_symbols=yes
+      if test "$aix_use_runtimelinking" = yes; then
+	# Warning - without using the other runtime loading flags (-brtl),
+	# -berok will link without error, but may produce a broken library.
+	allow_undefined_flag='-berok'
+        # Determine the default libpath from the value encoded in an
+        # empty executable.
+        cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_c_try_link "$LINENO"; then :
+
+lt_aix_libpath_sed='
+    /Import File Strings/,/^$/ {
+	/^0/ {
+	    s/^0  *\(.*\)$/\1/
+	    p
+	}
+    }'
+aix_libpath=`dump -H conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
+# Check for a 64-bit object if we didn't find anything.
+if test -z "$aix_libpath"; then
+  aix_libpath=`dump -HX64 conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
+fi
+fi
+rm -f core conftest.err conftest.$ac_objext \
+    conftest$ac_exeext conftest.$ac_ext
+if test -z "$aix_libpath"; then aix_libpath="/usr/lib:/lib"; fi
+
+        hardcode_libdir_flag_spec='${wl}-blibpath:$libdir:'"$aix_libpath"
+        archive_expsym_cmds='$CC -o $output_objdir/$soname $libobjs $deplibs '"\${wl}$no_entry_flag"' $compiler_flags `if test "x${allow_undefined_flag}" != "x"; then $ECHO "X${wl}${allow_undefined_flag}" | $Xsed; else :; fi` '"\${wl}$exp_sym_flag:\$export_symbols $shared_flag"
+      else
+	if test "$host_cpu" = ia64; then
+	  hardcode_libdir_flag_spec='${wl}-R $libdir:/usr/lib:/lib'
+	  allow_undefined_flag="-z nodefs"
+	  archive_expsym_cmds="\$CC $shared_flag"' -o $output_objdir/$soname $libobjs $deplibs '"\${wl}$no_entry_flag"' $compiler_flags ${wl}${allow_undefined_flag} '"\${wl}$exp_sym_flag:\$export_symbols"
+	else
+	 # Determine the default libpath from the value encoded in an
+	 # empty executable.
+	 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_c_try_link "$LINENO"; then :
+
+lt_aix_libpath_sed='
+    /Import File Strings/,/^$/ {
+	/^0/ {
+	    s/^0  *\(.*\)$/\1/
+	    p
+	}
+    }'
+aix_libpath=`dump -H conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
+# Check for a 64-bit object if we didn't find anything.
+if test -z "$aix_libpath"; then
+  aix_libpath=`dump -HX64 conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
+fi
+fi
+rm -f core conftest.err conftest.$ac_objext \
+    conftest$ac_exeext conftest.$ac_ext
+if test -z "$aix_libpath"; then aix_libpath="/usr/lib:/lib"; fi
+
+	 hardcode_libdir_flag_spec='${wl}-blibpath:$libdir:'"$aix_libpath"
+	  # Warning - without using the other run time loading flags,
+	  # -berok will link without error, but may produce a broken library.
+	  no_undefined_flag=' ${wl}-bernotok'
+	  allow_undefined_flag=' ${wl}-berok'
+	  # Exported symbols can be pulled into shared objects from archives
+	  whole_archive_flag_spec='$convenience'
+	  archive_cmds_need_lc=yes
+	  # This is similar to how AIX traditionally builds its shared libraries.
+	  archive_expsym_cmds="\$CC $shared_flag"' -o $output_objdir/$soname $libobjs $deplibs ${wl}-bnoentry $compiler_flags ${wl}-bE:$export_symbols${allow_undefined_flag}~$AR $AR_FLAGS $output_objdir/$libname$release.a $output_objdir/$soname'
+	fi
+      fi
+      ;;
+
+    amigaos*)
+      case $host_cpu in
+      powerpc)
+            # see comment about AmigaOS4 .so support
+            archive_cmds='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+            archive_expsym_cmds=''
+        ;;
+      m68k)
+            archive_cmds='$RM $output_objdir/a2ixlibrary.data~$ECHO "#define NAME $libname" > $output_objdir/a2ixlibrary.data~$ECHO "#define LIBRARY_ID 1" >> $output_objdir/a2ixlibrary.data~$ECHO "#define VERSION $major" >> $output_objdir/a2ixlibrary.data~$ECHO "#define REVISION $revision" >> $output_objdir/a2ixlibrary.data~$AR $AR_FLAGS $lib $libobjs~$RANLIB $lib~(cd $output_objdir && a2ixlibrary -32)'
+            hardcode_libdir_flag_spec='-L$libdir'
+            hardcode_minus_L=yes
+        ;;
+      esac
+      ;;
+
+    bsdi[45]*)
+      export_dynamic_flag_spec=-rdynamic
+      ;;
+
+    cygwin* | mingw* | pw32* | cegcc*)
+      # When not using gcc, we currently assume that we are using
+      # Microsoft Visual C++.
+      # hardcode_libdir_flag_spec is actually meaningless, as there is
+      # no search path for DLLs.
+      hardcode_libdir_flag_spec=' '
+      allow_undefined_flag=unsupported
+      # Tell ltmain to make .lib files, not .a files.
+      libext=lib
+      # Tell ltmain to make .dll files, not .so files.
+      shrext_cmds=".dll"
+      # FIXME: Setting linknames here is a bad hack.
+      archive_cmds='$CC -o $lib $libobjs $compiler_flags `$ECHO "X$deplibs" | $Xsed -e '\''s/ -lc$//'\''` -link -dll~linknames='
+      # The linker will automatically build a .lib file if we build a DLL.
+      old_archive_from_new_cmds='true'
+      # FIXME: Should let the user specify the lib program.
+      old_archive_cmds='lib -OUT:$oldlib$oldobjs$old_deplibs'
+      fix_srcfile_path='`cygpath -w "$srcfile"`'
+      enable_shared_with_static_runtimes=yes
+      ;;
+
+    darwin* | rhapsody*)
+
+
+  archive_cmds_need_lc=no
+  hardcode_direct=no
+  hardcode_automatic=yes
+  hardcode_shlibpath_var=unsupported
+  whole_archive_flag_spec=''
+  link_all_deplibs=yes
+  allow_undefined_flag="$_lt_dar_allow_undefined"
+  case $cc_basename in
+     ifort*) _lt_dar_can_shared=yes ;;
+     *) _lt_dar_can_shared=$GCC ;;
+  esac
+  if test "$_lt_dar_can_shared" = "yes"; then
+    output_verbose_link_cmd=echo
+    archive_cmds="\$CC -dynamiclib \$allow_undefined_flag -o \$lib \$libobjs \$deplibs \$compiler_flags -install_name \$rpath/\$soname \$verstring $_lt_dar_single_mod${_lt_dsymutil}"
+    module_cmds="\$CC \$allow_undefined_flag -o \$lib -bundle \$libobjs \$deplibs \$compiler_flags${_lt_dsymutil}"
+    archive_expsym_cmds="sed 's,^,_,' < \$export_symbols > \$output_objdir/\${libname}-symbols.expsym~\$CC -dynamiclib \$allow_undefined_flag -o \$lib \$libobjs \$deplibs \$compiler_flags -install_name \$rpath/\$soname \$verstring ${_lt_dar_single_mod}${_lt_dar_export_syms}${_lt_dsymutil}"
+    module_expsym_cmds="sed -e 's,^,_,' < \$export_symbols > \$output_objdir/\${libname}-symbols.expsym~\$CC \$allow_undefined_flag -o \$lib -bundle \$libobjs \$deplibs \$compiler_flags${_lt_dar_export_syms}${_lt_dsymutil}"
+
+  else
+  ld_shlibs=no
+  fi
+
+      ;;
+
+    dgux*)
+      archive_cmds='$LD -G -h $soname -o $lib $libobjs $deplibs $linker_flags'
+      hardcode_libdir_flag_spec='-L$libdir'
+      hardcode_shlibpath_var=no
+      ;;
+
+    freebsd1*)
+      ld_shlibs=no
+      ;;
+
+    # FreeBSD 2.2.[012] allows us to include c++rt0.o to get C++ constructor
+    # support.  Future versions do this automatically, but an explicit c++rt0.o
+    # does not break anything, and helps significantly (at the cost of a little
+    # extra space).
+    freebsd2.2*)
+      archive_cmds='$LD -Bshareable -o $lib $libobjs $deplibs $linker_flags /usr/lib/c++rt0.o'
+      hardcode_libdir_flag_spec='-R$libdir'
+      hardcode_direct=yes
+      hardcode_shlibpath_var=no
+      ;;
+
+    # Unfortunately, older versions of FreeBSD 2 do not have this feature.
+    freebsd2*)
+      archive_cmds='$LD -Bshareable -o $lib $libobjs $deplibs $linker_flags'
+      hardcode_direct=yes
+      hardcode_minus_L=yes
+      hardcode_shlibpath_var=no
+      ;;
+
+    # FreeBSD 3 and greater uses gcc -shared to do shared libraries.
+    freebsd* | dragonfly*)
+      archive_cmds='$CC -shared -o $lib $libobjs $deplibs $compiler_flags'
+      hardcode_libdir_flag_spec='-R$libdir'
+      hardcode_direct=yes
+      hardcode_shlibpath_var=no
+      ;;
+
+    hpux9*)
+      if test "$GCC" = yes; then
+	archive_cmds='$RM $output_objdir/$soname~$CC -shared -fPIC ${wl}+b ${wl}$install_libdir -o $output_objdir/$soname $libobjs $deplibs $compiler_flags~test $output_objdir/$soname = $lib || mv $output_objdir/$soname $lib'
+      else
+	archive_cmds='$RM $output_objdir/$soname~$LD -b +b $install_libdir -o $output_objdir/$soname $libobjs $deplibs $linker_flags~test $output_objdir/$soname = $lib || mv $output_objdir/$soname $lib'
+      fi
+      hardcode_libdir_flag_spec='${wl}+b ${wl}$libdir'
+      hardcode_libdir_separator=:
+      hardcode_direct=yes
+
+      # hardcode_minus_L: Not really in the search PATH,
+      # but as the default location of the library.
+      hardcode_minus_L=yes
+      export_dynamic_flag_spec='${wl}-E'
+      ;;
+
+    hpux10*)
+      if test "$GCC" = yes -a "$with_gnu_ld" = no; then
+	archive_cmds='$CC -shared -fPIC ${wl}+h ${wl}$soname ${wl}+b ${wl}$install_libdir -o $lib $libobjs $deplibs $compiler_flags'
+      else
+	archive_cmds='$LD -b +h $soname +b $install_libdir -o $lib $libobjs $deplibs $linker_flags'
+      fi
+      if test "$with_gnu_ld" = no; then
+	hardcode_libdir_flag_spec='${wl}+b ${wl}$libdir'
+	hardcode_libdir_flag_spec_ld='+b $libdir'
+	hardcode_libdir_separator=:
+	hardcode_direct=yes
+	hardcode_direct_absolute=yes
+	export_dynamic_flag_spec='${wl}-E'
+	# hardcode_minus_L: Not really in the search PATH,
+	# but as the default location of the library.
+	hardcode_minus_L=yes
+      fi
+      ;;
+
+    hpux11*)
+      if test "$GCC" = yes -a "$with_gnu_ld" = no; then
+	case $host_cpu in
+	hppa*64*)
+	  archive_cmds='$CC -shared ${wl}+h ${wl}$soname -o $lib $libobjs $deplibs $compiler_flags'
+	  ;;
+	ia64*)
+	  archive_cmds='$CC -shared -fPIC ${wl}+h ${wl}$soname ${wl}+nodefaultrpath -o $lib $libobjs $deplibs $compiler_flags'
+	  ;;
+	*)
+	  archive_cmds='$CC -shared -fPIC ${wl}+h ${wl}$soname ${wl}+b ${wl}$install_libdir -o $lib $libobjs $deplibs $compiler_flags'
+	  ;;
+	esac
+      else
+	case $host_cpu in
+	hppa*64*)
+	  archive_cmds='$CC -b ${wl}+h ${wl}$soname -o $lib $libobjs $deplibs $compiler_flags'
+	  ;;
+	ia64*)
+	  archive_cmds='$CC -b ${wl}+h ${wl}$soname ${wl}+nodefaultrpath -o $lib $libobjs $deplibs $compiler_flags'
+	  ;;
+	*)
+	  archive_cmds='$CC -b ${wl}+h ${wl}$soname ${wl}+b ${wl}$install_libdir -o $lib $libobjs $deplibs $compiler_flags'
+	  ;;
+	esac
+      fi
+      if test "$with_gnu_ld" = no; then
+	hardcode_libdir_flag_spec='${wl}+b ${wl}$libdir'
+	hardcode_libdir_separator=:
+
+	case $host_cpu in
+	hppa*64*|ia64*)
+	  hardcode_direct=no
+	  hardcode_shlibpath_var=no
+	  ;;
+	*)
+	  hardcode_direct=yes
+	  hardcode_direct_absolute=yes
+	  export_dynamic_flag_spec='${wl}-E'
+
+	  # hardcode_minus_L: Not really in the search PATH,
+	  # but as the default location of the library.
+	  hardcode_minus_L=yes
+	  ;;
+	esac
+      fi
+      ;;
+
+    irix5* | irix6* | nonstopux*)
+      if test "$GCC" = yes; then
+	archive_cmds='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && $ECHO "X${wl}-set_version ${wl}$verstring" | $Xsed` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
+	# Try to use the -exported_symbol ld option, if it does not
+	# work, assume that -exports_file does not work either and
+	# implicitly export all symbols.
+        save_LDFLAGS="$LDFLAGS"
+        LDFLAGS="$LDFLAGS -shared ${wl}-exported_symbol ${wl}foo ${wl}-update_registry ${wl}/dev/null"
+        cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+int foo(void) {}
+_ACEOF
+if ac_fn_c_try_link "$LINENO"; then :
+  archive_expsym_cmds='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && $ECHO "X${wl}-set_version ${wl}$verstring" | $Xsed` ${wl}-update_registry ${wl}${output_objdir}/so_locations ${wl}-exports_file ${wl}$export_symbols -o $lib'
+
+fi
+rm -f core conftest.err conftest.$ac_objext \
+    conftest$ac_exeext conftest.$ac_ext
+        LDFLAGS="$save_LDFLAGS"
+      else
+	archive_cmds='$CC -shared $libobjs $deplibs $compiler_flags -soname $soname `test -n "$verstring" && $ECHO "X-set_version $verstring" | $Xsed` -update_registry ${output_objdir}/so_locations -o $lib'
+	archive_expsym_cmds='$CC -shared $libobjs $deplibs $compiler_flags -soname $soname `test -n "$verstring" && $ECHO "X-set_version $verstring" | $Xsed` -update_registry ${output_objdir}/so_locations -exports_file $export_symbols -o $lib'
+      fi
+      archive_cmds_need_lc='no'
+      hardcode_libdir_flag_spec='${wl}-rpath ${wl}$libdir'
+      hardcode_libdir_separator=:
+      inherit_rpath=yes
+      link_all_deplibs=yes
+      ;;
+
+    netbsd*)
+      if echo __ELF__ | $CC -E - | $GREP __ELF__ >/dev/null; then
+	archive_cmds='$LD -Bshareable -o $lib $libobjs $deplibs $linker_flags'  # a.out
+      else
+	archive_cmds='$LD -shared -o $lib $libobjs $deplibs $linker_flags'      # ELF
+      fi
+      hardcode_libdir_flag_spec='-R$libdir'
+      hardcode_direct=yes
+      hardcode_shlibpath_var=no
+      ;;
+
+    newsos6)
+      archive_cmds='$LD -G -h $soname -o $lib $libobjs $deplibs $linker_flags'
+      hardcode_direct=yes
+      hardcode_libdir_flag_spec='${wl}-rpath ${wl}$libdir'
+      hardcode_libdir_separator=:
+      hardcode_shlibpath_var=no
+      ;;
+
+    *nto* | *qnx*)
+      ;;
+
+    openbsd*)
+      if test -f /usr/libexec/ld.so; then
+	hardcode_direct=yes
+	hardcode_shlibpath_var=no
+	hardcode_direct_absolute=yes
+	if test -z "`echo __ELF__ | $CC -E - | $GREP __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
+	  archive_cmds='$CC -shared $pic_flag -o $lib $libobjs $deplibs $compiler_flags'
+	  archive_expsym_cmds='$CC -shared $pic_flag -o $lib $libobjs $deplibs $compiler_flags ${wl}-retain-symbols-file,$export_symbols'
+	  hardcode_libdir_flag_spec='${wl}-rpath,$libdir'
+	  export_dynamic_flag_spec='${wl}-E'
+	else
+	  case $host_os in
+	   openbsd[01].* | openbsd2.[0-7] | openbsd2.[0-7].*)
+	     archive_cmds='$LD -Bshareable -o $lib $libobjs $deplibs $linker_flags'
+	     hardcode_libdir_flag_spec='-R$libdir'
+	     ;;
+	   *)
+	     archive_cmds='$CC -shared $pic_flag -o $lib $libobjs $deplibs $compiler_flags'
+	     hardcode_libdir_flag_spec='${wl}-rpath,$libdir'
+	     ;;
+	  esac
+	fi
+      else
+	ld_shlibs=no
+      fi
+      ;;
+
+    os2*)
+      hardcode_libdir_flag_spec='-L$libdir'
+      hardcode_minus_L=yes
+      allow_undefined_flag=unsupported
+      archive_cmds='$ECHO "LIBRARY $libname INITINSTANCE" > $output_objdir/$libname.def~$ECHO "DESCRIPTION \"$libname\"" >> $output_objdir/$libname.def~$ECHO DATA >> $output_objdir/$libname.def~$ECHO " SINGLE NONSHARED" >> $output_objdir/$libname.def~$ECHO EXPORTS >> $output_objdir/$libname.def~emxexp $libobjs >> $output_objdir/$libname.def~$CC -Zdll -Zcrtdll -o $lib $libobjs $deplibs $compiler_flags $output_objdir/$libname.def'
+      old_archive_from_new_cmds='emximp -o $output_objdir/$libname.a $output_objdir/$libname.def'
+      ;;
+
+    osf3*)
+      if test "$GCC" = yes; then
+	allow_undefined_flag=' ${wl}-expect_unresolved ${wl}\*'
+	archive_cmds='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && $ECHO "X${wl}-set_version ${wl}$verstring" | $Xsed` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
+      else
+	allow_undefined_flag=' -expect_unresolved \*'
+	archive_cmds='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags -soname $soname `test -n "$verstring" && $ECHO "X-set_version $verstring" | $Xsed` -update_registry ${output_objdir}/so_locations -o $lib'
+      fi
+      archive_cmds_need_lc='no'
+      hardcode_libdir_flag_spec='${wl}-rpath ${wl}$libdir'
+      hardcode_libdir_separator=:
+      ;;
+
+    osf4* | osf5*)	# as osf3* with the addition of -msym flag
+      if test "$GCC" = yes; then
+	allow_undefined_flag=' ${wl}-expect_unresolved ${wl}\*'
+	archive_cmds='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags ${wl}-msym ${wl}-soname ${wl}$soname `test -n "$verstring" && $ECHO "X${wl}-set_version ${wl}$verstring" | $Xsed` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
+	hardcode_libdir_flag_spec='${wl}-rpath ${wl}$libdir'
+      else
+	allow_undefined_flag=' -expect_unresolved \*'
+	archive_cmds='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags -msym -soname $soname `test -n "$verstring" && $ECHO "X-set_version $verstring" | $Xsed` -update_registry ${output_objdir}/so_locations -o $lib'
+	archive_expsym_cmds='for i in `cat $export_symbols`; do printf "%s %s\\n" -exported_symbol "\$i" >> $lib.exp; done; printf "%s\\n" "-hidden">> $lib.exp~
+	$CC -shared${allow_undefined_flag} ${wl}-input ${wl}$lib.exp $compiler_flags $libobjs $deplibs -soname $soname `test -n "$verstring" && $ECHO "X-set_version $verstring" | $Xsed` -update_registry ${output_objdir}/so_locations -o $lib~$RM $lib.exp'
+
+	# Both c and cxx compiler support -rpath directly
+	hardcode_libdir_flag_spec='-rpath $libdir'
+      fi
+      archive_cmds_need_lc='no'
+      hardcode_libdir_separator=:
+      ;;
+
+    solaris*)
+      no_undefined_flag=' -z defs'
+      if test "$GCC" = yes; then
+	wlarc='${wl}'
+	archive_cmds='$CC -shared ${wl}-z ${wl}text ${wl}-h ${wl}$soname -o $lib $libobjs $deplibs $compiler_flags'
+	archive_expsym_cmds='echo "{ global:" > $lib.exp~cat $export_symbols | $SED -e "s/\(.*\)/\1;/" >> $lib.exp~echo "local: *; };" >> $lib.exp~
+	  $CC -shared ${wl}-z ${wl}text ${wl}-M ${wl}$lib.exp ${wl}-h ${wl}$soname -o $lib $libobjs $deplibs $compiler_flags~$RM $lib.exp'
+      else
+	case `$CC -V 2>&1` in
+	*"Compilers 5.0"*)
+	  wlarc=''
+	  archive_cmds='$LD -G${allow_undefined_flag} -h $soname -o $lib $libobjs $deplibs $linker_flags'
+	  archive_expsym_cmds='echo "{ global:" > $lib.exp~cat $export_symbols | $SED -e "s/\(.*\)/\1;/" >> $lib.exp~echo "local: *; };" >> $lib.exp~
+	  $LD -G${allow_undefined_flag} -M $lib.exp -h $soname -o $lib $libobjs $deplibs $linker_flags~$RM $lib.exp'
+	  ;;
+	*)
+	  wlarc='${wl}'
+	  archive_cmds='$CC -G${allow_undefined_flag} -h $soname -o $lib $libobjs $deplibs $compiler_flags'
+	  archive_expsym_cmds='echo "{ global:" > $lib.exp~cat $export_symbols | $SED -e "s/\(.*\)/\1;/" >> $lib.exp~echo "local: *; };" >> $lib.exp~
+	  $CC -G${allow_undefined_flag} -M $lib.exp -h $soname -o $lib $libobjs $deplibs $compiler_flags~$RM $lib.exp'
+	  ;;
+	esac
+      fi
+      hardcode_libdir_flag_spec='-R$libdir'
+      hardcode_shlibpath_var=no
+      case $host_os in
+      solaris2.[0-5] | solaris2.[0-5].*) ;;
+      *)
+	# The compiler driver will combine and reorder linker options,
+	# but understands `-z linker_flag'.  GCC discards it without `$wl',
+	# but is careful enough not to reorder.
+	# Supported since Solaris 2.6 (maybe 2.5.1?)
+	if test "$GCC" = yes; then
+	  whole_archive_flag_spec='${wl}-z ${wl}allextract$convenience ${wl}-z ${wl}defaultextract'
+	else
+	  whole_archive_flag_spec='-z allextract$convenience -z defaultextract'
+	fi
+	;;
+      esac
+      link_all_deplibs=yes
+      ;;
+
+    sunos4*)
+      if test "x$host_vendor" = xsequent; then
+	# Use $CC to link under sequent, because it throws in some extra .o
+	# files that make .init and .fini sections work.
+	archive_cmds='$CC -G ${wl}-h $soname -o $lib $libobjs $deplibs $compiler_flags'
+      else
+	archive_cmds='$LD -assert pure-text -Bstatic -o $lib $libobjs $deplibs $linker_flags'
+      fi
+      hardcode_libdir_flag_spec='-L$libdir'
+      hardcode_direct=yes
+      hardcode_minus_L=yes
+      hardcode_shlibpath_var=no
+      ;;
+
+    sysv4)
+      case $host_vendor in
+	sni)
+	  archive_cmds='$LD -G -h $soname -o $lib $libobjs $deplibs $linker_flags'
+	  hardcode_direct=yes # is this really true???
+	;;
+	siemens)
+	  ## LD is ld it makes a PLAMLIB
+	  ## CC just makes a GrossModule.
+	  archive_cmds='$LD -G -o $lib $libobjs $deplibs $linker_flags'
+	  reload_cmds='$CC -r -o $output$reload_objs'
+	  hardcode_direct=no
+        ;;
+	motorola)
+	  archive_cmds='$LD -G -h $soname -o $lib $libobjs $deplibs $linker_flags'
+	  hardcode_direct=no #Motorola manual says yes, but my tests say they lie
+	;;
+      esac
+      runpath_var='LD_RUN_PATH'
+      hardcode_shlibpath_var=no
+      ;;
+
+    sysv4.3*)
+      archive_cmds='$LD -G -h $soname -o $lib $libobjs $deplibs $linker_flags'
+      hardcode_shlibpath_var=no
+      export_dynamic_flag_spec='-Bexport'
+      ;;
+
+    sysv4*MP*)
+      if test -d /usr/nec; then
+	archive_cmds='$LD -G -h $soname -o $lib $libobjs $deplibs $linker_flags'
+	hardcode_shlibpath_var=no
+	runpath_var=LD_RUN_PATH
+	hardcode_runpath_var=yes
+	ld_shlibs=yes
+      fi
+      ;;
+
+    sysv4*uw2* | sysv5OpenUNIX* | sysv5UnixWare7.[01].[10]* | unixware7* | sco3.2v5.0.[024]*)
+      no_undefined_flag='${wl}-z,text'
+      archive_cmds_need_lc=no
+      hardcode_shlibpath_var=no
+      runpath_var='LD_RUN_PATH'
+
+      if test "$GCC" = yes; then
+	archive_cmds='$CC -shared ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+	archive_expsym_cmds='$CC -shared ${wl}-Bexport:$export_symbols ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+      else
+	archive_cmds='$CC -G ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+	archive_expsym_cmds='$CC -G ${wl}-Bexport:$export_symbols ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+      fi
+      ;;
+
+    sysv5* | sco3.2v5* | sco5v6*)
+      # Note: We can NOT use -z defs as we might desire, because we do not
+      # link with -lc, and that would cause any symbols used from libc to
+      # always be unresolved, which means just about no library would
+      # ever link correctly.  If we're not using GNU ld we use -z text
+      # though, which does catch some bad symbols but isn't as heavy-handed
+      # as -z defs.
+      no_undefined_flag='${wl}-z,text'
+      allow_undefined_flag='${wl}-z,nodefs'
+      archive_cmds_need_lc=no
+      hardcode_shlibpath_var=no
+      hardcode_libdir_flag_spec='${wl}-R,$libdir'
+      hardcode_libdir_separator=':'
+      link_all_deplibs=yes
+      export_dynamic_flag_spec='${wl}-Bexport'
+      runpath_var='LD_RUN_PATH'
+
+      if test "$GCC" = yes; then
+	archive_cmds='$CC -shared ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+	archive_expsym_cmds='$CC -shared ${wl}-Bexport:$export_symbols ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+      else
+	archive_cmds='$CC -G ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+	archive_expsym_cmds='$CC -G ${wl}-Bexport:$export_symbols ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+      fi
+      ;;
+
+    uts4*)
+      archive_cmds='$LD -G -h $soname -o $lib $libobjs $deplibs $linker_flags'
+      hardcode_libdir_flag_spec='-L$libdir'
+      hardcode_shlibpath_var=no
+      ;;
+
+    *)
+      ld_shlibs=no
+      ;;
+    esac
+
+    if test x$host_vendor = xsni; then
+      case $host in
+      sysv4 | sysv4.2uw2* | sysv4.3* | sysv5*)
+	export_dynamic_flag_spec='${wl}-Blargedynsym'
+	;;
+      esac
+    fi
+  fi
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ld_shlibs" >&5
+$as_echo "$ld_shlibs" >&6; }
+test "$ld_shlibs" = no && can_build_shared=no
+
+with_gnu_ld=$with_gnu_ld
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+#
+# Do we need to explicitly link libc?
+#
+case "x$archive_cmds_need_lc" in
+x|xyes)
+  # Assume -lc should be added
+  archive_cmds_need_lc=yes
+
+  if test "$enable_shared" = yes && test "$GCC" = yes; then
+    case $archive_cmds in
+    *'~'*)
+      # FIXME: we may have to deal with multi-command sequences.
+      ;;
+    '$CC '*)
+      # Test whether the compiler implicitly links with -lc since on some
+      # systems, -lgcc has to come before -lc. If gcc already passes -lc
+      # to ld, don't add -lc before -lgcc.
+      { $as_echo "$as_me:${as_lineno-$LINENO}: checking whether -lc should be explicitly linked in" >&5
+$as_echo_n "checking whether -lc should be explicitly linked in... " >&6; }
+      $RM conftest*
+      echo "$lt_simple_compile_test_code" > conftest.$ac_ext
+
+      if { { eval echo "\"\$as_me\":${as_lineno-$LINENO}: \"$ac_compile\""; } >&5
+  (eval $ac_compile) 2>&5
+  ac_status=$?
+  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
+  test $ac_status = 0; } 2>conftest.err; then
+        soname=conftest
+        lib=conftest
+        libobjs=conftest.$ac_objext
+        deplibs=
+        wl=$lt_prog_compiler_wl
+	pic_flag=$lt_prog_compiler_pic
+        compiler_flags=-v
+        linker_flags=-v
+        verstring=
+        output_objdir=.
+        libname=conftest
+        lt_save_allow_undefined_flag=$allow_undefined_flag
+        allow_undefined_flag=
+        if { { eval echo "\"\$as_me\":${as_lineno-$LINENO}: \"$archive_cmds 2\>\&1 \| $GREP \" -lc \" \>/dev/null 2\>\&1\""; } >&5
+  (eval $archive_cmds 2\>\&1 \| $GREP \" -lc \" \>/dev/null 2\>\&1) 2>&5
+  ac_status=$?
+  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
+  test $ac_status = 0; }
+        then
+	  archive_cmds_need_lc=no
+        else
+	  archive_cmds_need_lc=yes
+        fi
+        allow_undefined_flag=$lt_save_allow_undefined_flag
+      else
+        cat conftest.err 1>&5
+      fi
+      $RM conftest*
+      { $as_echo "$as_me:${as_lineno-$LINENO}: result: $archive_cmds_need_lc" >&5
+$as_echo "$archive_cmds_need_lc" >&6; }
+      ;;
+    esac
+  fi
+  ;;
+esac
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+  { $as_echo "$as_me:${as_lineno-$LINENO}: checking dynamic linker characteristics" >&5
+$as_echo_n "checking dynamic linker characteristics... " >&6; }
+
+if test "$GCC" = yes; then
+  case $host_os in
+    darwin*) lt_awk_arg="/^libraries:/,/LR/" ;;
+    *) lt_awk_arg="/^libraries:/" ;;
+  esac
+  lt_search_path_spec=`$CC -print-search-dirs | awk $lt_awk_arg | $SED -e "s/^libraries://" -e "s,=/,/,g"`
+  if $ECHO "$lt_search_path_spec" | $GREP ';' >/dev/null ; then
+    # if the path contains ";" then we assume it to be the separator
+    # otherwise default to the standard path separator (i.e. ":") - it is
+    # assumed that no part of a normal pathname contains ";" but that should
+    # okay in the real world where ";" in dirpaths is itself problematic.
+    lt_search_path_spec=`$ECHO "$lt_search_path_spec" | $SED -e 's/;/ /g'`
+  else
+    lt_search_path_spec=`$ECHO "$lt_search_path_spec" | $SED  -e "s/$PATH_SEPARATOR/ /g"`
+  fi
+  # Ok, now we have the path, separated by spaces, we can step through it
+  # and add multilib dir if necessary.
+  lt_tmp_lt_search_path_spec=
+  lt_multi_os_dir=`$CC $CPPFLAGS $CFLAGS $LDFLAGS -print-multi-os-directory 2>/dev/null`
+  for lt_sys_path in $lt_search_path_spec; do
+    if test -d "$lt_sys_path/$lt_multi_os_dir"; then
+      lt_tmp_lt_search_path_spec="$lt_tmp_lt_search_path_spec $lt_sys_path/$lt_multi_os_dir"
+    else
+      test -d "$lt_sys_path" && \
+	lt_tmp_lt_search_path_spec="$lt_tmp_lt_search_path_spec $lt_sys_path"
+    fi
+  done
+  lt_search_path_spec=`$ECHO $lt_tmp_lt_search_path_spec | awk '
+BEGIN {RS=" "; FS="/|\n";} {
+  lt_foo="";
+  lt_count=0;
+  for (lt_i = NF; lt_i > 0; lt_i--) {
+    if ($lt_i != "" && $lt_i != ".") {
+      if ($lt_i == "..") {
+        lt_count++;
+      } else {
+        if (lt_count == 0) {
+          lt_foo="/" $lt_i lt_foo;
+        } else {
+          lt_count--;
+        }
+      }
+    }
+  }
+  if (lt_foo != "") { lt_freq[lt_foo]++; }
+  if (lt_freq[lt_foo] == 1) { print lt_foo; }
+}'`
+  sys_lib_search_path_spec=`$ECHO $lt_search_path_spec`
+else
+  sys_lib_search_path_spec="/lib /usr/lib /usr/local/lib"
+fi
+library_names_spec=
+libname_spec='lib$name'
+soname_spec=
+shrext_cmds=".so"
+postinstall_cmds=
+postuninstall_cmds=
+finish_cmds=
+finish_eval=
+shlibpath_var=
+shlibpath_overrides_runpath=unknown
+version_type=none
+dynamic_linker="$host_os ld.so"
+sys_lib_dlsearch_path_spec="/lib /usr/lib"
+need_lib_prefix=unknown
+hardcode_into_libs=no
+
+# when you set need_version to no, make sure it does not cause -set_version
+# flags to be left without arguments
+need_version=unknown
+
+case $host_os in
+aix3*)
+  version_type=linux
+  library_names_spec='${libname}${release}${shared_ext}$versuffix $libname.a'
+  shlibpath_var=LIBPATH
+
+  # AIX 3 has no versioning support, so we append a major version to the name.
+  soname_spec='${libname}${release}${shared_ext}$major'
+  ;;
+
+aix[4-9]*)
+  version_type=linux
+  need_lib_prefix=no
+  need_version=no
+  hardcode_into_libs=yes
+  if test "$host_cpu" = ia64; then
+    # AIX 5 supports IA64
+    library_names_spec='${libname}${release}${shared_ext}$major ${libname}${release}${shared_ext}$versuffix $libname${shared_ext}'
+    shlibpath_var=LD_LIBRARY_PATH
+  else
+    # With GCC up to 2.95.x, collect2 would create an import file
+    # for dependence libraries.  The import file would start with
+    # the line `#! .'.  This would cause the generated library to
+    # depend on `.', always an invalid library.  This was fixed in
+    # development snapshots of GCC prior to 3.0.
+    case $host_os in
+      aix4 | aix4.[01] | aix4.[01].*)
+      if { echo '#if __GNUC__ > 2 || (__GNUC__ == 2 && __GNUC_MINOR__ >= 97)'
+	   echo ' yes '
+	   echo '#endif'; } | ${CC} -E - | $GREP yes > /dev/null; then
+	:
+      else
+	can_build_shared=no
+      fi
+      ;;
+    esac
+    # AIX (on Power*) has no versioning support, so currently we can not hardcode correct
+    # soname into executable. Probably we can add versioning support to
+    # collect2, so additional links can be useful in future.
+    if test "$aix_use_runtimelinking" = yes; then
+      # If using run time linking (on AIX 4.2 or later) use lib<name>.so
+      # instead of lib<name>.a to let people know that these are not
+      # typical AIX shared libraries.
+      library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+    else
+      # We preserve .a as extension for shared libraries through AIX4.2
+      # and later when we are not doing run time linking.
+      library_names_spec='${libname}${release}.a $libname.a'
+      soname_spec='${libname}${release}${shared_ext}$major'
+    fi
+    shlibpath_var=LIBPATH
+  fi
+  ;;
+
+amigaos*)
+  case $host_cpu in
+  powerpc)
+    # Since July 2007 AmigaOS4 officially supports .so libraries.
+    # When compiling the executable, add -use-dynld -Lsobjs: to the compileline.
+    library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+    ;;
+  m68k)
+    library_names_spec='$libname.ixlibrary $libname.a'
+    # Create ${libname}_ixlibrary.a entries in /sys/libs.
+    finish_eval='for lib in `ls $libdir/*.ixlibrary 2>/dev/null`; do libname=`$ECHO "X$lib" | $Xsed -e '\''s%^.*/\([^/]*\)\.ixlibrary$%\1%'\''`; test $RM /sys/libs/${libname}_ixlibrary.a; $show "cd /sys/libs && $LN_S $lib ${libname}_ixlibrary.a"; cd /sys/libs && $LN_S $lib ${libname}_ixlibrary.a || exit 1; done'
+    ;;
+  esac
+  ;;
+
+beos*)
+  library_names_spec='${libname}${shared_ext}'
+  dynamic_linker="$host_os ld.so"
+  shlibpath_var=LIBRARY_PATH
+  ;;
+
+bsdi[45]*)
+  version_type=linux
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  finish_cmds='PATH="\$PATH:/sbin" ldconfig $libdir'
+  shlibpath_var=LD_LIBRARY_PATH
+  sys_lib_search_path_spec="/shlib /usr/lib /usr/X11/lib /usr/contrib/lib /lib /usr/local/lib"
+  sys_lib_dlsearch_path_spec="/shlib /usr/lib /usr/local/lib"
+  # the default ld.so.conf also contains /usr/contrib/lib and
+  # /usr/X11R6/lib (/usr/X11 is a link to /usr/X11R6), but let us allow
+  # libtool to hard-code these into programs
+  ;;
+
+cygwin* | mingw* | pw32* | cegcc*)
+  version_type=windows
+  shrext_cmds=".dll"
+  need_version=no
+  need_lib_prefix=no
+
+  case $GCC,$host_os in
+  yes,cygwin* | yes,mingw* | yes,pw32* | yes,cegcc*)
+    library_names_spec='$libname.dll.a'
+    # DLL is installed to $(libdir)/../bin by postinstall_cmds
+    postinstall_cmds='base_file=`basename \${file}`~
+      dlpath=`$SHELL 2>&1 -c '\''. $dir/'\''\${base_file}'\''i; echo \$dlname'\''`~
+      dldir=$destdir/`dirname \$dlpath`~
+      test -d \$dldir || mkdir -p \$dldir~
+      $install_prog $dir/$dlname \$dldir/$dlname~
+      chmod a+x \$dldir/$dlname~
+      if test -n '\''$stripme'\'' && test -n '\''$striplib'\''; then
+        eval '\''$striplib \$dldir/$dlname'\'' || exit \$?;
+      fi'
+    postuninstall_cmds='dldll=`$SHELL 2>&1 -c '\''. $file; echo \$dlname'\''`~
+      dlpath=$dir/\$dldll~
+       $RM \$dlpath'
+    shlibpath_overrides_runpath=yes
+
+    case $host_os in
+    cygwin*)
+      # Cygwin DLLs use 'cyg' prefix rather than 'lib'
+      soname_spec='`echo ${libname} | sed -e 's/^lib/cyg/'``echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
+      sys_lib_search_path_spec="/usr/lib /lib/w32api /lib /usr/local/lib"
+      ;;
+    mingw* | cegcc*)
+      # MinGW DLLs use traditional 'lib' prefix
+      soname_spec='${libname}`echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
+      sys_lib_search_path_spec=`$CC -print-search-dirs | $GREP "^libraries:" | $SED -e "s/^libraries://" -e "s,=/,/,g"`
+      if $ECHO "$sys_lib_search_path_spec" | $GREP ';[c-zC-Z]:/' >/dev/null; then
+        # It is most probably a Windows format PATH printed by
+        # mingw gcc, but we are running on Cygwin. Gcc prints its search
+        # path with ; separators, and with drive letters. We can handle the
+        # drive letters (cygwin fileutils understands them), so leave them,
+        # especially as we might pass files found there to a mingw objdump,
+        # which wouldn't understand a cygwinified path. Ahh.
+        sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | $SED -e 's/;/ /g'`
+      else
+        sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | $SED  -e "s/$PATH_SEPARATOR/ /g"`
+      fi
+      ;;
+    pw32*)
+      # pw32 DLLs use 'pw' prefix rather than 'lib'
+      library_names_spec='`echo ${libname} | sed -e 's/^lib/pw/'``echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
+      ;;
+    esac
+    ;;
+
+  *)
+    library_names_spec='${libname}`echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext} $libname.lib'
+    ;;
+  esac
+  dynamic_linker='Win32 ld.exe'
+  # FIXME: first we should search . and the directory the executable is in
+  shlibpath_var=PATH
+  ;;
+
+darwin* | rhapsody*)
+  dynamic_linker="$host_os dyld"
+  version_type=darwin
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${major}$shared_ext ${libname}$shared_ext'
+  soname_spec='${libname}${release}${major}$shared_ext'
+  shlibpath_overrides_runpath=yes
+  shlibpath_var=DYLD_LIBRARY_PATH
+  shrext_cmds='`test .$module = .yes && echo .so || echo .dylib`'
+
+  sys_lib_search_path_spec="$sys_lib_search_path_spec /usr/local/lib"
+  sys_lib_dlsearch_path_spec='/usr/local/lib /lib /usr/lib'
+  ;;
+
+dgux*)
+  version_type=linux
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname$shared_ext'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  ;;
+
+freebsd1*)
+  dynamic_linker=no
+  ;;
+
+freebsd* | dragonfly*)
+  # DragonFly does not have aout.  When/if they implement a new
+  # versioning mechanism, adjust this.
+  if test -x /usr/bin/objformat; then
+    objformat=`/usr/bin/objformat`
+  else
+    case $host_os in
+    freebsd[123]*) objformat=aout ;;
+    *) objformat=elf ;;
+    esac
+  fi
+  version_type=freebsd-$objformat
+  case $version_type in
+    freebsd-elf*)
+      library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext} $libname${shared_ext}'
+      need_version=no
+      need_lib_prefix=no
+      ;;
+    freebsd-*)
+      library_names_spec='${libname}${release}${shared_ext}$versuffix $libname${shared_ext}$versuffix'
+      need_version=yes
+      ;;
+  esac
+  shlibpath_var=LD_LIBRARY_PATH
+  case $host_os in
+  freebsd2*)
+    shlibpath_overrides_runpath=yes
+    ;;
+  freebsd3.[01]* | freebsdelf3.[01]*)
+    shlibpath_overrides_runpath=yes
+    hardcode_into_libs=yes
+    ;;
+  freebsd3.[2-9]* | freebsdelf3.[2-9]* | \
+  freebsd4.[0-5] | freebsdelf4.[0-5] | freebsd4.1.1 | freebsdelf4.1.1)
+    shlibpath_overrides_runpath=no
+    hardcode_into_libs=yes
+    ;;
+  *) # from 4.6 on, and DragonFly
+    shlibpath_overrides_runpath=yes
+    hardcode_into_libs=yes
+    ;;
+  esac
+  ;;
+
+gnu*)
+  version_type=linux
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}${major} ${libname}${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  hardcode_into_libs=yes
+  ;;
+
+hpux9* | hpux10* | hpux11*)
+  # Give a soname corresponding to the major version so that dld.sl refuses to
+  # link against other versions.
+  version_type=sunos
+  need_lib_prefix=no
+  need_version=no
+  case $host_cpu in
+  ia64*)
+    shrext_cmds='.so'
+    hardcode_into_libs=yes
+    dynamic_linker="$host_os dld.so"
+    shlibpath_var=LD_LIBRARY_PATH
+    shlibpath_overrides_runpath=yes # Unless +noenvvar is specified.
+    library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+    soname_spec='${libname}${release}${shared_ext}$major'
+    if test "X$HPUX_IA64_MODE" = X32; then
+      sys_lib_search_path_spec="/usr/lib/hpux32 /usr/local/lib/hpux32 /usr/local/lib"
+    else
+      sys_lib_search_path_spec="/usr/lib/hpux64 /usr/local/lib/hpux64"
+    fi
+    sys_lib_dlsearch_path_spec=$sys_lib_search_path_spec
+    ;;
+  hppa*64*)
+    shrext_cmds='.sl'
+    hardcode_into_libs=yes
+    dynamic_linker="$host_os dld.sl"
+    shlibpath_var=LD_LIBRARY_PATH # How should we handle SHLIB_PATH
+    shlibpath_overrides_runpath=yes # Unless +noenvvar is specified.
+    library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+    soname_spec='${libname}${release}${shared_ext}$major'
+    sys_lib_search_path_spec="/usr/lib/pa20_64 /usr/ccs/lib/pa20_64"
+    sys_lib_dlsearch_path_spec=$sys_lib_search_path_spec
+    ;;
+  *)
+    shrext_cmds='.sl'
+    dynamic_linker="$host_os dld.sl"
+    shlibpath_var=SHLIB_PATH
+    shlibpath_overrides_runpath=no # +s is required to enable SHLIB_PATH
+    library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+    soname_spec='${libname}${release}${shared_ext}$major'
+    ;;
+  esac
+  # HP-UX runs *really* slowly unless shared libraries are mode 555.
+  postinstall_cmds='chmod 555 $lib'
+  ;;
+
+interix[3-9]*)
+  version_type=linux
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major ${libname}${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  dynamic_linker='Interix 3.x ld.so.1 (PE, like ELF)'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=no
+  hardcode_into_libs=yes
+  ;;
+
+irix5* | irix6* | nonstopux*)
+  case $host_os in
+    nonstopux*) version_type=nonstopux ;;
+    *)
+	if test "$lt_cv_prog_gnu_ld" = yes; then
+		version_type=linux
+	else
+		version_type=irix
+	fi ;;
+  esac
+  need_lib_prefix=no
+  need_version=no
+  soname_spec='${libname}${release}${shared_ext}$major'
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major ${libname}${release}${shared_ext} $libname${shared_ext}'
+  case $host_os in
+  irix5* | nonstopux*)
+    libsuff= shlibsuff=
+    ;;
+  *)
+    case $LD in # libtool.m4 will add one of these switches to LD
+    *-32|*"-32 "|*-melf32bsmip|*"-melf32bsmip ")
+      libsuff= shlibsuff= libmagic=32-bit;;
+    *-n32|*"-n32 "|*-melf32bmipn32|*"-melf32bmipn32 ")
+      libsuff=32 shlibsuff=N32 libmagic=N32;;
+    *-64|*"-64 "|*-melf64bmip|*"-melf64bmip ")
+      libsuff=64 shlibsuff=64 libmagic=64-bit;;
+    *) libsuff= shlibsuff= libmagic=never-match;;
+    esac
+    ;;
+  esac
+  shlibpath_var=LD_LIBRARY${shlibsuff}_PATH
+  shlibpath_overrides_runpath=no
+  sys_lib_search_path_spec="/usr/lib${libsuff} /lib${libsuff} /usr/local/lib${libsuff}"
+  sys_lib_dlsearch_path_spec="/usr/lib${libsuff} /lib${libsuff}"
+  hardcode_into_libs=yes
+  ;;
+
+# No shared lib support for Linux oldld, aout, or coff.
+linux*oldld* | linux*aout* | linux*coff*)
+  dynamic_linker=no
+  ;;
+
+# This must be Linux ELF.
+linux* | k*bsd*-gnu)
+  version_type=linux
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  finish_cmds='PATH="\$PATH:/sbin" ldconfig -n $libdir'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=no
+  # Some binutils ld are patched to set DT_RUNPATH
+  save_LDFLAGS=$LDFLAGS
+  save_libdir=$libdir
+  eval "libdir=/foo; wl=\"$lt_prog_compiler_wl\"; \
+       LDFLAGS=\"\$LDFLAGS $hardcode_libdir_flag_spec\""
+  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_c_try_link "$LINENO"; then :
+  if  ($OBJDUMP -p conftest$ac_exeext) 2>/dev/null | grep "RUNPATH.*$libdir" >/dev/null; then :
+  shlibpath_overrides_runpath=yes
+fi
+fi
+rm -f core conftest.err conftest.$ac_objext \
+    conftest$ac_exeext conftest.$ac_ext
+  LDFLAGS=$save_LDFLAGS
+  libdir=$save_libdir
+
+  # This implies no fast_install, which is unacceptable.
+  # Some rework will be needed to allow for fast_install
+  # before this can be enabled.
+  hardcode_into_libs=yes
+
+  # Add ABI-specific directories to the system library path.
+  sys_lib_dlsearch_path_spec="/lib64 /usr/lib64 /lib /usr/lib"
+
+  # Append ld.so.conf contents to the search path
+  if test -f /etc/ld.so.conf; then
+    lt_ld_extra=`awk '/^include / { system(sprintf("cd /etc; cat %s 2>/dev/null", \$2)); skip = 1; } { if (!skip) print \$0; skip = 0; }' < /etc/ld.so.conf | $SED -e 's/#.*//;/^[	 ]*hwcap[	 ]/d;s/[:,	]/ /g;s/=[^=]*$//;s/=[^= ]* / /g;/^$/d' | tr '\n' ' '`
+    sys_lib_dlsearch_path_spec="$sys_lib_dlsearch_path_spec $lt_ld_extra"
+  fi
+
+  # We used to test for /lib/ld.so.1 and disable shared libraries on
+  # powerpc, because MkLinux only supported shared libraries with the
+  # GNU dynamic linker.  Since this was broken with cross compilers,
+  # most powerpc-linux boxes support dynamic linking these days and
+  # people can always --disable-shared, the test was removed, and we
+  # assume the GNU/Linux dynamic linker is in use.
+  dynamic_linker='GNU/Linux ld.so'
+  ;;
+
+netbsd*)
+  version_type=sunos
+  need_lib_prefix=no
+  need_version=no
+  if echo __ELF__ | $CC -E - | $GREP __ELF__ >/dev/null; then
+    library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${shared_ext}$versuffix'
+    finish_cmds='PATH="\$PATH:/sbin" ldconfig -m $libdir'
+    dynamic_linker='NetBSD (a.out) ld.so'
+  else
+    library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major ${libname}${shared_ext}'
+    soname_spec='${libname}${release}${shared_ext}$major'
+    dynamic_linker='NetBSD ld.elf_so'
+  fi
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=yes
+  hardcode_into_libs=yes
+  ;;
+
+newsos6)
+  version_type=linux
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=yes
+  ;;
+
+*nto* | *qnx*)
+  version_type=qnx
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=no
+  hardcode_into_libs=yes
+  dynamic_linker='ldqnx.so'
+  ;;
+
+openbsd*)
+  version_type=sunos
+  sys_lib_dlsearch_path_spec="/usr/lib"
+  need_lib_prefix=no
+  # Some older versions of OpenBSD (3.3 at least) *do* need versioned libs.
+  case $host_os in
+    openbsd3.3 | openbsd3.3.*)	need_version=yes ;;
+    *)				need_version=no  ;;
+  esac
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${shared_ext}$versuffix'
+  finish_cmds='PATH="\$PATH:/sbin" ldconfig -m $libdir'
+  shlibpath_var=LD_LIBRARY_PATH
+  if test -z "`echo __ELF__ | $CC -E - | $GREP __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
+    case $host_os in
+      openbsd2.[89] | openbsd2.[89].*)
+	shlibpath_overrides_runpath=no
+	;;
+      *)
+	shlibpath_overrides_runpath=yes
+	;;
+      esac
+  else
+    shlibpath_overrides_runpath=yes
+  fi
+  ;;
+
+os2*)
+  libname_spec='$name'
+  shrext_cmds=".dll"
+  need_lib_prefix=no
+  library_names_spec='$libname${shared_ext} $libname.a'
+  dynamic_linker='OS/2 ld.exe'
+  shlibpath_var=LIBPATH
+  ;;
+
+osf3* | osf4* | osf5*)
+  version_type=osf
+  need_lib_prefix=no
+  need_version=no
+  soname_spec='${libname}${release}${shared_ext}$major'
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  shlibpath_var=LD_LIBRARY_PATH
+  sys_lib_search_path_spec="/usr/shlib /usr/ccs/lib /usr/lib/cmplrs/cc /usr/lib /usr/local/lib /var/shlib"
+  sys_lib_dlsearch_path_spec="$sys_lib_search_path_spec"
+  ;;
+
+rdos*)
+  dynamic_linker=no
+  ;;
+
+solaris*)
+  version_type=linux
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=yes
+  hardcode_into_libs=yes
+  # ldd complains unless libraries are executable
+  postinstall_cmds='chmod +x $lib'
+  ;;
+
+sunos4*)
+  version_type=sunos
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${shared_ext}$versuffix'
+  finish_cmds='PATH="\$PATH:/usr/etc" ldconfig $libdir'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=yes
+  if test "$with_gnu_ld" = yes; then
+    need_lib_prefix=no
+  fi
+  need_version=yes
+  ;;
+
+sysv4 | sysv4.3*)
+  version_type=linux
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  case $host_vendor in
+    sni)
+      shlibpath_overrides_runpath=no
+      need_lib_prefix=no
+      runpath_var=LD_RUN_PATH
+      ;;
+    siemens)
+      need_lib_prefix=no
+      ;;
+    motorola)
+      need_lib_prefix=no
+      need_version=no
+      shlibpath_overrides_runpath=no
+      sys_lib_search_path_spec='/lib /usr/lib /usr/ccs/lib'
+      ;;
+  esac
+  ;;
+
+sysv4*MP*)
+  if test -d /usr/nec ;then
+    version_type=linux
+    library_names_spec='$libname${shared_ext}.$versuffix $libname${shared_ext}.$major $libname${shared_ext}'
+    soname_spec='$libname${shared_ext}.$major'
+    shlibpath_var=LD_LIBRARY_PATH
+  fi
+  ;;
+
+sysv5* | sco3.2v5* | sco5v6* | unixware* | OpenUNIX* | sysv4*uw2*)
+  version_type=freebsd-elf
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext} $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=yes
+  hardcode_into_libs=yes
+  if test "$with_gnu_ld" = yes; then
+    sys_lib_search_path_spec='/usr/local/lib /usr/gnu/lib /usr/ccs/lib /usr/lib /lib'
+  else
+    sys_lib_search_path_spec='/usr/ccs/lib /usr/lib'
+    case $host_os in
+      sco3.2v5*)
+        sys_lib_search_path_spec="$sys_lib_search_path_spec /lib"
+	;;
+    esac
+  fi
+  sys_lib_dlsearch_path_spec='/usr/lib'
+  ;;
+
+tpf*)
+  # TPF is a cross-target only.  Preferred cross-host = GNU/Linux.
+  version_type=linux
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=no
+  hardcode_into_libs=yes
+  ;;
+
+uts4*)
+  version_type=linux
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  ;;
+
+*)
+  dynamic_linker=no
+  ;;
+esac
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $dynamic_linker" >&5
+$as_echo "$dynamic_linker" >&6; }
+test "$dynamic_linker" = no && can_build_shared=no
+
+variables_saved_for_relink="PATH $shlibpath_var $runpath_var"
+if test "$GCC" = yes; then
+  variables_saved_for_relink="$variables_saved_for_relink GCC_EXEC_PREFIX COMPILER_PATH LIBRARY_PATH"
+fi
+
+if test "${lt_cv_sys_lib_search_path_spec+set}" = set; then
+  sys_lib_search_path_spec="$lt_cv_sys_lib_search_path_spec"
+fi
+if test "${lt_cv_sys_lib_dlsearch_path_spec+set}" = set; then
+  sys_lib_dlsearch_path_spec="$lt_cv_sys_lib_dlsearch_path_spec"
+fi
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+  { $as_echo "$as_me:${as_lineno-$LINENO}: checking how to hardcode library paths into programs" >&5
+$as_echo_n "checking how to hardcode library paths into programs... " >&6; }
+hardcode_action=
+if test -n "$hardcode_libdir_flag_spec" ||
+   test -n "$runpath_var" ||
+   test "X$hardcode_automatic" = "Xyes" ; then
+
+  # We can hardcode non-existent directories.
+  if test "$hardcode_direct" != no &&
+     # If the only mechanism to avoid hardcoding is shlibpath_var, we
+     # have to relink, otherwise we might link with an installed library
+     # when we should be linking with a yet-to-be-installed one
+     ## test "$_LT_TAGVAR(hardcode_shlibpath_var, )" != no &&
+     test "$hardcode_minus_L" != no; then
+    # Linking always hardcodes the temporary library directory.
+    hardcode_action=relink
+  else
+    # We can link without hardcoding, and we can hardcode nonexisting dirs.
+    hardcode_action=immediate
+  fi
+else
+  # We cannot hardcode anything, or else we can only hardcode existing
+  # directories.
+  hardcode_action=unsupported
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $hardcode_action" >&5
+$as_echo "$hardcode_action" >&6; }
+
+if test "$hardcode_action" = relink ||
+   test "$inherit_rpath" = yes; then
+  # Fast installation is not supported
+  enable_fast_install=no
+elif test "$shlibpath_overrides_runpath" = yes ||
+     test "$enable_shared" = no; then
+  # Fast installation is not necessary
+  enable_fast_install=needless
+fi
+
+
+
+
+
+
+  if test "x$enable_dlopen" != xyes; then
+  enable_dlopen=unknown
+  enable_dlopen_self=unknown
+  enable_dlopen_self_static=unknown
+else
+  lt_cv_dlopen=no
+  lt_cv_dlopen_libs=
+
+  case $host_os in
+  beos*)
+    lt_cv_dlopen="load_add_on"
+    lt_cv_dlopen_libs=
+    lt_cv_dlopen_self=yes
+    ;;
+
+  mingw* | pw32* | cegcc*)
+    lt_cv_dlopen="LoadLibrary"
+    lt_cv_dlopen_libs=
+    ;;
+
+  cygwin*)
+    lt_cv_dlopen="dlopen"
+    lt_cv_dlopen_libs=
+    ;;
+
+  darwin*)
+  # if libdl is installed we need to link against it
+    { $as_echo "$as_me:${as_lineno-$LINENO}: checking for dlopen in -ldl" >&5
+$as_echo_n "checking for dlopen in -ldl... " >&6; }
+if test "${ac_cv_lib_dl_dlopen+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-ldl  $LIBS"
+cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char dlopen ();
+int
+main ()
+{
+return dlopen ();
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_c_try_link "$LINENO"; then :
+  ac_cv_lib_dl_dlopen=yes
+else
+  ac_cv_lib_dl_dlopen=no
+fi
+rm -f core conftest.err conftest.$ac_objext \
+    conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_lib_dl_dlopen" >&5
+$as_echo "$ac_cv_lib_dl_dlopen" >&6; }
+if test "x$ac_cv_lib_dl_dlopen" = x""yes; then :
+  lt_cv_dlopen="dlopen" lt_cv_dlopen_libs="-ldl"
+else
+
+    lt_cv_dlopen="dyld"
+    lt_cv_dlopen_libs=
+    lt_cv_dlopen_self=yes
+
+fi
+
+    ;;
+
+  *)
+    ac_fn_c_check_func "$LINENO" "shl_load" "ac_cv_func_shl_load"
+if test "x$ac_cv_func_shl_load" = x""yes; then :
+  lt_cv_dlopen="shl_load"
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: checking for shl_load in -ldld" >&5
+$as_echo_n "checking for shl_load in -ldld... " >&6; }
+if test "${ac_cv_lib_dld_shl_load+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-ldld  $LIBS"
+cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char shl_load ();
+int
+main ()
+{
+return shl_load ();
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_c_try_link "$LINENO"; then :
+  ac_cv_lib_dld_shl_load=yes
+else
+  ac_cv_lib_dld_shl_load=no
+fi
+rm -f core conftest.err conftest.$ac_objext \
+    conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_lib_dld_shl_load" >&5
+$as_echo "$ac_cv_lib_dld_shl_load" >&6; }
+if test "x$ac_cv_lib_dld_shl_load" = x""yes; then :
+  lt_cv_dlopen="shl_load" lt_cv_dlopen_libs="-ldld"
+else
+  ac_fn_c_check_func "$LINENO" "dlopen" "ac_cv_func_dlopen"
+if test "x$ac_cv_func_dlopen" = x""yes; then :
+  lt_cv_dlopen="dlopen"
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: checking for dlopen in -ldl" >&5
+$as_echo_n "checking for dlopen in -ldl... " >&6; }
+if test "${ac_cv_lib_dl_dlopen+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-ldl  $LIBS"
+cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char dlopen ();
+int
+main ()
+{
+return dlopen ();
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_c_try_link "$LINENO"; then :
+  ac_cv_lib_dl_dlopen=yes
+else
+  ac_cv_lib_dl_dlopen=no
+fi
+rm -f core conftest.err conftest.$ac_objext \
+    conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_lib_dl_dlopen" >&5
+$as_echo "$ac_cv_lib_dl_dlopen" >&6; }
+if test "x$ac_cv_lib_dl_dlopen" = x""yes; then :
+  lt_cv_dlopen="dlopen" lt_cv_dlopen_libs="-ldl"
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: checking for dlopen in -lsvld" >&5
+$as_echo_n "checking for dlopen in -lsvld... " >&6; }
+if test "${ac_cv_lib_svld_dlopen+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-lsvld  $LIBS"
+cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char dlopen ();
+int
+main ()
+{
+return dlopen ();
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_c_try_link "$LINENO"; then :
+  ac_cv_lib_svld_dlopen=yes
+else
+  ac_cv_lib_svld_dlopen=no
+fi
+rm -f core conftest.err conftest.$ac_objext \
+    conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_lib_svld_dlopen" >&5
+$as_echo "$ac_cv_lib_svld_dlopen" >&6; }
+if test "x$ac_cv_lib_svld_dlopen" = x""yes; then :
+  lt_cv_dlopen="dlopen" lt_cv_dlopen_libs="-lsvld"
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: checking for dld_link in -ldld" >&5
+$as_echo_n "checking for dld_link in -ldld... " >&6; }
+if test "${ac_cv_lib_dld_dld_link+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-ldld  $LIBS"
+cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char dld_link ();
+int
+main ()
+{
+return dld_link ();
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_c_try_link "$LINENO"; then :
+  ac_cv_lib_dld_dld_link=yes
+else
+  ac_cv_lib_dld_dld_link=no
+fi
+rm -f core conftest.err conftest.$ac_objext \
+    conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_lib_dld_dld_link" >&5
+$as_echo "$ac_cv_lib_dld_dld_link" >&6; }
+if test "x$ac_cv_lib_dld_dld_link" = x""yes; then :
+  lt_cv_dlopen="dld_link" lt_cv_dlopen_libs="-ldld"
+fi
+
+
+fi
+
+
+fi
+
+
+fi
+
+
+fi
+
+
+fi
+
+    ;;
+  esac
+
+  if test "x$lt_cv_dlopen" != xno; then
+    enable_dlopen=yes
+  else
+    enable_dlopen=no
+  fi
+
+  case $lt_cv_dlopen in
+  dlopen)
+    save_CPPFLAGS="$CPPFLAGS"
+    test "x$ac_cv_header_dlfcn_h" = xyes && CPPFLAGS="$CPPFLAGS -DHAVE_DLFCN_H"
+
+    save_LDFLAGS="$LDFLAGS"
+    wl=$lt_prog_compiler_wl eval LDFLAGS=\"\$LDFLAGS $export_dynamic_flag_spec\"
+
+    save_LIBS="$LIBS"
+    LIBS="$lt_cv_dlopen_libs $LIBS"
+
+    { $as_echo "$as_me:${as_lineno-$LINENO}: checking whether a program can dlopen itself" >&5
+$as_echo_n "checking whether a program can dlopen itself... " >&6; }
+if test "${lt_cv_dlopen_self+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  	  if test "$cross_compiling" = yes; then :
+  lt_cv_dlopen_self=cross
+else
+  lt_dlunknown=0; lt_dlno_uscore=1; lt_dlneed_uscore=2
+  lt_status=$lt_dlunknown
+  cat > conftest.$ac_ext <<_LT_EOF
+#line 12842 "configure"
+#include "confdefs.h"
+
+#if HAVE_DLFCN_H
+#include <dlfcn.h>
+#endif
+
+#include <stdio.h>
+
+#ifdef RTLD_GLOBAL
+#  define LT_DLGLOBAL		RTLD_GLOBAL
+#else
+#  ifdef DL_GLOBAL
+#    define LT_DLGLOBAL		DL_GLOBAL
+#  else
+#    define LT_DLGLOBAL		0
+#  endif
+#endif
+
+/* We may have to define LT_DLLAZY_OR_NOW in the command line if we
+   find out it does not work in some platform. */
+#ifndef LT_DLLAZY_OR_NOW
+#  ifdef RTLD_LAZY
+#    define LT_DLLAZY_OR_NOW		RTLD_LAZY
+#  else
+#    ifdef DL_LAZY
+#      define LT_DLLAZY_OR_NOW		DL_LAZY
+#    else
+#      ifdef RTLD_NOW
+#        define LT_DLLAZY_OR_NOW	RTLD_NOW
+#      else
+#        ifdef DL_NOW
+#          define LT_DLLAZY_OR_NOW	DL_NOW
+#        else
+#          define LT_DLLAZY_OR_NOW	0
+#        endif
+#      endif
+#    endif
+#  endif
+#endif
+
+void fnord() { int i=42;}
+int main ()
+{
+  void *self = dlopen (0, LT_DLGLOBAL|LT_DLLAZY_OR_NOW);
+  int status = $lt_dlunknown;
+
+  if (self)
+    {
+      if (dlsym (self,"fnord"))       status = $lt_dlno_uscore;
+      else if (dlsym( self,"_fnord")) status = $lt_dlneed_uscore;
+      /* dlclose (self); */
+    }
+  else
+    puts (dlerror ());
+
+  return status;
+}
+_LT_EOF
+  if { { eval echo "\"\$as_me\":${as_lineno-$LINENO}: \"$ac_link\""; } >&5
+  (eval $ac_link) 2>&5
+  ac_status=$?
+  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
+  test $ac_status = 0; } && test -s conftest${ac_exeext} 2>/dev/null; then
+    (./conftest; exit; ) >&5 2>/dev/null
+    lt_status=$?
+    case x$lt_status in
+      x$lt_dlno_uscore) lt_cv_dlopen_self=yes ;;
+      x$lt_dlneed_uscore) lt_cv_dlopen_self=yes ;;
+      x$lt_dlunknown|x*) lt_cv_dlopen_self=no ;;
+    esac
+  else :
+    # compilation failed
+    lt_cv_dlopen_self=no
+  fi
+fi
+rm -fr conftest*
+
+
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $lt_cv_dlopen_self" >&5
+$as_echo "$lt_cv_dlopen_self" >&6; }
+
+    if test "x$lt_cv_dlopen_self" = xyes; then
+      wl=$lt_prog_compiler_wl eval LDFLAGS=\"\$LDFLAGS $lt_prog_compiler_static\"
+      { $as_echo "$as_me:${as_lineno-$LINENO}: checking whether a statically linked program can dlopen itself" >&5
+$as_echo_n "checking whether a statically linked program can dlopen itself... " >&6; }
+if test "${lt_cv_dlopen_self_static+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  	  if test "$cross_compiling" = yes; then :
+  lt_cv_dlopen_self_static=cross
+else
+  lt_dlunknown=0; lt_dlno_uscore=1; lt_dlneed_uscore=2
+  lt_status=$lt_dlunknown
+  cat > conftest.$ac_ext <<_LT_EOF
+#line 12938 "configure"
+#include "confdefs.h"
+
+#if HAVE_DLFCN_H
+#include <dlfcn.h>
+#endif
+
+#include <stdio.h>
+
+#ifdef RTLD_GLOBAL
+#  define LT_DLGLOBAL		RTLD_GLOBAL
+#else
+#  ifdef DL_GLOBAL
+#    define LT_DLGLOBAL		DL_GLOBAL
+#  else
+#    define LT_DLGLOBAL		0
+#  endif
+#endif
+
+/* We may have to define LT_DLLAZY_OR_NOW in the command line if we
+   find out it does not work in some platform. */
+#ifndef LT_DLLAZY_OR_NOW
+#  ifdef RTLD_LAZY
+#    define LT_DLLAZY_OR_NOW		RTLD_LAZY
+#  else
+#    ifdef DL_LAZY
+#      define LT_DLLAZY_OR_NOW		DL_LAZY
+#    else
+#      ifdef RTLD_NOW
+#        define LT_DLLAZY_OR_NOW	RTLD_NOW
+#      else
+#        ifdef DL_NOW
+#          define LT_DLLAZY_OR_NOW	DL_NOW
+#        else
+#          define LT_DLLAZY_OR_NOW	0
+#        endif
+#      endif
+#    endif
+#  endif
+#endif
+
+void fnord() { int i=42;}
+int main ()
+{
+  void *self = dlopen (0, LT_DLGLOBAL|LT_DLLAZY_OR_NOW);
+  int status = $lt_dlunknown;
+
+  if (self)
+    {
+      if (dlsym (self,"fnord"))       status = $lt_dlno_uscore;
+      else if (dlsym( self,"_fnord")) status = $lt_dlneed_uscore;
+      /* dlclose (self); */
+    }
+  else
+    puts (dlerror ());
+
+  return status;
+}
+_LT_EOF
+  if { { eval echo "\"\$as_me\":${as_lineno-$LINENO}: \"$ac_link\""; } >&5
+  (eval $ac_link) 2>&5
+  ac_status=$?
+  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
+  test $ac_status = 0; } && test -s conftest${ac_exeext} 2>/dev/null; then
+    (./conftest; exit; ) >&5 2>/dev/null
+    lt_status=$?
+    case x$lt_status in
+      x$lt_dlno_uscore) lt_cv_dlopen_self_static=yes ;;
+      x$lt_dlneed_uscore) lt_cv_dlopen_self_static=yes ;;
+      x$lt_dlunknown|x*) lt_cv_dlopen_self_static=no ;;
+    esac
+  else :
+    # compilation failed
+    lt_cv_dlopen_self_static=no
+  fi
+fi
+rm -fr conftest*
+
+
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $lt_cv_dlopen_self_static" >&5
+$as_echo "$lt_cv_dlopen_self_static" >&6; }
+    fi
+
+    CPPFLAGS="$save_CPPFLAGS"
+    LDFLAGS="$save_LDFLAGS"
+    LIBS="$save_LIBS"
+    ;;
+  esac
+
+  case $lt_cv_dlopen_self in
+  yes|no) enable_dlopen_self=$lt_cv_dlopen_self ;;
+  *) enable_dlopen_self=unknown ;;
+  esac
+
+  case $lt_cv_dlopen_self_static in
+  yes|no) enable_dlopen_self_static=$lt_cv_dlopen_self_static ;;
+  *) enable_dlopen_self_static=unknown ;;
+  esac
+fi
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+striplib=
+old_striplib=
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking whether stripping libraries is possible" >&5
+$as_echo_n "checking whether stripping libraries is possible... " >&6; }
+if test -n "$STRIP" && $STRIP -V 2>&1 | $GREP "GNU strip" >/dev/null; then
+  test -z "$old_striplib" && old_striplib="$STRIP --strip-debug"
+  test -z "$striplib" && striplib="$STRIP --strip-unneeded"
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
+$as_echo "yes" >&6; }
+else
+# FIXME - insert some real tests, host_os isn't really good enough
+  case $host_os in
+  darwin*)
+    if test -n "$STRIP" ; then
+      striplib="$STRIP -x"
+      old_striplib="$STRIP -S"
+      { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
+$as_echo "yes" >&6; }
+    else
+      { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+    fi
+    ;;
+  *)
+    { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+    ;;
+  esac
+fi
+
+
+
+
+
+
+
+
+
+
+
+
+  # Report which library types will actually be built
+  { $as_echo "$as_me:${as_lineno-$LINENO}: checking if libtool supports shared libraries" >&5
+$as_echo_n "checking if libtool supports shared libraries... " >&6; }
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $can_build_shared" >&5
+$as_echo "$can_build_shared" >&6; }
+
+  { $as_echo "$as_me:${as_lineno-$LINENO}: checking whether to build shared libraries" >&5
+$as_echo_n "checking whether to build shared libraries... " >&6; }
+  test "$can_build_shared" = "no" && enable_shared=no
+
+  # On AIX, shared libraries and static libraries use the same namespace, and
+  # are all built from PIC.
+  case $host_os in
+  aix3*)
+    test "$enable_shared" = yes && enable_static=no
+    if test -n "$RANLIB"; then
+      archive_cmds="$archive_cmds~\$RANLIB \$lib"
+      postinstall_cmds='$RANLIB $lib'
+    fi
+    ;;
+
+  aix[4-9]*)
+    if test "$host_cpu" != ia64 && test "$aix_use_runtimelinking" = no ; then
+      test "$enable_shared" = yes && enable_static=no
+    fi
+    ;;
+  esac
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $enable_shared" >&5
+$as_echo "$enable_shared" >&6; }
+
+  { $as_echo "$as_me:${as_lineno-$LINENO}: checking whether to build static libraries" >&5
+$as_echo_n "checking whether to build static libraries... " >&6; }
+  # Make sure either enable_shared or enable_static is yes.
+  test "$enable_shared" = yes || enable_static=yes
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $enable_static" >&5
+$as_echo "$enable_static" >&6; }
+
+
+
+
+fi
+ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+CC="$lt_save_CC"
+
+
+ac_ext=cpp
+ac_cpp='$CXXCPP $CPPFLAGS'
+ac_compile='$CXX -c $CXXFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CXX -o conftest$ac_exeext $CXXFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_cxx_compiler_gnu
+
+archive_cmds_need_lc_CXX=no
+allow_undefined_flag_CXX=
+always_export_symbols_CXX=no
+archive_expsym_cmds_CXX=
+compiler_needs_object_CXX=no
+export_dynamic_flag_spec_CXX=
+hardcode_direct_CXX=no
+hardcode_direct_absolute_CXX=no
+hardcode_libdir_flag_spec_CXX=
+hardcode_libdir_flag_spec_ld_CXX=
+hardcode_libdir_separator_CXX=
+hardcode_minus_L_CXX=no
+hardcode_shlibpath_var_CXX=unsupported
+hardcode_automatic_CXX=no
+inherit_rpath_CXX=no
+module_cmds_CXX=
+module_expsym_cmds_CXX=
+link_all_deplibs_CXX=unknown
+old_archive_cmds_CXX=$old_archive_cmds
+no_undefined_flag_CXX=
+whole_archive_flag_spec_CXX=
+enable_shared_with_static_runtimes_CXX=no
+
+# Source file extension for C++ test sources.
+ac_ext=cpp
+
+# Object file extension for compiled C++ test sources.
+objext=o
+objext_CXX=$objext
+
+# No sense in running all these tests if we already determined that
+# the CXX compiler isn't working.  Some variables (like enable_shared)
+# are currently assumed to apply to all compilers on this platform,
+# and will be corrupted by setting them based on a non-working compiler.
+if test "$_lt_caught_CXX_error" != yes; then
+  # Code to be used in simple compile tests
+  lt_simple_compile_test_code="int some_variable = 0;"
+
+  # Code to be used in simple link tests
+  lt_simple_link_test_code='int main(int, char *[]) { return(0); }'
+
+  # ltmain only uses $CC for tagged configurations so make sure $CC is set.
+
+
+
+
+
+
+# If no C compiler was specified, use CC.
+LTCC=${LTCC-"$CC"}
+
+# If no C compiler flags were specified, use CFLAGS.
+LTCFLAGS=${LTCFLAGS-"$CFLAGS"}
+
+# Allow CC to be a program name with arguments.
+compiler=$CC
+
+
+  # save warnings/boilerplate of simple test code
+  ac_outfile=conftest.$ac_objext
+echo "$lt_simple_compile_test_code" >conftest.$ac_ext
+eval "$ac_compile" 2>&1 >/dev/null | $SED '/^$/d; /^ *+/d' >conftest.err
+_lt_compiler_boilerplate=`cat conftest.err`
+$RM conftest*
+
+  ac_outfile=conftest.$ac_objext
+echo "$lt_simple_link_test_code" >conftest.$ac_ext
+eval "$ac_link" 2>&1 >/dev/null | $SED '/^$/d; /^ *+/d' >conftest.err
+_lt_linker_boilerplate=`cat conftest.err`
+$RM -r conftest*
+
+
+  # Allow CC to be a program name with arguments.
+  lt_save_CC=$CC
+  lt_save_LD=$LD
+  lt_save_GCC=$GCC
+  GCC=$GXX
+  lt_save_with_gnu_ld=$with_gnu_ld
+  lt_save_path_LD=$lt_cv_path_LD
+  if test -n "${lt_cv_prog_gnu_ldcxx+set}"; then
+    lt_cv_prog_gnu_ld=$lt_cv_prog_gnu_ldcxx
+  else
+    $as_unset lt_cv_prog_gnu_ld
+  fi
+  if test -n "${lt_cv_path_LDCXX+set}"; then
+    lt_cv_path_LD=$lt_cv_path_LDCXX
+  else
+    $as_unset lt_cv_path_LD
+  fi
+  test -z "${LDCXX+set}" || LD=$LDCXX
+  CC=${CXX-"c++"}
+  compiler=$CC
+  compiler_CXX=$CC
+  for cc_temp in $compiler""; do
+  case $cc_temp in
+    compile | *[\\/]compile | ccache | *[\\/]ccache ) ;;
+    distcc | *[\\/]distcc | purify | *[\\/]purify ) ;;
+    \-*) ;;
+    *) break;;
+  esac
+done
+cc_basename=`$ECHO "X$cc_temp" | $Xsed -e 's%.*/%%' -e "s%^$host_alias-%%"`
+
+
+  if test -n "$compiler"; then
+    # We don't want -fno-exception when compiling C++ code, so set the
+    # no_builtin_flag separately
+    if test "$GXX" = yes; then
+      lt_prog_compiler_no_builtin_flag_CXX=' -fno-builtin'
+    else
+      lt_prog_compiler_no_builtin_flag_CXX=
+    fi
+
+    if test "$GXX" = yes; then
+      # Set up default GNU C++ configuration
+
+
+
+# Check whether --with-gnu-ld was given.
+if test "${with_gnu_ld+set}" = set; then :
+  withval=$with_gnu_ld; test "$withval" = no || with_gnu_ld=yes
+else
+  with_gnu_ld=no
+fi
+
+ac_prog=ld
+if test "$GCC" = yes; then
+  # Check if gcc -print-prog-name=ld gives a path.
+  { $as_echo "$as_me:${as_lineno-$LINENO}: checking for ld used by $CC" >&5
+$as_echo_n "checking for ld used by $CC... " >&6; }
+  case $host in
+  *-*-mingw*)
+    # gcc leaves a trailing carriage return which upsets mingw
+    ac_prog=`($CC -print-prog-name=ld) 2>&5 | tr -d '\015'` ;;
+  *)
+    ac_prog=`($CC -print-prog-name=ld) 2>&5` ;;
+  esac
+  case $ac_prog in
+    # Accept absolute paths.
+    [\\/]* | ?:[\\/]*)
+      re_direlt='/[^/][^/]*/\.\./'
+      # Canonicalize the pathname of ld
+      ac_prog=`$ECHO "$ac_prog"| $SED 's%\\\\%/%g'`
+      while $ECHO "$ac_prog" | $GREP "$re_direlt" > /dev/null 2>&1; do
+	ac_prog=`$ECHO $ac_prog| $SED "s%$re_direlt%/%"`
+      done
+      test -z "$LD" && LD="$ac_prog"
+      ;;
+  "")
+    # If it fails, then pretend we aren't using GCC.
+    ac_prog=ld
+    ;;
+  *)
+    # If it is relative, then search for the first ld in PATH.
+    with_gnu_ld=unknown
+    ;;
+  esac
+elif test "$with_gnu_ld" = yes; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: checking for GNU ld" >&5
+$as_echo_n "checking for GNU ld... " >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: checking for non-GNU ld" >&5
+$as_echo_n "checking for non-GNU ld... " >&6; }
+fi
+if test "${lt_cv_path_LD+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  if test -z "$LD"; then
+  lt_save_ifs="$IFS"; IFS=$PATH_SEPARATOR
+  for ac_dir in $PATH; do
+    IFS="$lt_save_ifs"
+    test -z "$ac_dir" && ac_dir=.
+    if test -f "$ac_dir/$ac_prog" || test -f "$ac_dir/$ac_prog$ac_exeext"; then
+      lt_cv_path_LD="$ac_dir/$ac_prog"
+      # Check to see if the program is GNU ld.  I'd rather use --version,
+      # but apparently some variants of GNU ld only accept -v.
+      # Break only if it was the GNU/non-GNU ld that we prefer.
+      case `"$lt_cv_path_LD" -v 2>&1 </dev/null` in
+      *GNU* | *'with BFD'*)
+	test "$with_gnu_ld" != no && break
+	;;
+      *)
+	test "$with_gnu_ld" != yes && break
+	;;
+      esac
+    fi
+  done
+  IFS="$lt_save_ifs"
+else
+  lt_cv_path_LD="$LD" # Let the user override the test with a path.
+fi
+fi
+
+LD="$lt_cv_path_LD"
+if test -n "$LD"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $LD" >&5
+$as_echo "$LD" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+test -z "$LD" && as_fn_error "no acceptable ld found in \$PATH" "$LINENO" 5
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking if the linker ($LD) is GNU ld" >&5
+$as_echo_n "checking if the linker ($LD) is GNU ld... " >&6; }
+if test "${lt_cv_prog_gnu_ld+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  # I'd rather use --version here, but apparently some GNU lds only accept -v.
+case `$LD -v 2>&1 </dev/null` in
+*GNU* | *'with BFD'*)
+  lt_cv_prog_gnu_ld=yes
+  ;;
+*)
+  lt_cv_prog_gnu_ld=no
+  ;;
+esac
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $lt_cv_prog_gnu_ld" >&5
+$as_echo "$lt_cv_prog_gnu_ld" >&6; }
+with_gnu_ld=$lt_cv_prog_gnu_ld
+
+
+
+
+
+
+
+      # Check if GNU C++ uses GNU ld as the underlying linker, since the
+      # archiving commands below assume that GNU ld is being used.
+      if test "$with_gnu_ld" = yes; then
+        archive_cmds_CXX='$CC -shared -nostdlib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname $wl$soname -o $lib'
+        archive_expsym_cmds_CXX='$CC -shared -nostdlib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname $wl$soname ${wl}-retain-symbols-file $wl$export_symbols -o $lib'
+
+        hardcode_libdir_flag_spec_CXX='${wl}-rpath ${wl}$libdir'
+        export_dynamic_flag_spec_CXX='${wl}--export-dynamic'
+
+        # If archive_cmds runs LD, not CC, wlarc should be empty
+        # XXX I think wlarc can be eliminated in ltcf-cxx, but I need to
+        #     investigate it a little bit more. (MM)
+        wlarc='${wl}'
+
+        # ancient GNU ld didn't support --whole-archive et. al.
+        if eval "`$CC -print-prog-name=ld` --help 2>&1" |
+	  $GREP 'no-whole-archive' > /dev/null; then
+          whole_archive_flag_spec_CXX="$wlarc"'--whole-archive$convenience '"$wlarc"'--no-whole-archive'
+        else
+          whole_archive_flag_spec_CXX=
+        fi
+      else
+        with_gnu_ld=no
+        wlarc=
+
+        # A generic and very simple default shared library creation
+        # command for GNU C++ for the case where it uses the native
+        # linker, instead of GNU ld.  If possible, this setting should
+        # overridden to take advantage of the native linker features on
+        # the platform it is being used on.
+        archive_cmds_CXX='$CC -shared -nostdlib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags -o $lib'
+      fi
+
+      # Commands to make compiler produce verbose output that lists
+      # what "hidden" libraries, object files and flags are used when
+      # linking a shared library.
+      output_verbose_link_cmd='$CC -shared $CFLAGS -v conftest.$objext 2>&1 | $GREP "\-L"'
+
+    else
+      GXX=no
+      with_gnu_ld=no
+      wlarc=
+    fi
+
+    # PORTME: fill in a description of your system's C++ link characteristics
+    { $as_echo "$as_me:${as_lineno-$LINENO}: checking whether the $compiler linker ($LD) supports shared libraries" >&5
+$as_echo_n "checking whether the $compiler linker ($LD) supports shared libraries... " >&6; }
+    ld_shlibs_CXX=yes
+    case $host_os in
+      aix3*)
+        # FIXME: insert proper C++ library support
+        ld_shlibs_CXX=no
+        ;;
+      aix[4-9]*)
+        if test "$host_cpu" = ia64; then
+          # On IA64, the linker does run time linking by default, so we don't
+          # have to do anything special.
+          aix_use_runtimelinking=no
+          exp_sym_flag='-Bexport'
+          no_entry_flag=""
+        else
+          aix_use_runtimelinking=no
+
+          # Test if we are trying to use run time linking or normal
+          # AIX style linking. If -brtl is somewhere in LDFLAGS, we
+          # need to do runtime linking.
+          case $host_os in aix4.[23]|aix4.[23].*|aix[5-9]*)
+	    for ld_flag in $LDFLAGS; do
+	      case $ld_flag in
+	      *-brtl*)
+	        aix_use_runtimelinking=yes
+	        break
+	        ;;
+	      esac
+	    done
+	    ;;
+          esac
+
+          exp_sym_flag='-bexport'
+          no_entry_flag='-bnoentry'
+        fi
+
+        # When large executables or shared objects are built, AIX ld can
+        # have problems creating the table of contents.  If linking a library
+        # or program results in "error TOC overflow" add -mminimal-toc to
+        # CXXFLAGS/CFLAGS for g++/gcc.  In the cases where that is not
+        # enough to fix the problem, add -Wl,-bbigtoc to LDFLAGS.
+
+        archive_cmds_CXX=''
+        hardcode_direct_CXX=yes
+        hardcode_direct_absolute_CXX=yes
+        hardcode_libdir_separator_CXX=':'
+        link_all_deplibs_CXX=yes
+        file_list_spec_CXX='${wl}-f,'
+
+        if test "$GXX" = yes; then
+          case $host_os in aix4.[012]|aix4.[012].*)
+          # We only want to do this on AIX 4.2 and lower, the check
+          # below for broken collect2 doesn't work under 4.3+
+	  collect2name=`${CC} -print-prog-name=collect2`
+	  if test -f "$collect2name" &&
+	     strings "$collect2name" | $GREP resolve_lib_name >/dev/null
+	  then
+	    # We have reworked collect2
+	    :
+	  else
+	    # We have old collect2
+	    hardcode_direct_CXX=unsupported
+	    # It fails to find uninstalled libraries when the uninstalled
+	    # path is not listed in the libpath.  Setting hardcode_minus_L
+	    # to unsupported forces relinking
+	    hardcode_minus_L_CXX=yes
+	    hardcode_libdir_flag_spec_CXX='-L$libdir'
+	    hardcode_libdir_separator_CXX=
+	  fi
+          esac
+          shared_flag='-shared'
+	  if test "$aix_use_runtimelinking" = yes; then
+	    shared_flag="$shared_flag "'${wl}-G'
+	  fi
+        else
+          # not using gcc
+          if test "$host_cpu" = ia64; then
+	  # VisualAge C++, Version 5.5 for AIX 5L for IA-64, Beta 3 Release
+	  # chokes on -Wl,-G. The following line is correct:
+	  shared_flag='-G'
+          else
+	    if test "$aix_use_runtimelinking" = yes; then
+	      shared_flag='${wl}-G'
+	    else
+	      shared_flag='${wl}-bM:SRE'
+	    fi
+          fi
+        fi
+
+        export_dynamic_flag_spec_CXX='${wl}-bexpall'
+        # It seems that -bexpall does not export symbols beginning with
+        # underscore (_), so it is better to generate a list of symbols to
+	# export.
+        always_export_symbols_CXX=yes
+        if test "$aix_use_runtimelinking" = yes; then
+          # Warning - without using the other runtime loading flags (-brtl),
+          # -berok will link without error, but may produce a broken library.
+          allow_undefined_flag_CXX='-berok'
+          # Determine the default libpath from the value encoded in an empty
+          # executable.
+          cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_cxx_try_link "$LINENO"; then :
+
+lt_aix_libpath_sed='
+    /Import File Strings/,/^$/ {
+	/^0/ {
+	    s/^0  *\(.*\)$/\1/
+	    p
+	}
+    }'
+aix_libpath=`dump -H conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
+# Check for a 64-bit object if we didn't find anything.
+if test -z "$aix_libpath"; then
+  aix_libpath=`dump -HX64 conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
+fi
+fi
+rm -f core conftest.err conftest.$ac_objext \
+    conftest$ac_exeext conftest.$ac_ext
+if test -z "$aix_libpath"; then aix_libpath="/usr/lib:/lib"; fi
+
+          hardcode_libdir_flag_spec_CXX='${wl}-blibpath:$libdir:'"$aix_libpath"
+
+          archive_expsym_cmds_CXX='$CC -o $output_objdir/$soname $libobjs $deplibs '"\${wl}$no_entry_flag"' $compiler_flags `if test "x${allow_undefined_flag}" != "x"; then $ECHO "X${wl}${allow_undefined_flag}" | $Xsed; else :; fi` '"\${wl}$exp_sym_flag:\$export_symbols $shared_flag"
+        else
+          if test "$host_cpu" = ia64; then
+	    hardcode_libdir_flag_spec_CXX='${wl}-R $libdir:/usr/lib:/lib'
+	    allow_undefined_flag_CXX="-z nodefs"
+	    archive_expsym_cmds_CXX="\$CC $shared_flag"' -o $output_objdir/$soname $libobjs $deplibs '"\${wl}$no_entry_flag"' $compiler_flags ${wl}${allow_undefined_flag} '"\${wl}$exp_sym_flag:\$export_symbols"
+          else
+	    # Determine the default libpath from the value encoded in an
+	    # empty executable.
+	    cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_cxx_try_link "$LINENO"; then :
+
+lt_aix_libpath_sed='
+    /Import File Strings/,/^$/ {
+	/^0/ {
+	    s/^0  *\(.*\)$/\1/
+	    p
+	}
+    }'
+aix_libpath=`dump -H conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
+# Check for a 64-bit object if we didn't find anything.
+if test -z "$aix_libpath"; then
+  aix_libpath=`dump -HX64 conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
+fi
+fi
+rm -f core conftest.err conftest.$ac_objext \
+    conftest$ac_exeext conftest.$ac_ext
+if test -z "$aix_libpath"; then aix_libpath="/usr/lib:/lib"; fi
+
+	    hardcode_libdir_flag_spec_CXX='${wl}-blibpath:$libdir:'"$aix_libpath"
+	    # Warning - without using the other run time loading flags,
+	    # -berok will link without error, but may produce a broken library.
+	    no_undefined_flag_CXX=' ${wl}-bernotok'
+	    allow_undefined_flag_CXX=' ${wl}-berok'
+	    # Exported symbols can be pulled into shared objects from archives
+	    whole_archive_flag_spec_CXX='$convenience'
+	    archive_cmds_need_lc_CXX=yes
+	    # This is similar to how AIX traditionally builds its shared
+	    # libraries.
+	    archive_expsym_cmds_CXX="\$CC $shared_flag"' -o $output_objdir/$soname $libobjs $deplibs ${wl}-bnoentry $compiler_flags ${wl}-bE:$export_symbols${allow_undefined_flag}~$AR $AR_FLAGS $output_objdir/$libname$release.a $output_objdir/$soname'
+          fi
+        fi
+        ;;
+
+      beos*)
+	if $LD --help 2>&1 | $GREP ': supported targets:.* elf' > /dev/null; then
+	  allow_undefined_flag_CXX=unsupported
+	  # Joseph Beckenbach <jrb3 at best.com> says some releases of gcc
+	  # support --undefined.  This deserves some investigation.  FIXME
+	  archive_cmds_CXX='$CC -nostart $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+	else
+	  ld_shlibs_CXX=no
+	fi
+	;;
+
+      chorus*)
+        case $cc_basename in
+          *)
+	  # FIXME: insert proper C++ library support
+	  ld_shlibs_CXX=no
+	  ;;
+        esac
+        ;;
+
+      cygwin* | mingw* | pw32* | cegcc*)
+        # _LT_TAGVAR(hardcode_libdir_flag_spec, CXX) is actually meaningless,
+        # as there is no search path for DLLs.
+        hardcode_libdir_flag_spec_CXX='-L$libdir'
+        allow_undefined_flag_CXX=unsupported
+        always_export_symbols_CXX=no
+        enable_shared_with_static_runtimes_CXX=yes
+
+        if $LD --help 2>&1 | $GREP 'auto-import' > /dev/null; then
+          archive_cmds_CXX='$CC -shared -nostdlib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags -o $output_objdir/$soname ${wl}--enable-auto-image-base -Xlinker --out-implib -Xlinker $lib'
+          # If the export-symbols file already is a .def file (1st line
+          # is EXPORTS), use it as is; otherwise, prepend...
+          archive_expsym_cmds_CXX='if test "x`$SED 1q $export_symbols`" = xEXPORTS; then
+	    cp $export_symbols $output_objdir/$soname.def;
+          else
+	    echo EXPORTS > $output_objdir/$soname.def;
+	    cat $export_symbols >> $output_objdir/$soname.def;
+          fi~
+          $CC -shared -nostdlib $output_objdir/$soname.def $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags -o $output_objdir/$soname ${wl}--enable-auto-image-base -Xlinker --out-implib -Xlinker $lib'
+        else
+          ld_shlibs_CXX=no
+        fi
+        ;;
+      darwin* | rhapsody*)
+
+
+  archive_cmds_need_lc_CXX=no
+  hardcode_direct_CXX=no
+  hardcode_automatic_CXX=yes
+  hardcode_shlibpath_var_CXX=unsupported
+  whole_archive_flag_spec_CXX=''
+  link_all_deplibs_CXX=yes
+  allow_undefined_flag_CXX="$_lt_dar_allow_undefined"
+  case $cc_basename in
+     ifort*) _lt_dar_can_shared=yes ;;
+     *) _lt_dar_can_shared=$GCC ;;
+  esac
+  if test "$_lt_dar_can_shared" = "yes"; then
+    output_verbose_link_cmd=echo
+    archive_cmds_CXX="\$CC -dynamiclib \$allow_undefined_flag -o \$lib \$libobjs \$deplibs \$compiler_flags -install_name \$rpath/\$soname \$verstring $_lt_dar_single_mod${_lt_dsymutil}"
+    module_cmds_CXX="\$CC \$allow_undefined_flag -o \$lib -bundle \$libobjs \$deplibs \$compiler_flags${_lt_dsymutil}"
+    archive_expsym_cmds_CXX="sed 's,^,_,' < \$export_symbols > \$output_objdir/\${libname}-symbols.expsym~\$CC -dynamiclib \$allow_undefined_flag -o \$lib \$libobjs \$deplibs \$compiler_flags -install_name \$rpath/\$soname \$verstring ${_lt_dar_single_mod}${_lt_dar_export_syms}${_lt_dsymutil}"
+    module_expsym_cmds_CXX="sed -e 's,^,_,' < \$export_symbols > \$output_objdir/\${libname}-symbols.expsym~\$CC \$allow_undefined_flag -o \$lib -bundle \$libobjs \$deplibs \$compiler_flags${_lt_dar_export_syms}${_lt_dsymutil}"
+       if test "$lt_cv_apple_cc_single_mod" != "yes"; then
+      archive_cmds_CXX="\$CC -r -keep_private_externs -nostdlib -o \${lib}-master.o \$libobjs~\$CC -dynamiclib \$allow_undefined_flag -o \$lib \${lib}-master.o \$deplibs \$compiler_flags -install_name \$rpath/\$soname \$verstring${_lt_dsymutil}"
+      archive_expsym_cmds_CXX="sed 's,^,_,' < \$export_symbols > \$output_objdir/\${libname}-symbols.expsym~\$CC -r -keep_private_externs -nostdlib -o \${lib}-master.o \$libobjs~\$CC -dynamiclib \$allow_undefined_flag -o \$lib \${lib}-master.o \$deplibs \$compiler_flags -install_name \$rpath/\$soname \$verstring${_lt_dar_export_syms}${_lt_dsymutil}"
+    fi
+
+  else
+  ld_shlibs_CXX=no
+  fi
+
+	;;
+
+      dgux*)
+        case $cc_basename in
+          ec++*)
+	    # FIXME: insert proper C++ library support
+	    ld_shlibs_CXX=no
+	    ;;
+          ghcx*)
+	    # Green Hills C++ Compiler
+	    # FIXME: insert proper C++ library support
+	    ld_shlibs_CXX=no
+	    ;;
+          *)
+	    # FIXME: insert proper C++ library support
+	    ld_shlibs_CXX=no
+	    ;;
+        esac
+        ;;
+
+      freebsd[12]*)
+        # C++ shared libraries reported to be fairly broken before
+	# switch to ELF
+        ld_shlibs_CXX=no
+        ;;
+
+      freebsd-elf*)
+        archive_cmds_need_lc_CXX=no
+        ;;
+
+      freebsd* | dragonfly*)
+        # FreeBSD 3 and later use GNU C++ and GNU ld with standard ELF
+        # conventions
+        ld_shlibs_CXX=yes
+        ;;
+
+      gnu*)
+        ;;
+
+      hpux9*)
+        hardcode_libdir_flag_spec_CXX='${wl}+b ${wl}$libdir'
+        hardcode_libdir_separator_CXX=:
+        export_dynamic_flag_spec_CXX='${wl}-E'
+        hardcode_direct_CXX=yes
+        hardcode_minus_L_CXX=yes # Not in the search PATH,
+				             # but as the default
+				             # location of the library.
+
+        case $cc_basename in
+          CC*)
+            # FIXME: insert proper C++ library support
+            ld_shlibs_CXX=no
+            ;;
+          aCC*)
+            archive_cmds_CXX='$RM $output_objdir/$soname~$CC -b ${wl}+b ${wl}$install_libdir -o $output_objdir/$soname $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags~test $output_objdir/$soname = $lib || mv $output_objdir/$soname $lib'
+            # Commands to make compiler produce verbose output that lists
+            # what "hidden" libraries, object files and flags are used when
+            # linking a shared library.
+            #
+            # There doesn't appear to be a way to prevent this compiler from
+            # explicitly linking system object files so we need to strip them
+            # from the output so that they don't get included in the library
+            # dependencies.
+            output_verbose_link_cmd='templist=`($CC -b $CFLAGS -v conftest.$objext 2>&1) | $EGREP "\-L"`; list=""; for z in $templist; do case $z in conftest.$objext) list="$list $z";; *.$objext);; *) list="$list $z";;esac; done; $ECHO "X$list" | $Xsed'
+            ;;
+          *)
+            if test "$GXX" = yes; then
+              archive_cmds_CXX='$RM $output_objdir/$soname~$CC -shared -nostdlib -fPIC ${wl}+b ${wl}$install_libdir -o $output_objdir/$soname $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags~test $output_objdir/$soname = $lib || mv $output_objdir/$soname $lib'
+            else
+              # FIXME: insert proper C++ library support
+              ld_shlibs_CXX=no
+            fi
+            ;;
+        esac
+        ;;
+
+      hpux10*|hpux11*)
+        if test $with_gnu_ld = no; then
+	  hardcode_libdir_flag_spec_CXX='${wl}+b ${wl}$libdir'
+	  hardcode_libdir_separator_CXX=:
+
+          case $host_cpu in
+            hppa*64*|ia64*)
+              ;;
+            *)
+	      export_dynamic_flag_spec_CXX='${wl}-E'
+              ;;
+          esac
+        fi
+        case $host_cpu in
+          hppa*64*|ia64*)
+            hardcode_direct_CXX=no
+            hardcode_shlibpath_var_CXX=no
+            ;;
+          *)
+            hardcode_direct_CXX=yes
+            hardcode_direct_absolute_CXX=yes
+            hardcode_minus_L_CXX=yes # Not in the search PATH,
+					         # but as the default
+					         # location of the library.
+            ;;
+        esac
+
+        case $cc_basename in
+          CC*)
+	    # FIXME: insert proper C++ library support
+	    ld_shlibs_CXX=no
+	    ;;
+          aCC*)
+	    case $host_cpu in
+	      hppa*64*)
+	        archive_cmds_CXX='$CC -b ${wl}+h ${wl}$soname -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags'
+	        ;;
+	      ia64*)
+	        archive_cmds_CXX='$CC -b ${wl}+h ${wl}$soname ${wl}+nodefaultrpath -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags'
+	        ;;
+	      *)
+	        archive_cmds_CXX='$CC -b ${wl}+h ${wl}$soname ${wl}+b ${wl}$install_libdir -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags'
+	        ;;
+	    esac
+	    # Commands to make compiler produce verbose output that lists
+	    # what "hidden" libraries, object files and flags are used when
+	    # linking a shared library.
+	    #
+	    # There doesn't appear to be a way to prevent this compiler from
+	    # explicitly linking system object files so we need to strip them
+	    # from the output so that they don't get included in the library
+	    # dependencies.
+	    output_verbose_link_cmd='templist=`($CC -b $CFLAGS -v conftest.$objext 2>&1) | $GREP "\-L"`; list=""; for z in $templist; do case $z in conftest.$objext) list="$list $z";; *.$objext);; *) list="$list $z";;esac; done; $ECHO "X$list" | $Xsed'
+	    ;;
+          *)
+	    if test "$GXX" = yes; then
+	      if test $with_gnu_ld = no; then
+	        case $host_cpu in
+	          hppa*64*)
+	            archive_cmds_CXX='$CC -shared -nostdlib -fPIC ${wl}+h ${wl}$soname -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags'
+	            ;;
+	          ia64*)
+	            archive_cmds_CXX='$CC -shared -nostdlib -fPIC ${wl}+h ${wl}$soname ${wl}+nodefaultrpath -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags'
+	            ;;
+	          *)
+	            archive_cmds_CXX='$CC -shared -nostdlib -fPIC ${wl}+h ${wl}$soname ${wl}+b ${wl}$install_libdir -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags'
+	            ;;
+	        esac
+	      fi
+	    else
+	      # FIXME: insert proper C++ library support
+	      ld_shlibs_CXX=no
+	    fi
+	    ;;
+        esac
+        ;;
+
+      interix[3-9]*)
+	hardcode_direct_CXX=no
+	hardcode_shlibpath_var_CXX=no
+	hardcode_libdir_flag_spec_CXX='${wl}-rpath,$libdir'
+	export_dynamic_flag_spec_CXX='${wl}-E'
+	# Hack: On Interix 3.x, we cannot compile PIC because of a broken gcc.
+	# Instead, shared libraries are loaded at an image base (0x10000000 by
+	# default) and relocated if they conflict, which is a slow very memory
+	# consuming and fragmenting process.  To avoid this, we pick a random,
+	# 256 KiB-aligned image base between 0x50000000 and 0x6FFC0000 at link
+	# time.  Moving up from 0x10000000 also allows more sbrk(2) space.
+	archive_cmds_CXX='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-h,$soname ${wl}--image-base,`expr ${RANDOM-$$} % 4096 / 2 \* 262144 + 1342177280` -o $lib'
+	archive_expsym_cmds_CXX='sed "s,^,_," $export_symbols >$output_objdir/$soname.expsym~$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-h,$soname ${wl}--retain-symbols-file,$output_objdir/$soname.expsym ${wl}--image-base,`expr ${RANDOM-$$} % 4096 / 2 \* 262144 + 1342177280` -o $lib'
+	;;
+      irix5* | irix6*)
+        case $cc_basename in
+          CC*)
+	    # SGI C++
+	    archive_cmds_CXX='$CC -shared -all -multigot $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags -soname $soname `test -n "$verstring" && $ECHO "X-set_version $verstring" | $Xsed` -update_registry ${output_objdir}/so_locations -o $lib'
+
+	    # Archives containing C++ object files must be created using
+	    # "CC -ar", where "CC" is the IRIX C++ compiler.  This is
+	    # necessary to make sure instantiated templates are included
+	    # in the archive.
+	    old_archive_cmds_CXX='$CC -ar -WR,-u -o $oldlib $oldobjs'
+	    ;;
+          *)
+	    if test "$GXX" = yes; then
+	      if test "$with_gnu_ld" = no; then
+	        archive_cmds_CXX='$CC -shared -nostdlib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && $ECHO "X${wl}-set_version ${wl}$verstring" | $Xsed` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
+	      else
+	        archive_cmds_CXX='$CC -shared -nostdlib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && $ECHO "X${wl}-set_version ${wl}$verstring" | $Xsed` -o $lib'
+	      fi
+	    fi
+	    link_all_deplibs_CXX=yes
+	    ;;
+        esac
+        hardcode_libdir_flag_spec_CXX='${wl}-rpath ${wl}$libdir'
+        hardcode_libdir_separator_CXX=:
+        inherit_rpath_CXX=yes
+        ;;
+
+      linux* | k*bsd*-gnu)
+        case $cc_basename in
+          KCC*)
+	    # Kuck and Associates, Inc. (KAI) C++ Compiler
+
+	    # KCC will only create a shared library if the output file
+	    # ends with ".so" (or ".sl" for HP-UX), so rename the library
+	    # to its proper name (with version) after linking.
+	    archive_cmds_CXX='tempext=`echo $shared_ext | $SED -e '\''s/\([^()0-9A-Za-z{}]\)/\\\\\1/g'\''`; templib=`echo $lib | $SED -e "s/\${tempext}\..*/.so/"`; $CC $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags --soname $soname -o \$templib; mv \$templib $lib'
+	    archive_expsym_cmds_CXX='tempext=`echo $shared_ext | $SED -e '\''s/\([^()0-9A-Za-z{}]\)/\\\\\1/g'\''`; templib=`echo $lib | $SED -e "s/\${tempext}\..*/.so/"`; $CC $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags --soname $soname -o \$templib ${wl}-retain-symbols-file,$export_symbols; mv \$templib $lib'
+	    # Commands to make compiler produce verbose output that lists
+	    # what "hidden" libraries, object files and flags are used when
+	    # linking a shared library.
+	    #
+	    # There doesn't appear to be a way to prevent this compiler from
+	    # explicitly linking system object files so we need to strip them
+	    # from the output so that they don't get included in the library
+	    # dependencies.
+	    output_verbose_link_cmd='templist=`$CC $CFLAGS -v conftest.$objext -o libconftest$shared_ext 2>&1 | $GREP "ld"`; rm -f libconftest$shared_ext; list=""; for z in $templist; do case $z in conftest.$objext) list="$list $z";; *.$objext);; *) list="$list $z";;esac; done; $ECHO "X$list" | $Xsed'
+
+	    hardcode_libdir_flag_spec_CXX='${wl}-rpath,$libdir'
+	    export_dynamic_flag_spec_CXX='${wl}--export-dynamic'
+
+	    # Archives containing C++ object files must be created using
+	    # "CC -Bstatic", where "CC" is the KAI C++ compiler.
+	    old_archive_cmds_CXX='$CC -Bstatic -o $oldlib $oldobjs'
+	    ;;
+	  icpc* | ecpc* )
+	    # Intel C++
+	    with_gnu_ld=yes
+	    # version 8.0 and above of icpc choke on multiply defined symbols
+	    # if we add $predep_objects and $postdep_objects, however 7.1 and
+	    # earlier do not add the objects themselves.
+	    case `$CC -V 2>&1` in
+	      *"Version 7."*)
+	        archive_cmds_CXX='$CC -shared $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname $wl$soname -o $lib'
+		archive_expsym_cmds_CXX='$CC -shared $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname $wl$soname ${wl}-retain-symbols-file $wl$export_symbols -o $lib'
+		;;
+	      *)  # Version 8.0 or newer
+	        tmp_idyn=
+	        case $host_cpu in
+		  ia64*) tmp_idyn=' -i_dynamic';;
+		esac
+	        archive_cmds_CXX='$CC -shared'"$tmp_idyn"' $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+		archive_expsym_cmds_CXX='$CC -shared'"$tmp_idyn"' $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname ${wl}-retain-symbols-file $wl$export_symbols -o $lib'
+		;;
+	    esac
+	    archive_cmds_need_lc_CXX=no
+	    hardcode_libdir_flag_spec_CXX='${wl}-rpath,$libdir'
+	    export_dynamic_flag_spec_CXX='${wl}--export-dynamic'
+	    whole_archive_flag_spec_CXX='${wl}--whole-archive$convenience ${wl}--no-whole-archive'
+	    ;;
+          pgCC* | pgcpp*)
+            # Portland Group C++ compiler
+	    case `$CC -V` in
+	    *pgCC\ [1-5]* | *pgcpp\ [1-5]*)
+	      prelink_cmds_CXX='tpldir=Template.dir~
+		rm -rf $tpldir~
+		$CC --prelink_objects --instantiation_dir $tpldir $objs $libobjs $compile_deplibs~
+		compile_command="$compile_command `find $tpldir -name \*.o | $NL2SP`"'
+	      old_archive_cmds_CXX='tpldir=Template.dir~
+		rm -rf $tpldir~
+		$CC --prelink_objects --instantiation_dir $tpldir $oldobjs$old_deplibs~
+		$AR $AR_FLAGS $oldlib$oldobjs$old_deplibs `find $tpldir -name \*.o | $NL2SP`~
+		$RANLIB $oldlib'
+	      archive_cmds_CXX='tpldir=Template.dir~
+		rm -rf $tpldir~
+		$CC --prelink_objects --instantiation_dir $tpldir $predep_objects $libobjs $deplibs $convenience $postdep_objects~
+		$CC -shared $pic_flag $predep_objects $libobjs $deplibs `find $tpldir -name \*.o | $NL2SP` $postdep_objects $compiler_flags ${wl}-soname ${wl}$soname -o $lib'
+	      archive_expsym_cmds_CXX='tpldir=Template.dir~
+		rm -rf $tpldir~
+		$CC --prelink_objects --instantiation_dir $tpldir $predep_objects $libobjs $deplibs $convenience $postdep_objects~
+		$CC -shared $pic_flag $predep_objects $libobjs $deplibs `find $tpldir -name \*.o | $NL2SP` $postdep_objects $compiler_flags ${wl}-soname ${wl}$soname ${wl}-retain-symbols-file ${wl}$export_symbols -o $lib'
+	      ;;
+	    *) # Version 6 will use weak symbols
+	      archive_cmds_CXX='$CC -shared $pic_flag $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname ${wl}$soname -o $lib'
+	      archive_expsym_cmds_CXX='$CC -shared $pic_flag $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname ${wl}$soname ${wl}-retain-symbols-file ${wl}$export_symbols -o $lib'
+	      ;;
+	    esac
+
+	    hardcode_libdir_flag_spec_CXX='${wl}--rpath ${wl}$libdir'
+	    export_dynamic_flag_spec_CXX='${wl}--export-dynamic'
+	    whole_archive_flag_spec_CXX='${wl}--whole-archive`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience,$conv\"; done; $ECHO \"$new_convenience\"` ${wl}--no-whole-archive'
+            ;;
+	  cxx*)
+	    # Compaq C++
+	    archive_cmds_CXX='$CC -shared $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname $wl$soname -o $lib'
+	    archive_expsym_cmds_CXX='$CC -shared $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname $wl$soname  -o $lib ${wl}-retain-symbols-file $wl$export_symbols'
+
+	    runpath_var=LD_RUN_PATH
+	    hardcode_libdir_flag_spec_CXX='-rpath $libdir'
+	    hardcode_libdir_separator_CXX=:
+
+	    # Commands to make compiler produce verbose output that lists
+	    # what "hidden" libraries, object files and flags are used when
+	    # linking a shared library.
+	    #
+	    # There doesn't appear to be a way to prevent this compiler from
+	    # explicitly linking system object files so we need to strip them
+	    # from the output so that they don't get included in the library
+	    # dependencies.
+	    output_verbose_link_cmd='templist=`$CC -shared $CFLAGS -v conftest.$objext 2>&1 | $GREP "ld"`; templist=`$ECHO "X$templist" | $Xsed -e "s/\(^.*ld.*\)\( .*ld .*$\)/\1/"`; list=""; for z in $templist; do case $z in conftest.$objext) list="$list $z";; *.$objext);; *) list="$list $z";;esac; done; $ECHO "X$list" | $Xsed'
+	    ;;
+	  xl*)
+	    # IBM XL 8.0 on PPC, with GNU ld
+	    hardcode_libdir_flag_spec_CXX='${wl}-rpath ${wl}$libdir'
+	    export_dynamic_flag_spec_CXX='${wl}--export-dynamic'
+	    archive_cmds_CXX='$CC -qmkshrobj $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+	    if test "x$supports_anon_versioning" = xyes; then
+	      archive_expsym_cmds_CXX='echo "{ global:" > $output_objdir/$libname.ver~
+		cat $export_symbols | sed -e "s/\(.*\)/\1;/" >> $output_objdir/$libname.ver~
+		echo "local: *; };" >> $output_objdir/$libname.ver~
+		$CC -qmkshrobj $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname ${wl}-version-script ${wl}$output_objdir/$libname.ver -o $lib'
+	    fi
+	    ;;
+	  *)
+	    case `$CC -V 2>&1 | sed 5q` in
+	    *Sun\ C*)
+	      # Sun C++ 5.9
+	      no_undefined_flag_CXX=' -zdefs'
+	      archive_cmds_CXX='$CC -G${allow_undefined_flag} -h$soname -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags'
+	      archive_expsym_cmds_CXX='$CC -G${allow_undefined_flag} -h$soname -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-retain-symbols-file ${wl}$export_symbols'
+	      hardcode_libdir_flag_spec_CXX='-R$libdir'
+	      whole_archive_flag_spec_CXX='${wl}--whole-archive`new_convenience=; for conv in $convenience\"\"; do test -z \"$conv\" || new_convenience=\"$new_convenience,$conv\"; done; $ECHO \"$new_convenience\"` ${wl}--no-whole-archive'
+	      compiler_needs_object_CXX=yes
+
+	      # Not sure whether something based on
+	      # $CC $CFLAGS -v conftest.$objext -o libconftest$shared_ext 2>&1
+	      # would be better.
+	      output_verbose_link_cmd='echo'
+
+	      # Archives containing C++ object files must be created using
+	      # "CC -xar", where "CC" is the Sun C++ compiler.  This is
+	      # necessary to make sure instantiated templates are included
+	      # in the archive.
+	      old_archive_cmds_CXX='$CC -xar -o $oldlib $oldobjs'
+	      ;;
+	    esac
+	    ;;
+	esac
+	;;
+
+      lynxos*)
+        # FIXME: insert proper C++ library support
+	ld_shlibs_CXX=no
+	;;
+
+      m88k*)
+        # FIXME: insert proper C++ library support
+        ld_shlibs_CXX=no
+	;;
+
+      mvs*)
+        case $cc_basename in
+          cxx*)
+	    # FIXME: insert proper C++ library support
+	    ld_shlibs_CXX=no
+	    ;;
+	  *)
+	    # FIXME: insert proper C++ library support
+	    ld_shlibs_CXX=no
+	    ;;
+	esac
+	;;
+
+      netbsd*)
+        if echo __ELF__ | $CC -E - | $GREP __ELF__ >/dev/null; then
+	  archive_cmds_CXX='$LD -Bshareable  -o $lib $predep_objects $libobjs $deplibs $postdep_objects $linker_flags'
+	  wlarc=
+	  hardcode_libdir_flag_spec_CXX='-R$libdir'
+	  hardcode_direct_CXX=yes
+	  hardcode_shlibpath_var_CXX=no
+	fi
+	# Workaround some broken pre-1.5 toolchains
+	output_verbose_link_cmd='$CC -shared $CFLAGS -v conftest.$objext 2>&1 | $GREP conftest.$objext | $SED -e "s:-lgcc -lc -lgcc::"'
+	;;
+
+      *nto* | *qnx*)
+        ld_shlibs_CXX=yes
+	;;
+
+      openbsd2*)
+        # C++ shared libraries are fairly broken
+	ld_shlibs_CXX=no
+	;;
+
+      openbsd*)
+	if test -f /usr/libexec/ld.so; then
+	  hardcode_direct_CXX=yes
+	  hardcode_shlibpath_var_CXX=no
+	  hardcode_direct_absolute_CXX=yes
+	  archive_cmds_CXX='$CC -shared $pic_flag $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags -o $lib'
+	  hardcode_libdir_flag_spec_CXX='${wl}-rpath,$libdir'
+	  if test -z "`echo __ELF__ | $CC -E - | grep __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
+	    archive_expsym_cmds_CXX='$CC -shared $pic_flag $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-retain-symbols-file,$export_symbols -o $lib'
+	    export_dynamic_flag_spec_CXX='${wl}-E'
+	    whole_archive_flag_spec_CXX="$wlarc"'--whole-archive$convenience '"$wlarc"'--no-whole-archive'
+	  fi
+	  output_verbose_link_cmd=echo
+	else
+	  ld_shlibs_CXX=no
+	fi
+	;;
+
+      osf3* | osf4* | osf5*)
+        case $cc_basename in
+          KCC*)
+	    # Kuck and Associates, Inc. (KAI) C++ Compiler
+
+	    # KCC will only create a shared library if the output file
+	    # ends with ".so" (or ".sl" for HP-UX), so rename the library
+	    # to its proper name (with version) after linking.
+	    archive_cmds_CXX='tempext=`echo $shared_ext | $SED -e '\''s/\([^()0-9A-Za-z{}]\)/\\\\\1/g'\''`; templib=`echo "$lib" | $SED -e "s/\${tempext}\..*/.so/"`; $CC $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags --soname $soname -o \$templib; mv \$templib $lib'
+
+	    hardcode_libdir_flag_spec_CXX='${wl}-rpath,$libdir'
+	    hardcode_libdir_separator_CXX=:
+
+	    # Archives containing C++ object files must be created using
+	    # the KAI C++ compiler.
+	    case $host in
+	      osf3*) old_archive_cmds_CXX='$CC -Bstatic -o $oldlib $oldobjs' ;;
+	      *) old_archive_cmds_CXX='$CC -o $oldlib $oldobjs' ;;
+	    esac
+	    ;;
+          RCC*)
+	    # Rational C++ 2.4.1
+	    # FIXME: insert proper C++ library support
+	    ld_shlibs_CXX=no
+	    ;;
+          cxx*)
+	    case $host in
+	      osf3*)
+	        allow_undefined_flag_CXX=' ${wl}-expect_unresolved ${wl}\*'
+	        archive_cmds_CXX='$CC -shared${allow_undefined_flag} $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname $soname `test -n "$verstring" && $ECHO "X${wl}-set_version $verstring" | $Xsed` -update_registry ${output_objdir}/so_locations -o $lib'
+	        hardcode_libdir_flag_spec_CXX='${wl}-rpath ${wl}$libdir'
+		;;
+	      *)
+	        allow_undefined_flag_CXX=' -expect_unresolved \*'
+	        archive_cmds_CXX='$CC -shared${allow_undefined_flag} $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags -msym -soname $soname `test -n "$verstring" && $ECHO "X-set_version $verstring" | $Xsed` -update_registry ${output_objdir}/so_locations -o $lib'
+	        archive_expsym_cmds_CXX='for i in `cat $export_symbols`; do printf "%s %s\\n" -exported_symbol "\$i" >> $lib.exp; done~
+	          echo "-hidden">> $lib.exp~
+	          $CC -shared$allow_undefined_flag $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags -msym -soname $soname ${wl}-input ${wl}$lib.exp  `test -n "$verstring" && $ECHO "X-set_version $verstring" | $Xsed` -update_registry ${output_objdir}/so_locations -o $lib~
+	          $RM $lib.exp'
+	        hardcode_libdir_flag_spec_CXX='-rpath $libdir'
+		;;
+	    esac
+
+	    hardcode_libdir_separator_CXX=:
+
+	    # Commands to make compiler produce verbose output that lists
+	    # what "hidden" libraries, object files and flags are used when
+	    # linking a shared library.
+	    #
+	    # There doesn't appear to be a way to prevent this compiler from
+	    # explicitly linking system object files so we need to strip them
+	    # from the output so that they don't get included in the library
+	    # dependencies.
+	    output_verbose_link_cmd='templist=`$CC -shared $CFLAGS -v conftest.$objext 2>&1 | $GREP "ld" | $GREP -v "ld:"`; templist=`$ECHO "X$templist" | $Xsed -e "s/\(^.*ld.*\)\( .*ld.*$\)/\1/"`; list=""; for z in $templist; do case $z in conftest.$objext) list="$list $z";; *.$objext);; *) list="$list $z";;esac; done; $ECHO "X$list" | $Xsed'
+	    ;;
+	  *)
+	    if test "$GXX" = yes && test "$with_gnu_ld" = no; then
+	      allow_undefined_flag_CXX=' ${wl}-expect_unresolved ${wl}\*'
+	      case $host in
+	        osf3*)
+	          archive_cmds_CXX='$CC -shared -nostdlib ${allow_undefined_flag} $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && $ECHO "X${wl}-set_version ${wl}$verstring" | $Xsed` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
+		  ;;
+	        *)
+	          archive_cmds_CXX='$CC -shared -nostdlib ${allow_undefined_flag} $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-msym ${wl}-soname ${wl}$soname `test -n "$verstring" && $ECHO "${wl}-set_version ${wl}$verstring" | $Xsed` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
+		  ;;
+	      esac
+
+	      hardcode_libdir_flag_spec_CXX='${wl}-rpath ${wl}$libdir'
+	      hardcode_libdir_separator_CXX=:
+
+	      # Commands to make compiler produce verbose output that lists
+	      # what "hidden" libraries, object files and flags are used when
+	      # linking a shared library.
+	      output_verbose_link_cmd='$CC -shared $CFLAGS -v conftest.$objext 2>&1 | $GREP "\-L"'
+
+	    else
+	      # FIXME: insert proper C++ library support
+	      ld_shlibs_CXX=no
+	    fi
+	    ;;
+        esac
+        ;;
+
+      psos*)
+        # FIXME: insert proper C++ library support
+        ld_shlibs_CXX=no
+        ;;
+
+      sunos4*)
+        case $cc_basename in
+          CC*)
+	    # Sun C++ 4.x
+	    # FIXME: insert proper C++ library support
+	    ld_shlibs_CXX=no
+	    ;;
+          lcc*)
+	    # Lucid
+	    # FIXME: insert proper C++ library support
+	    ld_shlibs_CXX=no
+	    ;;
+          *)
+	    # FIXME: insert proper C++ library support
+	    ld_shlibs_CXX=no
+	    ;;
+        esac
+        ;;
+
+      solaris*)
+        case $cc_basename in
+          CC*)
+	    # Sun C++ 4.2, 5.x and Centerline C++
+            archive_cmds_need_lc_CXX=yes
+	    no_undefined_flag_CXX=' -zdefs'
+	    archive_cmds_CXX='$CC -G${allow_undefined_flag}  -h$soname -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags'
+	    archive_expsym_cmds_CXX='echo "{ global:" > $lib.exp~cat $export_symbols | $SED -e "s/\(.*\)/\1;/" >> $lib.exp~echo "local: *; };" >> $lib.exp~
+	      $CC -G${allow_undefined_flag} ${wl}-M ${wl}$lib.exp -h$soname -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags~$RM $lib.exp'
+
+	    hardcode_libdir_flag_spec_CXX='-R$libdir'
+	    hardcode_shlibpath_var_CXX=no
+	    case $host_os in
+	      solaris2.[0-5] | solaris2.[0-5].*) ;;
+	      *)
+		# The compiler driver will combine and reorder linker options,
+		# but understands `-z linker_flag'.
+	        # Supported since Solaris 2.6 (maybe 2.5.1?)
+		whole_archive_flag_spec_CXX='-z allextract$convenience -z defaultextract'
+	        ;;
+	    esac
+	    link_all_deplibs_CXX=yes
+
+	    output_verbose_link_cmd='echo'
+
+	    # Archives containing C++ object files must be created using
+	    # "CC -xar", where "CC" is the Sun C++ compiler.  This is
+	    # necessary to make sure instantiated templates are included
+	    # in the archive.
+	    old_archive_cmds_CXX='$CC -xar -o $oldlib $oldobjs'
+	    ;;
+          gcx*)
+	    # Green Hills C++ Compiler
+	    archive_cmds_CXX='$CC -shared $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-h $wl$soname -o $lib'
+
+	    # The C++ compiler must be used to create the archive.
+	    old_archive_cmds_CXX='$CC $LDFLAGS -archive -o $oldlib $oldobjs'
+	    ;;
+          *)
+	    # GNU C++ compiler with Solaris linker
+	    if test "$GXX" = yes && test "$with_gnu_ld" = no; then
+	      no_undefined_flag_CXX=' ${wl}-z ${wl}defs'
+	      if $CC --version | $GREP -v '^2\.7' > /dev/null; then
+	        archive_cmds_CXX='$CC -shared -nostdlib $LDFLAGS $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-h $wl$soname -o $lib'
+	        archive_expsym_cmds_CXX='echo "{ global:" > $lib.exp~cat $export_symbols | $SED -e "s/\(.*\)/\1;/" >> $lib.exp~echo "local: *; };" >> $lib.exp~
+		  $CC -shared -nostdlib ${wl}-M $wl$lib.exp -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags~$RM $lib.exp'
+
+	        # Commands to make compiler produce verbose output that lists
+	        # what "hidden" libraries, object files and flags are used when
+	        # linking a shared library.
+	        output_verbose_link_cmd='$CC -shared $CFLAGS -v conftest.$objext 2>&1 | $GREP "\-L"'
+	      else
+	        # g++ 2.7 appears to require `-G' NOT `-shared' on this
+	        # platform.
+	        archive_cmds_CXX='$CC -G -nostdlib $LDFLAGS $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-h $wl$soname -o $lib'
+	        archive_expsym_cmds_CXX='echo "{ global:" > $lib.exp~cat $export_symbols | $SED -e "s/\(.*\)/\1;/" >> $lib.exp~echo "local: *; };" >> $lib.exp~
+		  $CC -G -nostdlib ${wl}-M $wl$lib.exp -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags~$RM $lib.exp'
+
+	        # Commands to make compiler produce verbose output that lists
+	        # what "hidden" libraries, object files and flags are used when
+	        # linking a shared library.
+	        output_verbose_link_cmd='$CC -G $CFLAGS -v conftest.$objext 2>&1 | $GREP "\-L"'
+	      fi
+
+	      hardcode_libdir_flag_spec_CXX='${wl}-R $wl$libdir'
+	      case $host_os in
+		solaris2.[0-5] | solaris2.[0-5].*) ;;
+		*)
+		  whole_archive_flag_spec_CXX='${wl}-z ${wl}allextract$convenience ${wl}-z ${wl}defaultextract'
+		  ;;
+	      esac
+	    fi
+	    ;;
+        esac
+        ;;
+
+    sysv4*uw2* | sysv5OpenUNIX* | sysv5UnixWare7.[01].[10]* | unixware7* | sco3.2v5.0.[024]*)
+      no_undefined_flag_CXX='${wl}-z,text'
+      archive_cmds_need_lc_CXX=no
+      hardcode_shlibpath_var_CXX=no
+      runpath_var='LD_RUN_PATH'
+
+      case $cc_basename in
+        CC*)
+	  archive_cmds_CXX='$CC -G ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+	  archive_expsym_cmds_CXX='$CC -G ${wl}-Bexport:$export_symbols ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+	  ;;
+	*)
+	  archive_cmds_CXX='$CC -shared ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+	  archive_expsym_cmds_CXX='$CC -shared ${wl}-Bexport:$export_symbols ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+	  ;;
+      esac
+      ;;
+
+      sysv5* | sco3.2v5* | sco5v6*)
+	# Note: We can NOT use -z defs as we might desire, because we do not
+	# link with -lc, and that would cause any symbols used from libc to
+	# always be unresolved, which means just about no library would
+	# ever link correctly.  If we're not using GNU ld we use -z text
+	# though, which does catch some bad symbols but isn't as heavy-handed
+	# as -z defs.
+	no_undefined_flag_CXX='${wl}-z,text'
+	allow_undefined_flag_CXX='${wl}-z,nodefs'
+	archive_cmds_need_lc_CXX=no
+	hardcode_shlibpath_var_CXX=no
+	hardcode_libdir_flag_spec_CXX='${wl}-R,$libdir'
+	hardcode_libdir_separator_CXX=':'
+	link_all_deplibs_CXX=yes
+	export_dynamic_flag_spec_CXX='${wl}-Bexport'
+	runpath_var='LD_RUN_PATH'
+
+	case $cc_basename in
+          CC*)
+	    archive_cmds_CXX='$CC -G ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+	    archive_expsym_cmds_CXX='$CC -G ${wl}-Bexport:$export_symbols ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+	    ;;
+	  *)
+	    archive_cmds_CXX='$CC -shared ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+	    archive_expsym_cmds_CXX='$CC -shared ${wl}-Bexport:$export_symbols ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+	    ;;
+	esac
+      ;;
+
+      tandem*)
+        case $cc_basename in
+          NCC*)
+	    # NonStop-UX NCC 3.20
+	    # FIXME: insert proper C++ library support
+	    ld_shlibs_CXX=no
+	    ;;
+          *)
+	    # FIXME: insert proper C++ library support
+	    ld_shlibs_CXX=no
+	    ;;
+        esac
+        ;;
+
+      vxworks*)
+        # FIXME: insert proper C++ library support
+        ld_shlibs_CXX=no
+        ;;
+
+      *)
+        # FIXME: insert proper C++ library support
+        ld_shlibs_CXX=no
+        ;;
+    esac
+
+    { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ld_shlibs_CXX" >&5
+$as_echo "$ld_shlibs_CXX" >&6; }
+    test "$ld_shlibs_CXX" = no && can_build_shared=no
+
+    GCC_CXX="$GXX"
+    LD_CXX="$LD"
+
+    ## CAVEAT EMPTOR:
+    ## There is no encapsulation within the following macros, do not change
+    ## the running order or otherwise move them around unless you know exactly
+    ## what you are doing...
+    # Dependencies to place before and after the object being linked:
+predep_objects_CXX=
+postdep_objects_CXX=
+predeps_CXX=
+postdeps_CXX=
+compiler_lib_search_path_CXX=
+
+cat > conftest.$ac_ext <<_LT_EOF
+class Foo
+{
+public:
+  Foo (void) { a = 0; }
+private:
+  int a;
+};
+_LT_EOF
+
+if { { eval echo "\"\$as_me\":${as_lineno-$LINENO}: \"$ac_compile\""; } >&5
+  (eval $ac_compile) 2>&5
+  ac_status=$?
+  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
+  test $ac_status = 0; }; then
+  # Parse the compiler output and extract the necessary
+  # objects, libraries and library flags.
+
+  # Sentinel used to keep track of whether or not we are before
+  # the conftest object file.
+  pre_test_object_deps_done=no
+
+  for p in `eval "$output_verbose_link_cmd"`; do
+    case $p in
+
+    -L* | -R* | -l*)
+       # Some compilers place space between "-{L,R}" and the path.
+       # Remove the space.
+       if test $p = "-L" ||
+          test $p = "-R"; then
+	 prev=$p
+	 continue
+       else
+	 prev=
+       fi
+
+       if test "$pre_test_object_deps_done" = no; then
+	 case $p in
+	 -L* | -R*)
+	   # Internal compiler library paths should come after those
+	   # provided the user.  The postdeps already come after the
+	   # user supplied libs so there is no need to process them.
+	   if test -z "$compiler_lib_search_path_CXX"; then
+	     compiler_lib_search_path_CXX="${prev}${p}"
+	   else
+	     compiler_lib_search_path_CXX="${compiler_lib_search_path_CXX} ${prev}${p}"
+	   fi
+	   ;;
+	 # The "-l" case would never come before the object being
+	 # linked, so don't bother handling this case.
+	 esac
+       else
+	 if test -z "$postdeps_CXX"; then
+	   postdeps_CXX="${prev}${p}"
+	 else
+	   postdeps_CXX="${postdeps_CXX} ${prev}${p}"
+	 fi
+       fi
+       ;;
+
+    *.$objext)
+       # This assumes that the test object file only shows up
+       # once in the compiler output.
+       if test "$p" = "conftest.$objext"; then
+	 pre_test_object_deps_done=yes
+	 continue
+       fi
+
+       if test "$pre_test_object_deps_done" = no; then
+	 if test -z "$predep_objects_CXX"; then
+	   predep_objects_CXX="$p"
+	 else
+	   predep_objects_CXX="$predep_objects_CXX $p"
+	 fi
+       else
+	 if test -z "$postdep_objects_CXX"; then
+	   postdep_objects_CXX="$p"
+	 else
+	   postdep_objects_CXX="$postdep_objects_CXX $p"
+	 fi
+       fi
+       ;;
+
+    *) ;; # Ignore the rest.
+
+    esac
+  done
+
+  # Clean up.
+  rm -f a.out a.exe
+else
+  echo "libtool.m4: error: problem compiling CXX test program"
+fi
+
+$RM -f confest.$objext
+
+# PORTME: override above test on systems where it is broken
+case $host_os in
+interix[3-9]*)
+  # Interix 3.5 installs completely hosed .la files for C++, so rather than
+  # hack all around it, let's just trust "g++" to DTRT.
+  predep_objects_CXX=
+  postdep_objects_CXX=
+  postdeps_CXX=
+  ;;
+
+linux*)
+  case `$CC -V 2>&1 | sed 5q` in
+  *Sun\ C*)
+    # Sun C++ 5.9
+
+    # The more standards-conforming stlport4 library is
+    # incompatible with the Cstd library. Avoid specifying
+    # it if it's in CXXFLAGS. Ignore libCrun as
+    # -library=stlport4 depends on it.
+    case " $CXX $CXXFLAGS " in
+    *" -library=stlport4 "*)
+      solaris_use_stlport4=yes
+      ;;
+    esac
+
+    if test "$solaris_use_stlport4" != yes; then
+      postdeps_CXX='-library=Cstd -library=Crun'
+    fi
+    ;;
+  esac
+  ;;
+
+solaris*)
+  case $cc_basename in
+  CC*)
+    # The more standards-conforming stlport4 library is
+    # incompatible with the Cstd library. Avoid specifying
+    # it if it's in CXXFLAGS. Ignore libCrun as
+    # -library=stlport4 depends on it.
+    case " $CXX $CXXFLAGS " in
+    *" -library=stlport4 "*)
+      solaris_use_stlport4=yes
+      ;;
+    esac
+
+    # Adding this requires a known-good setup of shared libraries for
+    # Sun compiler versions before 5.6, else PIC objects from an old
+    # archive will be linked into the output, leading to subtle bugs.
+    if test "$solaris_use_stlport4" != yes; then
+      postdeps_CXX='-library=Cstd -library=Crun'
+    fi
+    ;;
+  esac
+  ;;
+esac
+
+
+case " $postdeps_CXX " in
+*" -lc "*) archive_cmds_need_lc_CXX=no ;;
+esac
+ compiler_lib_search_dirs_CXX=
+if test -n "${compiler_lib_search_path_CXX}"; then
+ compiler_lib_search_dirs_CXX=`echo " ${compiler_lib_search_path_CXX}" | ${SED} -e 's! -L! !g' -e 's!^ !!'`
+fi
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+    lt_prog_compiler_wl_CXX=
+lt_prog_compiler_pic_CXX=
+lt_prog_compiler_static_CXX=
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $compiler option to produce PIC" >&5
+$as_echo_n "checking for $compiler option to produce PIC... " >&6; }
+
+  # C++ specific cases for pic, static, wl, etc.
+  if test "$GXX" = yes; then
+    lt_prog_compiler_wl_CXX='-Wl,'
+    lt_prog_compiler_static_CXX='-static'
+
+    case $host_os in
+    aix*)
+      # All AIX code is PIC.
+      if test "$host_cpu" = ia64; then
+	# AIX 5 now supports IA64 processor
+	lt_prog_compiler_static_CXX='-Bstatic'
+      fi
+      ;;
+
+    amigaos*)
+      case $host_cpu in
+      powerpc)
+            # see comment about AmigaOS4 .so support
+            lt_prog_compiler_pic_CXX='-fPIC'
+        ;;
+      m68k)
+            # FIXME: we need at least 68020 code to build shared libraries, but
+            # adding the `-m68020' flag to GCC prevents building anything better,
+            # like `-m68040'.
+            lt_prog_compiler_pic_CXX='-m68020 -resident32 -malways-restore-a4'
+        ;;
+      esac
+      ;;
+
+    beos* | irix5* | irix6* | nonstopux* | osf3* | osf4* | osf5*)
+      # PIC is the default for these OSes.
+      ;;
+    mingw* | cygwin* | os2* | pw32* | cegcc*)
+      # This hack is so that the source file can tell whether it is being
+      # built for inclusion in a dll (and should export symbols for example).
+      # Although the cygwin gcc ignores -fPIC, still need this for old-style
+      # (--disable-auto-import) libraries
+      lt_prog_compiler_pic_CXX='-DDLL_EXPORT'
+      ;;
+    darwin* | rhapsody*)
+      # PIC is the default on this platform
+      # Common symbols not allowed in MH_DYLIB files
+      lt_prog_compiler_pic_CXX='-fno-common'
+      ;;
+    *djgpp*)
+      # DJGPP does not support shared libraries at all
+      lt_prog_compiler_pic_CXX=
+      ;;
+    interix[3-9]*)
+      # Interix 3.x gcc -fpic/-fPIC options generate broken code.
+      # Instead, we relocate shared libraries at runtime.
+      ;;
+    sysv4*MP*)
+      if test -d /usr/nec; then
+	lt_prog_compiler_pic_CXX=-Kconform_pic
+      fi
+      ;;
+    hpux*)
+      # PIC is the default for 64-bit PA HP-UX, but not for 32-bit
+      # PA HP-UX.  On IA64 HP-UX, PIC is the default but the pic flag
+      # sets the default TLS model and affects inlining.
+      case $host_cpu in
+      hppa*64*)
+	;;
+      *)
+	lt_prog_compiler_pic_CXX='-fPIC'
+	;;
+      esac
+      ;;
+    *qnx* | *nto*)
+      # QNX uses GNU C++, but need to define -shared option too, otherwise
+      # it will coredump.
+      lt_prog_compiler_pic_CXX='-fPIC -shared'
+      ;;
+    *)
+      lt_prog_compiler_pic_CXX='-fPIC'
+      ;;
+    esac
+  else
+    case $host_os in
+      aix[4-9]*)
+	# All AIX code is PIC.
+	if test "$host_cpu" = ia64; then
+	  # AIX 5 now supports IA64 processor
+	  lt_prog_compiler_static_CXX='-Bstatic'
+	else
+	  lt_prog_compiler_static_CXX='-bnso -bI:/lib/syscalls.exp'
+	fi
+	;;
+      chorus*)
+	case $cc_basename in
+	cxch68*)
+	  # Green Hills C++ Compiler
+	  # _LT_TAGVAR(lt_prog_compiler_static, CXX)="--no_auto_instantiation -u __main -u __premain -u _abort -r $COOL_DIR/lib/libOrb.a $MVME_DIR/lib/CC/libC.a $MVME_DIR/lib/classix/libcx.s.a"
+	  ;;
+	esac
+	;;
+      dgux*)
+	case $cc_basename in
+	  ec++*)
+	    lt_prog_compiler_pic_CXX='-KPIC'
+	    ;;
+	  ghcx*)
+	    # Green Hills C++ Compiler
+	    lt_prog_compiler_pic_CXX='-pic'
+	    ;;
+	  *)
+	    ;;
+	esac
+	;;
+      freebsd* | dragonfly*)
+	# FreeBSD uses GNU C++
+	;;
+      hpux9* | hpux10* | hpux11*)
+	case $cc_basename in
+	  CC*)
+	    lt_prog_compiler_wl_CXX='-Wl,'
+	    lt_prog_compiler_static_CXX='${wl}-a ${wl}archive'
+	    if test "$host_cpu" != ia64; then
+	      lt_prog_compiler_pic_CXX='+Z'
+	    fi
+	    ;;
+	  aCC*)
+	    lt_prog_compiler_wl_CXX='-Wl,'
+	    lt_prog_compiler_static_CXX='${wl}-a ${wl}archive'
+	    case $host_cpu in
+	    hppa*64*|ia64*)
+	      # +Z the default
+	      ;;
+	    *)
+	      lt_prog_compiler_pic_CXX='+Z'
+	      ;;
+	    esac
+	    ;;
+	  *)
+	    ;;
+	esac
+	;;
+      interix*)
+	# This is c89, which is MS Visual C++ (no shared libs)
+	# Anyone wants to do a port?
+	;;
+      irix5* | irix6* | nonstopux*)
+	case $cc_basename in
+	  CC*)
+	    lt_prog_compiler_wl_CXX='-Wl,'
+	    lt_prog_compiler_static_CXX='-non_shared'
+	    # CC pic flag -KPIC is the default.
+	    ;;
+	  *)
+	    ;;
+	esac
+	;;
+      linux* | k*bsd*-gnu)
+	case $cc_basename in
+	  KCC*)
+	    # KAI C++ Compiler
+	    lt_prog_compiler_wl_CXX='--backend -Wl,'
+	    lt_prog_compiler_pic_CXX='-fPIC'
+	    ;;
+	  ecpc* )
+	    # old Intel C++ for x86_64 which still supported -KPIC.
+	    lt_prog_compiler_wl_CXX='-Wl,'
+	    lt_prog_compiler_pic_CXX='-KPIC'
+	    lt_prog_compiler_static_CXX='-static'
+	    ;;
+	  icpc* )
+	    # Intel C++, used to be incompatible with GCC.
+	    # ICC 10 doesn't accept -KPIC any more.
+	    lt_prog_compiler_wl_CXX='-Wl,'
+	    lt_prog_compiler_pic_CXX='-fPIC'
+	    lt_prog_compiler_static_CXX='-static'
+	    ;;
+	  pgCC* | pgcpp*)
+	    # Portland Group C++ compiler
+	    lt_prog_compiler_wl_CXX='-Wl,'
+	    lt_prog_compiler_pic_CXX='-fpic'
+	    lt_prog_compiler_static_CXX='-Bstatic'
+	    ;;
+	  cxx*)
+	    # Compaq C++
+	    # Make sure the PIC flag is empty.  It appears that all Alpha
+	    # Linux and Compaq Tru64 Unix objects are PIC.
+	    lt_prog_compiler_pic_CXX=
+	    lt_prog_compiler_static_CXX='-non_shared'
+	    ;;
+	  xlc* | xlC*)
+	    # IBM XL 8.0 on PPC
+	    lt_prog_compiler_wl_CXX='-Wl,'
+	    lt_prog_compiler_pic_CXX='-qpic'
+	    lt_prog_compiler_static_CXX='-qstaticlink'
+	    ;;
+	  *)
+	    case `$CC -V 2>&1 | sed 5q` in
+	    *Sun\ C*)
+	      # Sun C++ 5.9
+	      lt_prog_compiler_pic_CXX='-KPIC'
+	      lt_prog_compiler_static_CXX='-Bstatic'
+	      lt_prog_compiler_wl_CXX='-Qoption ld '
+	      ;;
+	    esac
+	    ;;
+	esac
+	;;
+      lynxos*)
+	;;
+      m88k*)
+	;;
+      mvs*)
+	case $cc_basename in
+	  cxx*)
+	    lt_prog_compiler_pic_CXX='-W c,exportall'
+	    ;;
+	  *)
+	    ;;
+	esac
+	;;
+      netbsd*)
+	;;
+      *qnx* | *nto*)
+        # QNX uses GNU C++, but need to define -shared option too, otherwise
+        # it will coredump.
+        lt_prog_compiler_pic_CXX='-fPIC -shared'
+        ;;
+      osf3* | osf4* | osf5*)
+	case $cc_basename in
+	  KCC*)
+	    lt_prog_compiler_wl_CXX='--backend -Wl,'
+	    ;;
+	  RCC*)
+	    # Rational C++ 2.4.1
+	    lt_prog_compiler_pic_CXX='-pic'
+	    ;;
+	  cxx*)
+	    # Digital/Compaq C++
+	    lt_prog_compiler_wl_CXX='-Wl,'
+	    # Make sure the PIC flag is empty.  It appears that all Alpha
+	    # Linux and Compaq Tru64 Unix objects are PIC.
+	    lt_prog_compiler_pic_CXX=
+	    lt_prog_compiler_static_CXX='-non_shared'
+	    ;;
+	  *)
+	    ;;
+	esac
+	;;
+      psos*)
+	;;
+      solaris*)
+	case $cc_basename in
+	  CC*)
+	    # Sun C++ 4.2, 5.x and Centerline C++
+	    lt_prog_compiler_pic_CXX='-KPIC'
+	    lt_prog_compiler_static_CXX='-Bstatic'
+	    lt_prog_compiler_wl_CXX='-Qoption ld '
+	    ;;
+	  gcx*)
+	    # Green Hills C++ Compiler
+	    lt_prog_compiler_pic_CXX='-PIC'
+	    ;;
+	  *)
+	    ;;
+	esac
+	;;
+      sunos4*)
+	case $cc_basename in
+	  CC*)
+	    # Sun C++ 4.x
+	    lt_prog_compiler_pic_CXX='-pic'
+	    lt_prog_compiler_static_CXX='-Bstatic'
+	    ;;
+	  lcc*)
+	    # Lucid
+	    lt_prog_compiler_pic_CXX='-pic'
+	    ;;
+	  *)
+	    ;;
+	esac
+	;;
+      sysv5* | unixware* | sco3.2v5* | sco5v6* | OpenUNIX*)
+	case $cc_basename in
+	  CC*)
+	    lt_prog_compiler_wl_CXX='-Wl,'
+	    lt_prog_compiler_pic_CXX='-KPIC'
+	    lt_prog_compiler_static_CXX='-Bstatic'
+	    ;;
+	esac
+	;;
+      tandem*)
+	case $cc_basename in
+	  NCC*)
+	    # NonStop-UX NCC 3.20
+	    lt_prog_compiler_pic_CXX='-KPIC'
+	    ;;
+	  *)
+	    ;;
+	esac
+	;;
+      vxworks*)
+	;;
+      *)
+	lt_prog_compiler_can_build_shared_CXX=no
+	;;
+    esac
+  fi
+
+case $host_os in
+  # For platforms which do not support PIC, -DPIC is meaningless:
+  *djgpp*)
+    lt_prog_compiler_pic_CXX=
+    ;;
+  *)
+    lt_prog_compiler_pic_CXX="$lt_prog_compiler_pic_CXX -DPIC"
+    ;;
+esac
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $lt_prog_compiler_pic_CXX" >&5
+$as_echo "$lt_prog_compiler_pic_CXX" >&6; }
+
+
+
+#
+# Check to make sure the PIC flag actually works.
+#
+if test -n "$lt_prog_compiler_pic_CXX"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: checking if $compiler PIC flag $lt_prog_compiler_pic_CXX works" >&5
+$as_echo_n "checking if $compiler PIC flag $lt_prog_compiler_pic_CXX works... " >&6; }
+if test "${lt_cv_prog_compiler_pic_works_CXX+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  lt_cv_prog_compiler_pic_works_CXX=no
+   ac_outfile=conftest.$ac_objext
+   echo "$lt_simple_compile_test_code" > conftest.$ac_ext
+   lt_compiler_flag="$lt_prog_compiler_pic_CXX -DPIC"
+   # Insert the option either (1) after the last *FLAGS variable, or
+   # (2) before a word containing "conftest.", or (3) at the end.
+   # Note that $ac_compile itself does not contain backslashes and begins
+   # with a dollar sign (not a hyphen), so the echo should work correctly.
+   # The option is referenced via a variable to avoid confusing sed.
+   lt_compile=`echo "$ac_compile" | $SED \
+   -e 's:.*FLAGS}\{0,1\} :&$lt_compiler_flag :; t' \
+   -e 's: [^ ]*conftest\.: $lt_compiler_flag&:; t' \
+   -e 's:$: $lt_compiler_flag:'`
+   (eval echo "\"\$as_me:14894: $lt_compile\"" >&5)
+   (eval "$lt_compile" 2>conftest.err)
+   ac_status=$?
+   cat conftest.err >&5
+   echo "$as_me:14898: \$? = $ac_status" >&5
+   if (exit $ac_status) && test -s "$ac_outfile"; then
+     # The compiler can only warn and ignore the option if not recognized
+     # So say no if there are warnings other than the usual output.
+     $ECHO "X$_lt_compiler_boilerplate" | $Xsed -e '/^$/d' >conftest.exp
+     $SED '/^$/d; /^ *+/d' conftest.err >conftest.er2
+     if test ! -s conftest.er2 || diff conftest.exp conftest.er2 >/dev/null; then
+       lt_cv_prog_compiler_pic_works_CXX=yes
+     fi
+   fi
+   $RM conftest*
+
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $lt_cv_prog_compiler_pic_works_CXX" >&5
+$as_echo "$lt_cv_prog_compiler_pic_works_CXX" >&6; }
+
+if test x"$lt_cv_prog_compiler_pic_works_CXX" = xyes; then
+    case $lt_prog_compiler_pic_CXX in
+     "" | " "*) ;;
+     *) lt_prog_compiler_pic_CXX=" $lt_prog_compiler_pic_CXX" ;;
+     esac
+else
+    lt_prog_compiler_pic_CXX=
+     lt_prog_compiler_can_build_shared_CXX=no
+fi
+
+fi
+
+
+
+#
+# Check to make sure the static flag actually works.
+#
+wl=$lt_prog_compiler_wl_CXX eval lt_tmp_static_flag=\"$lt_prog_compiler_static_CXX\"
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking if $compiler static flag $lt_tmp_static_flag works" >&5
+$as_echo_n "checking if $compiler static flag $lt_tmp_static_flag works... " >&6; }
+if test "${lt_cv_prog_compiler_static_works_CXX+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  lt_cv_prog_compiler_static_works_CXX=no
+   save_LDFLAGS="$LDFLAGS"
+   LDFLAGS="$LDFLAGS $lt_tmp_static_flag"
+   echo "$lt_simple_link_test_code" > conftest.$ac_ext
+   if (eval $ac_link 2>conftest.err) && test -s conftest$ac_exeext; then
+     # The linker can only warn and ignore the option if not recognized
+     # So say no if there are warnings
+     if test -s conftest.err; then
+       # Append any errors to the config.log.
+       cat conftest.err 1>&5
+       $ECHO "X$_lt_linker_boilerplate" | $Xsed -e '/^$/d' > conftest.exp
+       $SED '/^$/d; /^ *+/d' conftest.err >conftest.er2
+       if diff conftest.exp conftest.er2 >/dev/null; then
+         lt_cv_prog_compiler_static_works_CXX=yes
+       fi
+     else
+       lt_cv_prog_compiler_static_works_CXX=yes
+     fi
+   fi
+   $RM -r conftest*
+   LDFLAGS="$save_LDFLAGS"
+
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $lt_cv_prog_compiler_static_works_CXX" >&5
+$as_echo "$lt_cv_prog_compiler_static_works_CXX" >&6; }
+
+if test x"$lt_cv_prog_compiler_static_works_CXX" = xyes; then
+    :
+else
+    lt_prog_compiler_static_CXX=
+fi
+
+
+
+
+    { $as_echo "$as_me:${as_lineno-$LINENO}: checking if $compiler supports -c -o file.$ac_objext" >&5
+$as_echo_n "checking if $compiler supports -c -o file.$ac_objext... " >&6; }
+if test "${lt_cv_prog_compiler_c_o_CXX+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  lt_cv_prog_compiler_c_o_CXX=no
+   $RM -r conftest 2>/dev/null
+   mkdir conftest
+   cd conftest
+   mkdir out
+   echo "$lt_simple_compile_test_code" > conftest.$ac_ext
+
+   lt_compiler_flag="-o out/conftest2.$ac_objext"
+   # Insert the option either (1) after the last *FLAGS variable, or
+   # (2) before a word containing "conftest.", or (3) at the end.
+   # Note that $ac_compile itself does not contain backslashes and begins
+   # with a dollar sign (not a hyphen), so the echo should work correctly.
+   lt_compile=`echo "$ac_compile" | $SED \
+   -e 's:.*FLAGS}\{0,1\} :&$lt_compiler_flag :; t' \
+   -e 's: [^ ]*conftest\.: $lt_compiler_flag&:; t' \
+   -e 's:$: $lt_compiler_flag:'`
+   (eval echo "\"\$as_me:14993: $lt_compile\"" >&5)
+   (eval "$lt_compile" 2>out/conftest.err)
+   ac_status=$?
+   cat out/conftest.err >&5
+   echo "$as_me:14997: \$? = $ac_status" >&5
+   if (exit $ac_status) && test -s out/conftest2.$ac_objext
+   then
+     # The compiler can only warn and ignore the option if not recognized
+     # So say no if there are warnings
+     $ECHO "X$_lt_compiler_boilerplate" | $Xsed -e '/^$/d' > out/conftest.exp
+     $SED '/^$/d; /^ *+/d' out/conftest.err >out/conftest.er2
+     if test ! -s out/conftest.er2 || diff out/conftest.exp out/conftest.er2 >/dev/null; then
+       lt_cv_prog_compiler_c_o_CXX=yes
+     fi
+   fi
+   chmod u+w . 2>&5
+   $RM conftest*
+   # SGI C++ compiler will create directory out/ii_files/ for
+   # template instantiation
+   test -d out/ii_files && $RM out/ii_files/* && rmdir out/ii_files
+   $RM out/* && rmdir out
+   cd ..
+   $RM -r conftest
+   $RM conftest*
+
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $lt_cv_prog_compiler_c_o_CXX" >&5
+$as_echo "$lt_cv_prog_compiler_c_o_CXX" >&6; }
+
+
+
+    { $as_echo "$as_me:${as_lineno-$LINENO}: checking if $compiler supports -c -o file.$ac_objext" >&5
+$as_echo_n "checking if $compiler supports -c -o file.$ac_objext... " >&6; }
+if test "${lt_cv_prog_compiler_c_o_CXX+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  lt_cv_prog_compiler_c_o_CXX=no
+   $RM -r conftest 2>/dev/null
+   mkdir conftest
+   cd conftest
+   mkdir out
+   echo "$lt_simple_compile_test_code" > conftest.$ac_ext
+
+   lt_compiler_flag="-o out/conftest2.$ac_objext"
+   # Insert the option either (1) after the last *FLAGS variable, or
+   # (2) before a word containing "conftest.", or (3) at the end.
+   # Note that $ac_compile itself does not contain backslashes and begins
+   # with a dollar sign (not a hyphen), so the echo should work correctly.
+   lt_compile=`echo "$ac_compile" | $SED \
+   -e 's:.*FLAGS}\{0,1\} :&$lt_compiler_flag :; t' \
+   -e 's: [^ ]*conftest\.: $lt_compiler_flag&:; t' \
+   -e 's:$: $lt_compiler_flag:'`
+   (eval echo "\"\$as_me:15045: $lt_compile\"" >&5)
+   (eval "$lt_compile" 2>out/conftest.err)
+   ac_status=$?
+   cat out/conftest.err >&5
+   echo "$as_me:15049: \$? = $ac_status" >&5
+   if (exit $ac_status) && test -s out/conftest2.$ac_objext
+   then
+     # The compiler can only warn and ignore the option if not recognized
+     # So say no if there are warnings
+     $ECHO "X$_lt_compiler_boilerplate" | $Xsed -e '/^$/d' > out/conftest.exp
+     $SED '/^$/d; /^ *+/d' out/conftest.err >out/conftest.er2
+     if test ! -s out/conftest.er2 || diff out/conftest.exp out/conftest.er2 >/dev/null; then
+       lt_cv_prog_compiler_c_o_CXX=yes
+     fi
+   fi
+   chmod u+w . 2>&5
+   $RM conftest*
+   # SGI C++ compiler will create directory out/ii_files/ for
+   # template instantiation
+   test -d out/ii_files && $RM out/ii_files/* && rmdir out/ii_files
+   $RM out/* && rmdir out
+   cd ..
+   $RM -r conftest
+   $RM conftest*
+
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $lt_cv_prog_compiler_c_o_CXX" >&5
+$as_echo "$lt_cv_prog_compiler_c_o_CXX" >&6; }
+
+
+
+
+hard_links="nottested"
+if test "$lt_cv_prog_compiler_c_o_CXX" = no && test "$need_locks" != no; then
+  # do not overwrite the value of need_locks provided by the user
+  { $as_echo "$as_me:${as_lineno-$LINENO}: checking if we can lock with hard links" >&5
+$as_echo_n "checking if we can lock with hard links... " >&6; }
+  hard_links=yes
+  $RM conftest*
+  ln conftest.a conftest.b 2>/dev/null && hard_links=no
+  touch conftest.a
+  ln conftest.a conftest.b 2>&5 || hard_links=no
+  ln conftest.a conftest.b 2>/dev/null && hard_links=no
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $hard_links" >&5
+$as_echo "$hard_links" >&6; }
+  if test "$hard_links" = no; then
+    { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: \`$CC' does not support \`-c -o', so \`make -j' may be unsafe" >&5
+$as_echo "$as_me: WARNING: \`$CC' does not support \`-c -o', so \`make -j' may be unsafe" >&2;}
+    need_locks=warn
+  fi
+else
+  need_locks=no
+fi
+
+
+
+    { $as_echo "$as_me:${as_lineno-$LINENO}: checking whether the $compiler linker ($LD) supports shared libraries" >&5
+$as_echo_n "checking whether the $compiler linker ($LD) supports shared libraries... " >&6; }
+
+  export_symbols_cmds_CXX='$NM $libobjs $convenience | $global_symbol_pipe | $SED '\''s/.* //'\'' | sort | uniq > $export_symbols'
+  case $host_os in
+  aix[4-9]*)
+    # If we're using GNU nm, then we don't want the "-C" option.
+    # -C means demangle to AIX nm, but means don't demangle with GNU nm
+    if $NM -V 2>&1 | $GREP 'GNU' > /dev/null; then
+      export_symbols_cmds_CXX='$NM -Bpg $libobjs $convenience | awk '\''{ if (((\$ 2 == "T") || (\$ 2 == "D") || (\$ 2 == "B")) && (substr(\$ 3,1,1) != ".")) { print \$ 3 } }'\'' | sort -u > $export_symbols'
+    else
+      export_symbols_cmds_CXX='$NM -BCpg $libobjs $convenience | awk '\''{ if (((\$ 2 == "T") || (\$ 2 == "D") || (\$ 2 == "B")) && (substr(\$ 3,1,1) != ".")) { print \$ 3 } }'\'' | sort -u > $export_symbols'
+    fi
+    ;;
+  pw32*)
+    export_symbols_cmds_CXX="$ltdll_cmds"
+  ;;
+  cygwin* | mingw* | cegcc*)
+    export_symbols_cmds_CXX='$NM $libobjs $convenience | $global_symbol_pipe | $SED -e '\''/^[BCDGRS][ ]/s/.*[ ]\([^ ]*\)/\1 DATA/;/^.*[ ]__nm__/s/^.*[ ]__nm__\([^ ]*\)[ ][^ ]*/\1 DATA/;/^I[ ]/d;/^[AITW][ ]/s/.* //'\'' | sort | uniq > $export_symbols'
+  ;;
+  *)
+    export_symbols_cmds_CXX='$NM $libobjs $convenience | $global_symbol_pipe | $SED '\''s/.* //'\'' | sort | uniq > $export_symbols'
+  ;;
+  esac
+  exclude_expsyms_CXX='_GLOBAL_OFFSET_TABLE_|_GLOBAL__F[ID]_.*'
+
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ld_shlibs_CXX" >&5
+$as_echo "$ld_shlibs_CXX" >&6; }
+test "$ld_shlibs_CXX" = no && can_build_shared=no
+
+with_gnu_ld_CXX=$with_gnu_ld
+
+
+
+
+
+
+#
+# Do we need to explicitly link libc?
+#
+case "x$archive_cmds_need_lc_CXX" in
+x|xyes)
+  # Assume -lc should be added
+  archive_cmds_need_lc_CXX=yes
+
+  if test "$enable_shared" = yes && test "$GCC" = yes; then
+    case $archive_cmds_CXX in
+    *'~'*)
+      # FIXME: we may have to deal with multi-command sequences.
+      ;;
+    '$CC '*)
+      # Test whether the compiler implicitly links with -lc since on some
+      # systems, -lgcc has to come before -lc. If gcc already passes -lc
+      # to ld, don't add -lc before -lgcc.
+      { $as_echo "$as_me:${as_lineno-$LINENO}: checking whether -lc should be explicitly linked in" >&5
+$as_echo_n "checking whether -lc should be explicitly linked in... " >&6; }
+      $RM conftest*
+      echo "$lt_simple_compile_test_code" > conftest.$ac_ext
+
+      if { { eval echo "\"\$as_me\":${as_lineno-$LINENO}: \"$ac_compile\""; } >&5
+  (eval $ac_compile) 2>&5
+  ac_status=$?
+  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
+  test $ac_status = 0; } 2>conftest.err; then
+        soname=conftest
+        lib=conftest
+        libobjs=conftest.$ac_objext
+        deplibs=
+        wl=$lt_prog_compiler_wl_CXX
+	pic_flag=$lt_prog_compiler_pic_CXX
+        compiler_flags=-v
+        linker_flags=-v
+        verstring=
+        output_objdir=.
+        libname=conftest
+        lt_save_allow_undefined_flag=$allow_undefined_flag_CXX
+        allow_undefined_flag_CXX=
+        if { { eval echo "\"\$as_me\":${as_lineno-$LINENO}: \"$archive_cmds_CXX 2\>\&1 \| $GREP \" -lc \" \>/dev/null 2\>\&1\""; } >&5
+  (eval $archive_cmds_CXX 2\>\&1 \| $GREP \" -lc \" \>/dev/null 2\>\&1) 2>&5
+  ac_status=$?
+  $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
+  test $ac_status = 0; }
+        then
+	  archive_cmds_need_lc_CXX=no
+        else
+	  archive_cmds_need_lc_CXX=yes
+        fi
+        allow_undefined_flag_CXX=$lt_save_allow_undefined_flag
+      else
+        cat conftest.err 1>&5
+      fi
+      $RM conftest*
+      { $as_echo "$as_me:${as_lineno-$LINENO}: result: $archive_cmds_need_lc_CXX" >&5
+$as_echo "$archive_cmds_need_lc_CXX" >&6; }
+      ;;
+    esac
+  fi
+  ;;
+esac
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+    { $as_echo "$as_me:${as_lineno-$LINENO}: checking dynamic linker characteristics" >&5
+$as_echo_n "checking dynamic linker characteristics... " >&6; }
+
+library_names_spec=
+libname_spec='lib$name'
+soname_spec=
+shrext_cmds=".so"
+postinstall_cmds=
+postuninstall_cmds=
+finish_cmds=
+finish_eval=
+shlibpath_var=
+shlibpath_overrides_runpath=unknown
+version_type=none
+dynamic_linker="$host_os ld.so"
+sys_lib_dlsearch_path_spec="/lib /usr/lib"
+need_lib_prefix=unknown
+hardcode_into_libs=no
+
+# when you set need_version to no, make sure it does not cause -set_version
+# flags to be left without arguments
+need_version=unknown
+
+case $host_os in
+aix3*)
+  version_type=linux
+  library_names_spec='${libname}${release}${shared_ext}$versuffix $libname.a'
+  shlibpath_var=LIBPATH
+
+  # AIX 3 has no versioning support, so we append a major version to the name.
+  soname_spec='${libname}${release}${shared_ext}$major'
+  ;;
+
+aix[4-9]*)
+  version_type=linux
+  need_lib_prefix=no
+  need_version=no
+  hardcode_into_libs=yes
+  if test "$host_cpu" = ia64; then
+    # AIX 5 supports IA64
+    library_names_spec='${libname}${release}${shared_ext}$major ${libname}${release}${shared_ext}$versuffix $libname${shared_ext}'
+    shlibpath_var=LD_LIBRARY_PATH
+  else
+    # With GCC up to 2.95.x, collect2 would create an import file
+    # for dependence libraries.  The import file would start with
+    # the line `#! .'.  This would cause the generated library to
+    # depend on `.', always an invalid library.  This was fixed in
+    # development snapshots of GCC prior to 3.0.
+    case $host_os in
+      aix4 | aix4.[01] | aix4.[01].*)
+      if { echo '#if __GNUC__ > 2 || (__GNUC__ == 2 && __GNUC_MINOR__ >= 97)'
+	   echo ' yes '
+	   echo '#endif'; } | ${CC} -E - | $GREP yes > /dev/null; then
+	:
+      else
+	can_build_shared=no
+      fi
+      ;;
+    esac
+    # AIX (on Power*) has no versioning support, so currently we can not hardcode correct
+    # soname into executable. Probably we can add versioning support to
+    # collect2, so additional links can be useful in future.
+    if test "$aix_use_runtimelinking" = yes; then
+      # If using run time linking (on AIX 4.2 or later) use lib<name>.so
+      # instead of lib<name>.a to let people know that these are not
+      # typical AIX shared libraries.
+      library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+    else
+      # We preserve .a as extension for shared libraries through AIX4.2
+      # and later when we are not doing run time linking.
+      library_names_spec='${libname}${release}.a $libname.a'
+      soname_spec='${libname}${release}${shared_ext}$major'
+    fi
+    shlibpath_var=LIBPATH
+  fi
+  ;;
+
+amigaos*)
+  case $host_cpu in
+  powerpc)
+    # Since July 2007 AmigaOS4 officially supports .so libraries.
+    # When compiling the executable, add -use-dynld -Lsobjs: to the compileline.
+    library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+    ;;
+  m68k)
+    library_names_spec='$libname.ixlibrary $libname.a'
+    # Create ${libname}_ixlibrary.a entries in /sys/libs.
+    finish_eval='for lib in `ls $libdir/*.ixlibrary 2>/dev/null`; do libname=`$ECHO "X$lib" | $Xsed -e '\''s%^.*/\([^/]*\)\.ixlibrary$%\1%'\''`; test $RM /sys/libs/${libname}_ixlibrary.a; $show "cd /sys/libs && $LN_S $lib ${libname}_ixlibrary.a"; cd /sys/libs && $LN_S $lib ${libname}_ixlibrary.a || exit 1; done'
+    ;;
+  esac
+  ;;
+
+beos*)
+  library_names_spec='${libname}${shared_ext}'
+  dynamic_linker="$host_os ld.so"
+  shlibpath_var=LIBRARY_PATH
+  ;;
+
+bsdi[45]*)
+  version_type=linux
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  finish_cmds='PATH="\$PATH:/sbin" ldconfig $libdir'
+  shlibpath_var=LD_LIBRARY_PATH
+  sys_lib_search_path_spec="/shlib /usr/lib /usr/X11/lib /usr/contrib/lib /lib /usr/local/lib"
+  sys_lib_dlsearch_path_spec="/shlib /usr/lib /usr/local/lib"
+  # the default ld.so.conf also contains /usr/contrib/lib and
+  # /usr/X11R6/lib (/usr/X11 is a link to /usr/X11R6), but let us allow
+  # libtool to hard-code these into programs
+  ;;
+
+cygwin* | mingw* | pw32* | cegcc*)
+  version_type=windows
+  shrext_cmds=".dll"
+  need_version=no
+  need_lib_prefix=no
+
+  case $GCC,$host_os in
+  yes,cygwin* | yes,mingw* | yes,pw32* | yes,cegcc*)
+    library_names_spec='$libname.dll.a'
+    # DLL is installed to $(libdir)/../bin by postinstall_cmds
+    postinstall_cmds='base_file=`basename \${file}`~
+      dlpath=`$SHELL 2>&1 -c '\''. $dir/'\''\${base_file}'\''i; echo \$dlname'\''`~
+      dldir=$destdir/`dirname \$dlpath`~
+      test -d \$dldir || mkdir -p \$dldir~
+      $install_prog $dir/$dlname \$dldir/$dlname~
+      chmod a+x \$dldir/$dlname~
+      if test -n '\''$stripme'\'' && test -n '\''$striplib'\''; then
+        eval '\''$striplib \$dldir/$dlname'\'' || exit \$?;
+      fi'
+    postuninstall_cmds='dldll=`$SHELL 2>&1 -c '\''. $file; echo \$dlname'\''`~
+      dlpath=$dir/\$dldll~
+       $RM \$dlpath'
+    shlibpath_overrides_runpath=yes
+
+    case $host_os in
+    cygwin*)
+      # Cygwin DLLs use 'cyg' prefix rather than 'lib'
+      soname_spec='`echo ${libname} | sed -e 's/^lib/cyg/'``echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
+      sys_lib_search_path_spec="/usr/lib /lib/w32api /lib /usr/local/lib"
+      ;;
+    mingw* | cegcc*)
+      # MinGW DLLs use traditional 'lib' prefix
+      soname_spec='${libname}`echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
+      sys_lib_search_path_spec=`$CC -print-search-dirs | $GREP "^libraries:" | $SED -e "s/^libraries://" -e "s,=/,/,g"`
+      if $ECHO "$sys_lib_search_path_spec" | $GREP ';[c-zC-Z]:/' >/dev/null; then
+        # It is most probably a Windows format PATH printed by
+        # mingw gcc, but we are running on Cygwin. Gcc prints its search
+        # path with ; separators, and with drive letters. We can handle the
+        # drive letters (cygwin fileutils understands them), so leave them,
+        # especially as we might pass files found there to a mingw objdump,
+        # which wouldn't understand a cygwinified path. Ahh.
+        sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | $SED -e 's/;/ /g'`
+      else
+        sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | $SED  -e "s/$PATH_SEPARATOR/ /g"`
+      fi
+      ;;
+    pw32*)
+      # pw32 DLLs use 'pw' prefix rather than 'lib'
+      library_names_spec='`echo ${libname} | sed -e 's/^lib/pw/'``echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
+      ;;
+    esac
+    ;;
+
+  *)
+    library_names_spec='${libname}`echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext} $libname.lib'
+    ;;
+  esac
+  dynamic_linker='Win32 ld.exe'
+  # FIXME: first we should search . and the directory the executable is in
+  shlibpath_var=PATH
+  ;;
+
+darwin* | rhapsody*)
+  dynamic_linker="$host_os dyld"
+  version_type=darwin
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${major}$shared_ext ${libname}$shared_ext'
+  soname_spec='${libname}${release}${major}$shared_ext'
+  shlibpath_overrides_runpath=yes
+  shlibpath_var=DYLD_LIBRARY_PATH
+  shrext_cmds='`test .$module = .yes && echo .so || echo .dylib`'
+
+  sys_lib_dlsearch_path_spec='/usr/local/lib /lib /usr/lib'
+  ;;
+
+dgux*)
+  version_type=linux
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname$shared_ext'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  ;;
+
+freebsd1*)
+  dynamic_linker=no
+  ;;
+
+freebsd* | dragonfly*)
+  # DragonFly does not have aout.  When/if they implement a new
+  # versioning mechanism, adjust this.
+  if test -x /usr/bin/objformat; then
+    objformat=`/usr/bin/objformat`
+  else
+    case $host_os in
+    freebsd[123]*) objformat=aout ;;
+    *) objformat=elf ;;
+    esac
+  fi
+  version_type=freebsd-$objformat
+  case $version_type in
+    freebsd-elf*)
+      library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext} $libname${shared_ext}'
+      need_version=no
+      need_lib_prefix=no
+      ;;
+    freebsd-*)
+      library_names_spec='${libname}${release}${shared_ext}$versuffix $libname${shared_ext}$versuffix'
+      need_version=yes
+      ;;
+  esac
+  shlibpath_var=LD_LIBRARY_PATH
+  case $host_os in
+  freebsd2*)
+    shlibpath_overrides_runpath=yes
+    ;;
+  freebsd3.[01]* | freebsdelf3.[01]*)
+    shlibpath_overrides_runpath=yes
+    hardcode_into_libs=yes
+    ;;
+  freebsd3.[2-9]* | freebsdelf3.[2-9]* | \
+  freebsd4.[0-5] | freebsdelf4.[0-5] | freebsd4.1.1 | freebsdelf4.1.1)
+    shlibpath_overrides_runpath=no
+    hardcode_into_libs=yes
+    ;;
+  *) # from 4.6 on, and DragonFly
+    shlibpath_overrides_runpath=yes
+    hardcode_into_libs=yes
+    ;;
+  esac
+  ;;
+
+gnu*)
+  version_type=linux
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}${major} ${libname}${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  hardcode_into_libs=yes
+  ;;
+
+hpux9* | hpux10* | hpux11*)
+  # Give a soname corresponding to the major version so that dld.sl refuses to
+  # link against other versions.
+  version_type=sunos
+  need_lib_prefix=no
+  need_version=no
+  case $host_cpu in
+  ia64*)
+    shrext_cmds='.so'
+    hardcode_into_libs=yes
+    dynamic_linker="$host_os dld.so"
+    shlibpath_var=LD_LIBRARY_PATH
+    shlibpath_overrides_runpath=yes # Unless +noenvvar is specified.
+    library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+    soname_spec='${libname}${release}${shared_ext}$major'
+    if test "X$HPUX_IA64_MODE" = X32; then
+      sys_lib_search_path_spec="/usr/lib/hpux32 /usr/local/lib/hpux32 /usr/local/lib"
+    else
+      sys_lib_search_path_spec="/usr/lib/hpux64 /usr/local/lib/hpux64"
+    fi
+    sys_lib_dlsearch_path_spec=$sys_lib_search_path_spec
+    ;;
+  hppa*64*)
+    shrext_cmds='.sl'
+    hardcode_into_libs=yes
+    dynamic_linker="$host_os dld.sl"
+    shlibpath_var=LD_LIBRARY_PATH # How should we handle SHLIB_PATH
+    shlibpath_overrides_runpath=yes # Unless +noenvvar is specified.
+    library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+    soname_spec='${libname}${release}${shared_ext}$major'
+    sys_lib_search_path_spec="/usr/lib/pa20_64 /usr/ccs/lib/pa20_64"
+    sys_lib_dlsearch_path_spec=$sys_lib_search_path_spec
+    ;;
+  *)
+    shrext_cmds='.sl'
+    dynamic_linker="$host_os dld.sl"
+    shlibpath_var=SHLIB_PATH
+    shlibpath_overrides_runpath=no # +s is required to enable SHLIB_PATH
+    library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+    soname_spec='${libname}${release}${shared_ext}$major'
+    ;;
+  esac
+  # HP-UX runs *really* slowly unless shared libraries are mode 555.
+  postinstall_cmds='chmod 555 $lib'
+  ;;
+
+interix[3-9]*)
+  version_type=linux
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major ${libname}${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  dynamic_linker='Interix 3.x ld.so.1 (PE, like ELF)'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=no
+  hardcode_into_libs=yes
+  ;;
+
+irix5* | irix6* | nonstopux*)
+  case $host_os in
+    nonstopux*) version_type=nonstopux ;;
+    *)
+	if test "$lt_cv_prog_gnu_ld" = yes; then
+		version_type=linux
+	else
+		version_type=irix
+	fi ;;
+  esac
+  need_lib_prefix=no
+  need_version=no
+  soname_spec='${libname}${release}${shared_ext}$major'
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major ${libname}${release}${shared_ext} $libname${shared_ext}'
+  case $host_os in
+  irix5* | nonstopux*)
+    libsuff= shlibsuff=
+    ;;
+  *)
+    case $LD in # libtool.m4 will add one of these switches to LD
+    *-32|*"-32 "|*-melf32bsmip|*"-melf32bsmip ")
+      libsuff= shlibsuff= libmagic=32-bit;;
+    *-n32|*"-n32 "|*-melf32bmipn32|*"-melf32bmipn32 ")
+      libsuff=32 shlibsuff=N32 libmagic=N32;;
+    *-64|*"-64 "|*-melf64bmip|*"-melf64bmip ")
+      libsuff=64 shlibsuff=64 libmagic=64-bit;;
+    *) libsuff= shlibsuff= libmagic=never-match;;
+    esac
+    ;;
+  esac
+  shlibpath_var=LD_LIBRARY${shlibsuff}_PATH
+  shlibpath_overrides_runpath=no
+  sys_lib_search_path_spec="/usr/lib${libsuff} /lib${libsuff} /usr/local/lib${libsuff}"
+  sys_lib_dlsearch_path_spec="/usr/lib${libsuff} /lib${libsuff}"
+  hardcode_into_libs=yes
+  ;;
+
+# No shared lib support for Linux oldld, aout, or coff.
+linux*oldld* | linux*aout* | linux*coff*)
+  dynamic_linker=no
+  ;;
+
+# This must be Linux ELF.
+linux* | k*bsd*-gnu)
+  version_type=linux
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  finish_cmds='PATH="\$PATH:/sbin" ldconfig -n $libdir'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=no
+  # Some binutils ld are patched to set DT_RUNPATH
+  save_LDFLAGS=$LDFLAGS
+  save_libdir=$libdir
+  eval "libdir=/foo; wl=\"$lt_prog_compiler_wl_CXX\"; \
+       LDFLAGS=\"\$LDFLAGS $hardcode_libdir_flag_spec_CXX\""
+  cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+/* end confdefs.h.  */
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+if ac_fn_cxx_try_link "$LINENO"; then :
+  if  ($OBJDUMP -p conftest$ac_exeext) 2>/dev/null | grep "RUNPATH.*$libdir" >/dev/null; then :
+  shlibpath_overrides_runpath=yes
+fi
+fi
+rm -f core conftest.err conftest.$ac_objext \
+    conftest$ac_exeext conftest.$ac_ext
+  LDFLAGS=$save_LDFLAGS
+  libdir=$save_libdir
+
+  # This implies no fast_install, which is unacceptable.
+  # Some rework will be needed to allow for fast_install
+  # before this can be enabled.
+  hardcode_into_libs=yes
+
+  # Add ABI-specific directories to the system library path.
+  sys_lib_dlsearch_path_spec="/lib64 /usr/lib64 /lib /usr/lib"
+
+  # Append ld.so.conf contents to the search path
+  if test -f /etc/ld.so.conf; then
+    lt_ld_extra=`awk '/^include / { system(sprintf("cd /etc; cat %s 2>/dev/null", \$2)); skip = 1; } { if (!skip) print \$0; skip = 0; }' < /etc/ld.so.conf | $SED -e 's/#.*//;/^[	 ]*hwcap[	 ]/d;s/[:,	]/ /g;s/=[^=]*$//;s/=[^= ]* / /g;/^$/d' | tr '\n' ' '`
+    sys_lib_dlsearch_path_spec="$sys_lib_dlsearch_path_spec $lt_ld_extra"
+  fi
+
+  # We used to test for /lib/ld.so.1 and disable shared libraries on
+  # powerpc, because MkLinux only supported shared libraries with the
+  # GNU dynamic linker.  Since this was broken with cross compilers,
+  # most powerpc-linux boxes support dynamic linking these days and
+  # people can always --disable-shared, the test was removed, and we
+  # assume the GNU/Linux dynamic linker is in use.
+  dynamic_linker='GNU/Linux ld.so'
+  ;;
+
+netbsd*)
+  version_type=sunos
+  need_lib_prefix=no
+  need_version=no
+  if echo __ELF__ | $CC -E - | $GREP __ELF__ >/dev/null; then
+    library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${shared_ext}$versuffix'
+    finish_cmds='PATH="\$PATH:/sbin" ldconfig -m $libdir'
+    dynamic_linker='NetBSD (a.out) ld.so'
+  else
+    library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major ${libname}${shared_ext}'
+    soname_spec='${libname}${release}${shared_ext}$major'
+    dynamic_linker='NetBSD ld.elf_so'
+  fi
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=yes
+  hardcode_into_libs=yes
+  ;;
+
+newsos6)
+  version_type=linux
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=yes
+  ;;
+
+*nto* | *qnx*)
+  version_type=qnx
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=no
+  hardcode_into_libs=yes
+  dynamic_linker='ldqnx.so'
+  ;;
+
+openbsd*)
+  version_type=sunos
+  sys_lib_dlsearch_path_spec="/usr/lib"
+  need_lib_prefix=no
+  # Some older versions of OpenBSD (3.3 at least) *do* need versioned libs.
+  case $host_os in
+    openbsd3.3 | openbsd3.3.*)	need_version=yes ;;
+    *)				need_version=no  ;;
+  esac
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${shared_ext}$versuffix'
+  finish_cmds='PATH="\$PATH:/sbin" ldconfig -m $libdir'
+  shlibpath_var=LD_LIBRARY_PATH
+  if test -z "`echo __ELF__ | $CC -E - | $GREP __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
+    case $host_os in
+      openbsd2.[89] | openbsd2.[89].*)
+	shlibpath_overrides_runpath=no
+	;;
+      *)
+	shlibpath_overrides_runpath=yes
+	;;
+      esac
+  else
+    shlibpath_overrides_runpath=yes
+  fi
+  ;;
+
+os2*)
+  libname_spec='$name'
+  shrext_cmds=".dll"
+  need_lib_prefix=no
+  library_names_spec='$libname${shared_ext} $libname.a'
+  dynamic_linker='OS/2 ld.exe'
+  shlibpath_var=LIBPATH
+  ;;
+
+osf3* | osf4* | osf5*)
+  version_type=osf
+  need_lib_prefix=no
+  need_version=no
+  soname_spec='${libname}${release}${shared_ext}$major'
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  shlibpath_var=LD_LIBRARY_PATH
+  sys_lib_search_path_spec="/usr/shlib /usr/ccs/lib /usr/lib/cmplrs/cc /usr/lib /usr/local/lib /var/shlib"
+  sys_lib_dlsearch_path_spec="$sys_lib_search_path_spec"
+  ;;
+
+rdos*)
+  dynamic_linker=no
+  ;;
+
+solaris*)
+  version_type=linux
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=yes
+  hardcode_into_libs=yes
+  # ldd complains unless libraries are executable
+  postinstall_cmds='chmod +x $lib'
+  ;;
+
+sunos4*)
+  version_type=sunos
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${shared_ext}$versuffix'
+  finish_cmds='PATH="\$PATH:/usr/etc" ldconfig $libdir'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=yes
+  if test "$with_gnu_ld" = yes; then
+    need_lib_prefix=no
+  fi
+  need_version=yes
+  ;;
+
+sysv4 | sysv4.3*)
+  version_type=linux
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  case $host_vendor in
+    sni)
+      shlibpath_overrides_runpath=no
+      need_lib_prefix=no
+      runpath_var=LD_RUN_PATH
+      ;;
+    siemens)
+      need_lib_prefix=no
+      ;;
+    motorola)
+      need_lib_prefix=no
+      need_version=no
+      shlibpath_overrides_runpath=no
+      sys_lib_search_path_spec='/lib /usr/lib /usr/ccs/lib'
+      ;;
+  esac
+  ;;
+
+sysv4*MP*)
+  if test -d /usr/nec ;then
+    version_type=linux
+    library_names_spec='$libname${shared_ext}.$versuffix $libname${shared_ext}.$major $libname${shared_ext}'
+    soname_spec='$libname${shared_ext}.$major'
+    shlibpath_var=LD_LIBRARY_PATH
+  fi
+  ;;
+
+sysv5* | sco3.2v5* | sco5v6* | unixware* | OpenUNIX* | sysv4*uw2*)
+  version_type=freebsd-elf
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext} $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=yes
+  hardcode_into_libs=yes
+  if test "$with_gnu_ld" = yes; then
+    sys_lib_search_path_spec='/usr/local/lib /usr/gnu/lib /usr/ccs/lib /usr/lib /lib'
+  else
+    sys_lib_search_path_spec='/usr/ccs/lib /usr/lib'
+    case $host_os in
+      sco3.2v5*)
+        sys_lib_search_path_spec="$sys_lib_search_path_spec /lib"
+	;;
+    esac
+  fi
+  sys_lib_dlsearch_path_spec='/usr/lib'
+  ;;
+
+tpf*)
+  # TPF is a cross-target only.  Preferred cross-host = GNU/Linux.
+  version_type=linux
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=no
+  hardcode_into_libs=yes
+  ;;
+
+uts4*)
+  version_type=linux
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  ;;
+
+*)
+  dynamic_linker=no
+  ;;
+esac
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $dynamic_linker" >&5
+$as_echo "$dynamic_linker" >&6; }
+test "$dynamic_linker" = no && can_build_shared=no
+
+variables_saved_for_relink="PATH $shlibpath_var $runpath_var"
+if test "$GCC" = yes; then
+  variables_saved_for_relink="$variables_saved_for_relink GCC_EXEC_PREFIX COMPILER_PATH LIBRARY_PATH"
+fi
+
+if test "${lt_cv_sys_lib_search_path_spec+set}" = set; then
+  sys_lib_search_path_spec="$lt_cv_sys_lib_search_path_spec"
+fi
+if test "${lt_cv_sys_lib_dlsearch_path_spec+set}" = set; then
+  sys_lib_dlsearch_path_spec="$lt_cv_sys_lib_dlsearch_path_spec"
+fi
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+    { $as_echo "$as_me:${as_lineno-$LINENO}: checking how to hardcode library paths into programs" >&5
+$as_echo_n "checking how to hardcode library paths into programs... " >&6; }
+hardcode_action_CXX=
+if test -n "$hardcode_libdir_flag_spec_CXX" ||
+   test -n "$runpath_var_CXX" ||
+   test "X$hardcode_automatic_CXX" = "Xyes" ; then
+
+  # We can hardcode non-existent directories.
+  if test "$hardcode_direct_CXX" != no &&
+     # If the only mechanism to avoid hardcoding is shlibpath_var, we
+     # have to relink, otherwise we might link with an installed library
+     # when we should be linking with a yet-to-be-installed one
+     ## test "$_LT_TAGVAR(hardcode_shlibpath_var, CXX)" != no &&
+     test "$hardcode_minus_L_CXX" != no; then
+    # Linking always hardcodes the temporary library directory.
+    hardcode_action_CXX=relink
+  else
+    # We can link without hardcoding, and we can hardcode nonexisting dirs.
+    hardcode_action_CXX=immediate
+  fi
+else
+  # We cannot hardcode anything, or else we can only hardcode existing
+  # directories.
+  hardcode_action_CXX=unsupported
+fi
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $hardcode_action_CXX" >&5
+$as_echo "$hardcode_action_CXX" >&6; }
+
+if test "$hardcode_action_CXX" = relink ||
+   test "$inherit_rpath_CXX" = yes; then
+  # Fast installation is not supported
+  enable_fast_install=no
+elif test "$shlibpath_overrides_runpath" = yes ||
+     test "$enable_shared" = no; then
+  # Fast installation is not necessary
+  enable_fast_install=needless
+fi
+
+
+
+
+
+
+
+  fi # test -n "$compiler"
+
+  CC=$lt_save_CC
+  LDCXX=$LD
+  LD=$lt_save_LD
+  GCC=$lt_save_GCC
+  with_gnu_ld=$lt_save_with_gnu_ld
+  lt_cv_path_LDCXX=$lt_cv_path_LD
+  lt_cv_path_LD=$lt_save_path_LD
+  lt_cv_prog_gnu_ldcxx=$lt_cv_prog_gnu_ld
+  lt_cv_prog_gnu_ld=$lt_save_with_gnu_ld
+fi # test "$_lt_caught_CXX_error" != yes
+
+ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+
+
+
+
+
+
+
+
+
+
+
+
+        ac_config_commands="$ac_config_commands libtool"
+
+
+
+
+# Only expand once:
+
+
+
+
+# Extract the first word of "perl", so it can be a program name with args.
+set dummy perl; ac_word=$2
+{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_path_PERL+set}" = set; then :
+  $as_echo_n "(cached) " >&6
+else
+  case $PERL in
+  [\\/]* | ?:[\\/]*)
+  ac_cv_path_PERL="$PERL" # Let the user override the test with a path.
+  ;;
+  *)
+  as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_path_PERL="$as_dir/$ac_word$ac_exec_ext"
+    $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+  done
+IFS=$as_save_IFS
+
+  test -z "$ac_cv_path_PERL" && ac_cv_path_PERL=":"
+  ;;
+esac
+fi
+PERL=$ac_cv_path_PERL
+if test -n "$PERL"; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: $PERL" >&5
+$as_echo "$PERL" >&6; }
+else
+  { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+if test $USE_MAINTAINER_MODE = yes; then
+  if test "$PERL" = ":"; then
+    as_fn_error "The $PACKAGE package requires an installed perl." "$LINENO" 5
+  else
+    { $as_echo "$as_me:${as_lineno-$LINENO}: checking for XML::LibXML Perl module" >&5
+$as_echo_n "checking for XML::LibXML Perl module... " >&6; }
+    have_xml="`$PERL -MXML::LibXML -e 'exit 0;' >/dev/null 2>&1`"
+    if test $? != "0"; then
+      { $as_echo "$as_me:${as_lineno-$LINENO}: result: failed" >&5
+$as_echo "failed" >&6; }
+      as_fn_error "Perl package XML::LibXML may be downloaded from http://search.cpan.org/dist/libXML" "$LINENO" 5
+    else
+      { $as_echo "$as_me:${as_lineno-$LINENO}: result: ok" >&5
+$as_echo "ok" >&6; }
+    fi
+    { $as_echo "$as_me:${as_lineno-$LINENO}: checking for GD Perl module" >&5
+$as_echo_n "checking for GD Perl module... " >&6; }
+    have_gd="`$PERL -MGD -e 'exit 0;' >/dev/null 2>&1`"
+    if test $? != "0"; then
+      { $as_echo "$as_me:${as_lineno-$LINENO}: result: failed" >&5
+$as_echo "failed" >&6; }
+      as_fn_error "Perl package GD:: may be downloaded from http://search.cpan.org/dist/GD" "$LINENO" 5
+    else
+      { $as_echo "$as_me:${as_lineno-$LINENO}: result: ok" >&5
+$as_echo "ok" >&6; }
+    fi
+  fi
+fi
+
+ac_config_files="$ac_config_files Makefile admsXml/Makefile images/Makefile scripts/Makefile"
+
+
+
+test "x$prefix" = xNONE && prefix=$ac_default_prefix
+# Let make expand exec_prefix.
+test "x$exec_prefix" = xNONE && exec_prefix='${prefix}'
+
+DEFS=-DHAVE_CONFIG_H
+
+ac_libobjs=
+ac_ltlibobjs=
+for ac_i in : $LIBOBJS; do test "x$ac_i" = x: && continue
+  # 1. Remove the extension, and $U if already installed.
+  ac_script='s/\$U\././;s/\.o$//;s/\.obj$//'
+  ac_i=`$as_echo "$ac_i" | sed "$ac_script"`
+  # 2. Prepend LIBOBJDIR.  When used with automake>=1.10 LIBOBJDIR
+  #    will be set to the directory where LIBOBJS objects are built.
+  as_fn_append ac_libobjs " \${LIBOBJDIR}$ac_i\$U.$ac_objext"
+  as_fn_append ac_ltlibobjs " \${LIBOBJDIR}$ac_i"'$U.lo'
+done
+LIBOBJS=$ac_libobjs
+
+LTLIBOBJS=$ac_ltlibobjs
+
+
+if test -z "${MAINTAINER_MODE_TRUE}" && test -z "${MAINTAINER_MODE_FALSE}"; then
+  as_fn_error "conditional \"MAINTAINER_MODE\" was never defined.
+Usually this means the macro was only invoked conditionally." "$LINENO" 5
+fi
+if test -z "${AMDEP_TRUE}" && test -z "${AMDEP_FALSE}"; then
+  as_fn_error "conditional \"AMDEP\" was never defined.
+Usually this means the macro was only invoked conditionally." "$LINENO" 5
+fi
+if test -z "${am__fastdepCC_TRUE}" && test -z "${am__fastdepCC_FALSE}"; then
+  as_fn_error "conditional \"am__fastdepCC\" was never defined.
+Usually this means the macro was only invoked conditionally." "$LINENO" 5
+fi
+if test -z "${am__fastdepCXX_TRUE}" && test -z "${am__fastdepCXX_FALSE}"; then
+  as_fn_error "conditional \"am__fastdepCXX\" was never defined.
+Usually this means the macro was only invoked conditionally." "$LINENO" 5
+fi
+ if test -n "$EXEEXT"; then
+  am__EXEEXT_TRUE=
+  am__EXEEXT_FALSE='#'
+else
+  am__EXEEXT_TRUE='#'
+  am__EXEEXT_FALSE=
+fi
+
+
+: ${CONFIG_STATUS=./config.status}
+ac_write_fail=0
+ac_clean_files_save=$ac_clean_files
+ac_clean_files="$ac_clean_files $CONFIG_STATUS"
+{ $as_echo "$as_me:${as_lineno-$LINENO}: creating $CONFIG_STATUS" >&5
+$as_echo "$as_me: creating $CONFIG_STATUS" >&6;}
+as_write_fail=0
+cat >$CONFIG_STATUS <<_ASEOF || as_write_fail=1
+#! $SHELL
+# Generated by $as_me.
+# Run this file to recreate the current configuration.
+# Compiler output produced by configure, useful for debugging
+# configure, is in config.log if it exists.
+
+debug=false
+ac_cs_recheck=false
+ac_cs_silent=false
+
+SHELL=\${CONFIG_SHELL-$SHELL}
+export SHELL
+_ASEOF
+cat >>$CONFIG_STATUS <<\_ASEOF || as_write_fail=1
+## -------------------- ##
+## M4sh Initialization. ##
+## -------------------- ##
+
+# Be more Bourne compatible
+DUALCASE=1; export DUALCASE # for MKS sh
+if test -n "${ZSH_VERSION+set}" && (emulate sh) >/dev/null 2>&1; then :
+  emulate sh
+  NULLCMD=:
+  # Pre-4.2 versions of Zsh do word splitting on ${1+"$@"}, which
+  # is contrary to our usage.  Disable this feature.
+  alias -g '${1+"$@"}'='"$@"'
+  setopt NO_GLOB_SUBST
+else
+  case `(set -o) 2>/dev/null` in #(
+  *posix*) :
+    set -o posix ;; #(
+  *) :
+     ;;
+esac
+fi
+
+
+as_nl='
+'
+export as_nl
+# Printing a long string crashes Solaris 7 /usr/bin/printf.
+as_echo='\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\'
+as_echo=$as_echo$as_echo$as_echo$as_echo$as_echo
+as_echo=$as_echo$as_echo$as_echo$as_echo$as_echo$as_echo
+# Prefer a ksh shell builtin over an external printf program on Solaris,
+# but without wasting forks for bash or zsh.
+if test -z "$BASH_VERSION$ZSH_VERSION" \
+    && (test "X`print -r -- $as_echo`" = "X$as_echo") 2>/dev/null; then
+  as_echo='print -r --'
+  as_echo_n='print -rn --'
+elif (test "X`printf %s $as_echo`" = "X$as_echo") 2>/dev/null; then
+  as_echo='printf %s\n'
+  as_echo_n='printf %s'
+else
+  if test "X`(/usr/ucb/echo -n -n $as_echo) 2>/dev/null`" = "X-n $as_echo"; then
+    as_echo_body='eval /usr/ucb/echo -n "$1$as_nl"'
+    as_echo_n='/usr/ucb/echo -n'
+  else
+    as_echo_body='eval expr "X$1" : "X\\(.*\\)"'
+    as_echo_n_body='eval
+      arg=$1;
+      case $arg in #(
+      *"$as_nl"*)
+	expr "X$arg" : "X\\(.*\\)$as_nl";
+	arg=`expr "X$arg" : ".*$as_nl\\(.*\\)"`;;
+      esac;
+      expr "X$arg" : "X\\(.*\\)" | tr -d "$as_nl"
+    '
+    export as_echo_n_body
+    as_echo_n='sh -c $as_echo_n_body as_echo'
+  fi
+  export as_echo_body
+  as_echo='sh -c $as_echo_body as_echo'
+fi
+
+# The user is always right.
+if test "${PATH_SEPARATOR+set}" != set; then
+  PATH_SEPARATOR=:
+  (PATH='/bin;/bin'; FPATH=$PATH; sh -c :) >/dev/null 2>&1 && {
+    (PATH='/bin:/bin'; FPATH=$PATH; sh -c :) >/dev/null 2>&1 ||
+      PATH_SEPARATOR=';'
+  }
+fi
+
+
+# IFS
+# We need space, tab and new line, in precisely that order.  Quoting is
+# there to prevent editors from complaining about space-tab.
+# (If _AS_PATH_WALK were called with IFS unset, it would disable word
+# splitting by setting IFS to empty value.)
+IFS=" ""	$as_nl"
+
+# Find who we are.  Look in the path if we contain no directory separator.
+case $0 in #((
+  *[\\/]* ) as_myself=$0 ;;
+  *) as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+    test -r "$as_dir/$0" && as_myself=$as_dir/$0 && break
+  done
+IFS=$as_save_IFS
+
+     ;;
+esac
+# We did not find ourselves, most probably we were run as `sh COMMAND'
+# in which case we are not to be found in the path.
+if test "x$as_myself" = x; then
+  as_myself=$0
+fi
+if test ! -f "$as_myself"; then
+  $as_echo "$as_myself: error: cannot find myself; rerun with an absolute file name" >&2
+  exit 1
+fi
+
+# Unset variables that we do not need and which cause bugs (e.g. in
+# pre-3.0 UWIN ksh).  But do not cause bugs in bash 2.01; the "|| exit 1"
+# suppresses any "Segmentation fault" message there.  '((' could
+# trigger a bug in pdksh 5.2.14.
+for as_var in BASH_ENV ENV MAIL MAILPATH
+do eval test x\${$as_var+set} = xset \
+  && ( (unset $as_var) || exit 1) >/dev/null 2>&1 && unset $as_var || :
+done
+PS1='$ '
+PS2='> '
+PS4='+ '
+
+# NLS nuisances.
+LC_ALL=C
+export LC_ALL
+LANGUAGE=C
+export LANGUAGE
+
+# CDPATH.
+(unset CDPATH) >/dev/null 2>&1 && unset CDPATH
+
+
+# as_fn_error ERROR [LINENO LOG_FD]
+# ---------------------------------
+# Output "`basename $0`: error: ERROR" to stderr. If LINENO and LOG_FD are
+# provided, also output the error to LOG_FD, referencing LINENO. Then exit the
+# script with status $?, using 1 if that was 0.
+as_fn_error ()
+{
+  as_status=$?; test $as_status -eq 0 && as_status=1
+  if test "$3"; then
+    as_lineno=${as_lineno-"$2"} as_lineno_stack=as_lineno_stack=$as_lineno_stack
+    $as_echo "$as_me:${as_lineno-$LINENO}: error: $1" >&$3
+  fi
+  $as_echo "$as_me: error: $1" >&2
+  as_fn_exit $as_status
+} # as_fn_error
+
+
+# as_fn_set_status STATUS
+# -----------------------
+# Set $? to STATUS, without forking.
+as_fn_set_status ()
+{
+  return $1
+} # as_fn_set_status
+
+# as_fn_exit STATUS
+# -----------------
+# Exit the shell with STATUS, even in a "trap 0" or "set -e" context.
+as_fn_exit ()
+{
+  set +e
+  as_fn_set_status $1
+  exit $1
+} # as_fn_exit
+
+# as_fn_unset VAR
+# ---------------
+# Portably unset VAR.
+as_fn_unset ()
+{
+  { eval $1=; unset $1;}
+}
+as_unset=as_fn_unset
+# as_fn_append VAR VALUE
+# ----------------------
+# Append the text in VALUE to the end of the definition contained in VAR. Take
+# advantage of any shell optimizations that allow amortized linear growth over
+# repeated appends, instead of the typical quadratic growth present in naive
+# implementations.
+if (eval "as_var=1; as_var+=2; test x\$as_var = x12") 2>/dev/null; then :
+  eval 'as_fn_append ()
+  {
+    eval $1+=\$2
+  }'
+else
+  as_fn_append ()
+  {
+    eval $1=\$$1\$2
+  }
+fi # as_fn_append
+
+# as_fn_arith ARG...
+# ------------------
+# Perform arithmetic evaluation on the ARGs, and store the result in the
+# global $as_val. Take advantage of shells that can avoid forks. The arguments
+# must be portable across $(()) and expr.
+if (eval "test \$(( 1 + 1 )) = 2") 2>/dev/null; then :
+  eval 'as_fn_arith ()
+  {
+    as_val=$(( $* ))
+  }'
+else
+  as_fn_arith ()
+  {
+    as_val=`expr "$@" || test $? -eq 1`
+  }
+fi # as_fn_arith
+
+
+if expr a : '\(a\)' >/dev/null 2>&1 &&
+   test "X`expr 00001 : '.*\(...\)'`" = X001; then
+  as_expr=expr
+else
+  as_expr=false
+fi
+
+if (basename -- /) >/dev/null 2>&1 && test "X`basename -- / 2>&1`" = "X/"; then
+  as_basename=basename
+else
+  as_basename=false
+fi
+
+if (as_dir=`dirname -- /` && test "X$as_dir" = X/) >/dev/null 2>&1; then
+  as_dirname=dirname
+else
+  as_dirname=false
+fi
+
+as_me=`$as_basename -- "$0" ||
+$as_expr X/"$0" : '.*/\([^/][^/]*\)/*$' \| \
+	 X"$0" : 'X\(//\)$' \| \
+	 X"$0" : 'X\(/\)' \| . 2>/dev/null ||
+$as_echo X/"$0" |
+    sed '/^.*\/\([^/][^/]*\)\/*$/{
+	    s//\1/
+	    q
+	  }
+	  /^X\/\(\/\/\)$/{
+	    s//\1/
+	    q
+	  }
+	  /^X\/\(\/\).*/{
+	    s//\1/
+	    q
+	  }
+	  s/.*/./; q'`
+
+# Avoid depending upon Character Ranges.
+as_cr_letters='abcdefghijklmnopqrstuvwxyz'
+as_cr_LETTERS='ABCDEFGHIJKLMNOPQRSTUVWXYZ'
+as_cr_Letters=$as_cr_letters$as_cr_LETTERS
+as_cr_digits='0123456789'
+as_cr_alnum=$as_cr_Letters$as_cr_digits
+
+ECHO_C= ECHO_N= ECHO_T=
+case `echo -n x` in #(((((
+-n*)
+  case `echo 'xy\c'` in
+  *c*) ECHO_T='	';;	# ECHO_T is single tab character.
+  xy)  ECHO_C='\c';;
+  *)   echo `echo ksh88 bug on AIX 6.1` > /dev/null
+       ECHO_T='	';;
+  esac;;
+*)
+  ECHO_N='-n';;
+esac
+
+rm -f conf$$ conf$$.exe conf$$.file
+if test -d conf$$.dir; then
+  rm -f conf$$.dir/conf$$.file
+else
+  rm -f conf$$.dir
+  mkdir conf$$.dir 2>/dev/null
+fi
+if (echo >conf$$.file) 2>/dev/null; then
+  if ln -s conf$$.file conf$$ 2>/dev/null; then
+    as_ln_s='ln -s'
+    # ... but there are two gotchas:
+    # 1) On MSYS, both `ln -s file dir' and `ln file dir' fail.
+    # 2) DJGPP < 2.04 has no symlinks; `ln -s' creates a wrapper executable.
+    # In both cases, we have to default to `cp -p'.
+    ln -s conf$$.file conf$$.dir 2>/dev/null && test ! -f conf$$.exe ||
+      as_ln_s='cp -p'
+  elif ln conf$$.file conf$$ 2>/dev/null; then
+    as_ln_s=ln
+  else
+    as_ln_s='cp -p'
+  fi
+else
+  as_ln_s='cp -p'
+fi
+rm -f conf$$ conf$$.exe conf$$.dir/conf$$.file conf$$.file
+rmdir conf$$.dir 2>/dev/null
+
+
+# as_fn_mkdir_p
+# -------------
+# Create "$as_dir" as a directory, including parents if necessary.
+as_fn_mkdir_p ()
+{
+
+  case $as_dir in #(
+  -*) as_dir=./$as_dir;;
+  esac
+  test -d "$as_dir" || eval $as_mkdir_p || {
+    as_dirs=
+    while :; do
+      case $as_dir in #(
+      *\'*) as_qdir=`$as_echo "$as_dir" | sed "s/'/'\\\\\\\\''/g"`;; #'(
+      *) as_qdir=$as_dir;;
+      esac
+      as_dirs="'$as_qdir' $as_dirs"
+      as_dir=`$as_dirname -- "$as_dir" ||
+$as_expr X"$as_dir" : 'X\(.*[^/]\)//*[^/][^/]*/*$' \| \
+	 X"$as_dir" : 'X\(//\)[^/]' \| \
+	 X"$as_dir" : 'X\(//\)$' \| \
+	 X"$as_dir" : 'X\(/\)' \| . 2>/dev/null ||
+$as_echo X"$as_dir" |
+    sed '/^X\(.*[^/]\)\/\/*[^/][^/]*\/*$/{
+	    s//\1/
+	    q
+	  }
+	  /^X\(\/\/\)[^/].*/{
+	    s//\1/
+	    q
+	  }
+	  /^X\(\/\/\)$/{
+	    s//\1/
+	    q
+	  }
+	  /^X\(\/\).*/{
+	    s//\1/
+	    q
+	  }
+	  s/.*/./; q'`
+      test -d "$as_dir" && break
+    done
+    test -z "$as_dirs" || eval "mkdir $as_dirs"
+  } || test -d "$as_dir" || as_fn_error "cannot create directory $as_dir"
+
+
+} # as_fn_mkdir_p
+if mkdir -p . 2>/dev/null; then
+  as_mkdir_p='mkdir -p "$as_dir"'
+else
+  test -d ./-p && rmdir ./-p
+  as_mkdir_p=false
+fi
+
+if test -x / >/dev/null 2>&1; then
+  as_test_x='test -x'
+else
+  if ls -dL / >/dev/null 2>&1; then
+    as_ls_L_option=L
+  else
+    as_ls_L_option=
+  fi
+  as_test_x='
+    eval sh -c '\''
+      if test -d "$1"; then
+	test -d "$1/.";
+      else
+	case $1 in #(
+	-*)set "./$1";;
+	esac;
+	case `ls -ld'$as_ls_L_option' "$1" 2>/dev/null` in #((
+	???[sx]*):;;*)false;;esac;fi
+    '\'' sh
+  '
+fi
+as_executable_p=$as_test_x
+
+# Sed expression to map a string onto a valid CPP name.
+as_tr_cpp="eval sed 'y%*$as_cr_letters%P$as_cr_LETTERS%;s%[^_$as_cr_alnum]%_%g'"
+
+# Sed expression to map a string onto a valid variable name.
+as_tr_sh="eval sed 'y%*+%pp%;s%[^_$as_cr_alnum]%_%g'"
+
+
+exec 6>&1
+## ----------------------------------- ##
+## Main body of $CONFIG_STATUS script. ##
+## ----------------------------------- ##
+_ASEOF
+test $as_write_fail = 0 && chmod +x $CONFIG_STATUS || ac_write_fail=1
+
+cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
+# Save the log message, to keep $0 and so on meaningful, and to
+# report actual input values of CONFIG_FILES etc. instead of their
+# values after options handling.
+ac_log="
+This file was extended by adms $as_me 2.3.1, which was
+generated by GNU Autoconf 2.64.  Invocation command line was
+
+  CONFIG_FILES    = $CONFIG_FILES
+  CONFIG_HEADERS  = $CONFIG_HEADERS
+  CONFIG_LINKS    = $CONFIG_LINKS
+  CONFIG_COMMANDS = $CONFIG_COMMANDS
+  $ $0 $@
+
+on `(hostname || uname -n) 2>/dev/null | sed 1q`
+"
+
+_ACEOF
+
+case $ac_config_files in *"
+"*) set x $ac_config_files; shift; ac_config_files=$*;;
+esac
+
+case $ac_config_headers in *"
+"*) set x $ac_config_headers; shift; ac_config_headers=$*;;
+esac
+
+
+cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
+# Files that config.status was made for.
+config_files="$ac_config_files"
+config_headers="$ac_config_headers"
+config_commands="$ac_config_commands"
+
+_ACEOF
+
+cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
+ac_cs_usage="\
+\`$as_me' instantiates files and other configuration actions
+from templates according to the current configuration.  Unless the files
+and actions are specified as TAGs, all are instantiated by default.
+
+Usage: $0 [OPTION]... [TAG]...
+
+  -h, --help       print this help, then exit
+  -V, --version    print version number and configuration settings, then exit
+  -q, --quiet, --silent
+                   do not print progress messages
+  -d, --debug      don't remove temporary files
+      --recheck    update $as_me by reconfiguring in the same conditions
+      --file=FILE[:TEMPLATE]
+                   instantiate the configuration file FILE
+      --header=FILE[:TEMPLATE]
+                   instantiate the configuration header FILE
+
+Configuration files:
+$config_files
+
+Configuration headers:
+$config_headers
+
+Configuration commands:
+$config_commands
+
+Report bugs to <crobar at users.sourceforge.net>."
+
+_ACEOF
+cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
+ac_cs_version="\\
+adms config.status 2.3.1
+configured by $0, generated by GNU Autoconf 2.64,
+  with options \\"`$as_echo "$ac_configure_args" | sed 's/^ //; s/[\\""\`\$]/\\\\&/g'`\\"
+
+Copyright (C) 2009 Free Software Foundation, Inc.
+This config.status script is free software; the Free Software Foundation
+gives unlimited permission to copy, distribute and modify it."
+
+ac_pwd='$ac_pwd'
+srcdir='$srcdir'
+INSTALL='$INSTALL'
+MKDIR_P='$MKDIR_P'
+AWK='$AWK'
+test -n "\$AWK" || AWK=awk
+_ACEOF
+
+cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
+# The default lists apply if the user does not specify any file.
+ac_need_defaults=:
+while test $# != 0
+do
+  case $1 in
+  --*=*)
+    ac_option=`expr "X$1" : 'X\([^=]*\)='`
+    ac_optarg=`expr "X$1" : 'X[^=]*=\(.*\)'`
+    ac_shift=:
+    ;;
+  *)
+    ac_option=$1
+    ac_optarg=$2
+    ac_shift=shift
+    ;;
+  esac
+
+  case $ac_option in
+  # Handling of the options.
+  -recheck | --recheck | --rechec | --reche | --rech | --rec | --re | --r)
+    ac_cs_recheck=: ;;
+  --version | --versio | --versi | --vers | --ver | --ve | --v | -V )
+    $as_echo "$ac_cs_version"; exit ;;
+  --debug | --debu | --deb | --de | --d | -d )
+    debug=: ;;
+  --file | --fil | --fi | --f )
+    $ac_shift
+    case $ac_optarg in
+    *\'*) ac_optarg=`$as_echo "$ac_optarg" | sed "s/'/'\\\\\\\\''/g"` ;;
+    esac
+    as_fn_append CONFIG_FILES " '$ac_optarg'"
+    ac_need_defaults=false;;
+  --header | --heade | --head | --hea )
+    $ac_shift
+    case $ac_optarg in
+    *\'*) ac_optarg=`$as_echo "$ac_optarg" | sed "s/'/'\\\\\\\\''/g"` ;;
+    esac
+    as_fn_append CONFIG_HEADERS " '$ac_optarg'"
+    ac_need_defaults=false;;
+  --he | --h)
+    # Conflict between --help and --header
+    as_fn_error "ambiguous option: \`$1'
+Try \`$0 --help' for more information.";;
+  --help | --hel | -h )
+    $as_echo "$ac_cs_usage"; exit ;;
+  -q | -quiet | --quiet | --quie | --qui | --qu | --q \
+  | -silent | --silent | --silen | --sile | --sil | --si | --s)
+    ac_cs_silent=: ;;
+
+  # This is an error.
+  -*) as_fn_error "unrecognized option: \`$1'
+Try \`$0 --help' for more information." ;;
+
+  *) as_fn_append ac_config_targets " $1"
+     ac_need_defaults=false ;;
+
+  esac
+  shift
+done
+
+ac_configure_extra_args=
+
+if $ac_cs_silent; then
+  exec 6>/dev/null
+  ac_configure_extra_args="$ac_configure_extra_args --silent"
+fi
+
+_ACEOF
+cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
+if \$ac_cs_recheck; then
+  set X '$SHELL' '$0' $ac_configure_args \$ac_configure_extra_args --no-create --no-recursion
+  shift
+  \$as_echo "running CONFIG_SHELL=$SHELL \$*" >&6
+  CONFIG_SHELL='$SHELL'
+  export CONFIG_SHELL
+  exec "\$@"
+fi
+
+_ACEOF
+cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
+exec 5>>config.log
+{
+  echo
+  sed 'h;s/./-/g;s/^.../## /;s/...$/ ##/;p;x;p;x' <<_ASBOX
+## Running $as_me. ##
+_ASBOX
+  $as_echo "$ac_log"
+} >&5
+
+_ACEOF
+cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
+#
+# INIT-COMMANDS
+#
+AMDEP_TRUE="$AMDEP_TRUE" ac_aux_dir="$ac_aux_dir"
+
+
+# The HP-UX ksh and POSIX shell print the target directory to stdout
+# if CDPATH is set.
+(unset CDPATH) >/dev/null 2>&1 && unset CDPATH
+
+sed_quote_subst='$sed_quote_subst'
+double_quote_subst='$double_quote_subst'
+delay_variable_subst='$delay_variable_subst'
+AS='`$ECHO "X$AS" | $Xsed -e "$delay_single_quote_subst"`'
+DLLTOOL='`$ECHO "X$DLLTOOL" | $Xsed -e "$delay_single_quote_subst"`'
+OBJDUMP='`$ECHO "X$OBJDUMP" | $Xsed -e "$delay_single_quote_subst"`'
+macro_version='`$ECHO "X$macro_version" | $Xsed -e "$delay_single_quote_subst"`'
+macro_revision='`$ECHO "X$macro_revision" | $Xsed -e "$delay_single_quote_subst"`'
+enable_shared='`$ECHO "X$enable_shared" | $Xsed -e "$delay_single_quote_subst"`'
+enable_static='`$ECHO "X$enable_static" | $Xsed -e "$delay_single_quote_subst"`'
+pic_mode='`$ECHO "X$pic_mode" | $Xsed -e "$delay_single_quote_subst"`'
+enable_fast_install='`$ECHO "X$enable_fast_install" | $Xsed -e "$delay_single_quote_subst"`'
+host_alias='`$ECHO "X$host_alias" | $Xsed -e "$delay_single_quote_subst"`'
+host='`$ECHO "X$host" | $Xsed -e "$delay_single_quote_subst"`'
+host_os='`$ECHO "X$host_os" | $Xsed -e "$delay_single_quote_subst"`'
+build_alias='`$ECHO "X$build_alias" | $Xsed -e "$delay_single_quote_subst"`'
+build='`$ECHO "X$build" | $Xsed -e "$delay_single_quote_subst"`'
+build_os='`$ECHO "X$build_os" | $Xsed -e "$delay_single_quote_subst"`'
+SED='`$ECHO "X$SED" | $Xsed -e "$delay_single_quote_subst"`'
+Xsed='`$ECHO "X$Xsed" | $Xsed -e "$delay_single_quote_subst"`'
+GREP='`$ECHO "X$GREP" | $Xsed -e "$delay_single_quote_subst"`'
+EGREP='`$ECHO "X$EGREP" | $Xsed -e "$delay_single_quote_subst"`'
+FGREP='`$ECHO "X$FGREP" | $Xsed -e "$delay_single_quote_subst"`'
+LD='`$ECHO "X$LD" | $Xsed -e "$delay_single_quote_subst"`'
+NM='`$ECHO "X$NM" | $Xsed -e "$delay_single_quote_subst"`'
+LN_S='`$ECHO "X$LN_S" | $Xsed -e "$delay_single_quote_subst"`'
+max_cmd_len='`$ECHO "X$max_cmd_len" | $Xsed -e "$delay_single_quote_subst"`'
+ac_objext='`$ECHO "X$ac_objext" | $Xsed -e "$delay_single_quote_subst"`'
+exeext='`$ECHO "X$exeext" | $Xsed -e "$delay_single_quote_subst"`'
+lt_unset='`$ECHO "X$lt_unset" | $Xsed -e "$delay_single_quote_subst"`'
+lt_SP2NL='`$ECHO "X$lt_SP2NL" | $Xsed -e "$delay_single_quote_subst"`'
+lt_NL2SP='`$ECHO "X$lt_NL2SP" | $Xsed -e "$delay_single_quote_subst"`'
+reload_flag='`$ECHO "X$reload_flag" | $Xsed -e "$delay_single_quote_subst"`'
+reload_cmds='`$ECHO "X$reload_cmds" | $Xsed -e "$delay_single_quote_subst"`'
+deplibs_check_method='`$ECHO "X$deplibs_check_method" | $Xsed -e "$delay_single_quote_subst"`'
+file_magic_cmd='`$ECHO "X$file_magic_cmd" | $Xsed -e "$delay_single_quote_subst"`'
+AR='`$ECHO "X$AR" | $Xsed -e "$delay_single_quote_subst"`'
+AR_FLAGS='`$ECHO "X$AR_FLAGS" | $Xsed -e "$delay_single_quote_subst"`'
+STRIP='`$ECHO "X$STRIP" | $Xsed -e "$delay_single_quote_subst"`'
+RANLIB='`$ECHO "X$RANLIB" | $Xsed -e "$delay_single_quote_subst"`'
+old_postinstall_cmds='`$ECHO "X$old_postinstall_cmds" | $Xsed -e "$delay_single_quote_subst"`'
+old_postuninstall_cmds='`$ECHO "X$old_postuninstall_cmds" | $Xsed -e "$delay_single_quote_subst"`'
+old_archive_cmds='`$ECHO "X$old_archive_cmds" | $Xsed -e "$delay_single_quote_subst"`'
+CC='`$ECHO "X$CC" | $Xsed -e "$delay_single_quote_subst"`'
+CFLAGS='`$ECHO "X$CFLAGS" | $Xsed -e "$delay_single_quote_subst"`'
+compiler='`$ECHO "X$compiler" | $Xsed -e "$delay_single_quote_subst"`'
+GCC='`$ECHO "X$GCC" | $Xsed -e "$delay_single_quote_subst"`'
+lt_cv_sys_global_symbol_pipe='`$ECHO "X$lt_cv_sys_global_symbol_pipe" | $Xsed -e "$delay_single_quote_subst"`'
+lt_cv_sys_global_symbol_to_cdecl='`$ECHO "X$lt_cv_sys_global_symbol_to_cdecl" | $Xsed -e "$delay_single_quote_subst"`'
+lt_cv_sys_global_symbol_to_c_name_address='`$ECHO "X$lt_cv_sys_global_symbol_to_c_name_address" | $Xsed -e "$delay_single_quote_subst"`'
+lt_cv_sys_global_symbol_to_c_name_address_lib_prefix='`$ECHO "X$lt_cv_sys_global_symbol_to_c_name_address_lib_prefix" | $Xsed -e "$delay_single_quote_subst"`'
+objdir='`$ECHO "X$objdir" | $Xsed -e "$delay_single_quote_subst"`'
+SHELL='`$ECHO "X$SHELL" | $Xsed -e "$delay_single_quote_subst"`'
+ECHO='`$ECHO "X$ECHO" | $Xsed -e "$delay_single_quote_subst"`'
+MAGIC_CMD='`$ECHO "X$MAGIC_CMD" | $Xsed -e "$delay_single_quote_subst"`'
+lt_prog_compiler_no_builtin_flag='`$ECHO "X$lt_prog_compiler_no_builtin_flag" | $Xsed -e "$delay_single_quote_subst"`'
+lt_prog_compiler_wl='`$ECHO "X$lt_prog_compiler_wl" | $Xsed -e "$delay_single_quote_subst"`'
+lt_prog_compiler_pic='`$ECHO "X$lt_prog_compiler_pic" | $Xsed -e "$delay_single_quote_subst"`'
+lt_prog_compiler_static='`$ECHO "X$lt_prog_compiler_static" | $Xsed -e "$delay_single_quote_subst"`'
+lt_cv_prog_compiler_c_o='`$ECHO "X$lt_cv_prog_compiler_c_o" | $Xsed -e "$delay_single_quote_subst"`'
+need_locks='`$ECHO "X$need_locks" | $Xsed -e "$delay_single_quote_subst"`'
+DSYMUTIL='`$ECHO "X$DSYMUTIL" | $Xsed -e "$delay_single_quote_subst"`'
+NMEDIT='`$ECHO "X$NMEDIT" | $Xsed -e "$delay_single_quote_subst"`'
+LIPO='`$ECHO "X$LIPO" | $Xsed -e "$delay_single_quote_subst"`'
+OTOOL='`$ECHO "X$OTOOL" | $Xsed -e "$delay_single_quote_subst"`'
+OTOOL64='`$ECHO "X$OTOOL64" | $Xsed -e "$delay_single_quote_subst"`'
+libext='`$ECHO "X$libext" | $Xsed -e "$delay_single_quote_subst"`'
+shrext_cmds='`$ECHO "X$shrext_cmds" | $Xsed -e "$delay_single_quote_subst"`'
+extract_expsyms_cmds='`$ECHO "X$extract_expsyms_cmds" | $Xsed -e "$delay_single_quote_subst"`'
+archive_cmds_need_lc='`$ECHO "X$archive_cmds_need_lc" | $Xsed -e "$delay_single_quote_subst"`'
+enable_shared_with_static_runtimes='`$ECHO "X$enable_shared_with_static_runtimes" | $Xsed -e "$delay_single_quote_subst"`'
+export_dynamic_flag_spec='`$ECHO "X$export_dynamic_flag_spec" | $Xsed -e "$delay_single_quote_subst"`'
+whole_archive_flag_spec='`$ECHO "X$whole_archive_flag_spec" | $Xsed -e "$delay_single_quote_subst"`'
+compiler_needs_object='`$ECHO "X$compiler_needs_object" | $Xsed -e "$delay_single_quote_subst"`'
+old_archive_from_new_cmds='`$ECHO "X$old_archive_from_new_cmds" | $Xsed -e "$delay_single_quote_subst"`'
+old_archive_from_expsyms_cmds='`$ECHO "X$old_archive_from_expsyms_cmds" | $Xsed -e "$delay_single_quote_subst"`'
+archive_cmds='`$ECHO "X$archive_cmds" | $Xsed -e "$delay_single_quote_subst"`'
+archive_expsym_cmds='`$ECHO "X$archive_expsym_cmds" | $Xsed -e "$delay_single_quote_subst"`'
+module_cmds='`$ECHO "X$module_cmds" | $Xsed -e "$delay_single_quote_subst"`'
+module_expsym_cmds='`$ECHO "X$module_expsym_cmds" | $Xsed -e "$delay_single_quote_subst"`'
+with_gnu_ld='`$ECHO "X$with_gnu_ld" | $Xsed -e "$delay_single_quote_subst"`'
+allow_undefined_flag='`$ECHO "X$allow_undefined_flag" | $Xsed -e "$delay_single_quote_subst"`'
+no_undefined_flag='`$ECHO "X$no_undefined_flag" | $Xsed -e "$delay_single_quote_subst"`'
+hardcode_libdir_flag_spec='`$ECHO "X$hardcode_libdir_flag_spec" | $Xsed -e "$delay_single_quote_subst"`'
+hardcode_libdir_flag_spec_ld='`$ECHO "X$hardcode_libdir_flag_spec_ld" | $Xsed -e "$delay_single_quote_subst"`'
+hardcode_libdir_separator='`$ECHO "X$hardcode_libdir_separator" | $Xsed -e "$delay_single_quote_subst"`'
+hardcode_direct='`$ECHO "X$hardcode_direct" | $Xsed -e "$delay_single_quote_subst"`'
+hardcode_direct_absolute='`$ECHO "X$hardcode_direct_absolute" | $Xsed -e "$delay_single_quote_subst"`'
+hardcode_minus_L='`$ECHO "X$hardcode_minus_L" | $Xsed -e "$delay_single_quote_subst"`'
+hardcode_shlibpath_var='`$ECHO "X$hardcode_shlibpath_var" | $Xsed -e "$delay_single_quote_subst"`'
+hardcode_automatic='`$ECHO "X$hardcode_automatic" | $Xsed -e "$delay_single_quote_subst"`'
+inherit_rpath='`$ECHO "X$inherit_rpath" | $Xsed -e "$delay_single_quote_subst"`'
+link_all_deplibs='`$ECHO "X$link_all_deplibs" | $Xsed -e "$delay_single_quote_subst"`'
+fix_srcfile_path='`$ECHO "X$fix_srcfile_path" | $Xsed -e "$delay_single_quote_subst"`'
+always_export_symbols='`$ECHO "X$always_export_symbols" | $Xsed -e "$delay_single_quote_subst"`'
+export_symbols_cmds='`$ECHO "X$export_symbols_cmds" | $Xsed -e "$delay_single_quote_subst"`'
+exclude_expsyms='`$ECHO "X$exclude_expsyms" | $Xsed -e "$delay_single_quote_subst"`'
+include_expsyms='`$ECHO "X$include_expsyms" | $Xsed -e "$delay_single_quote_subst"`'
+prelink_cmds='`$ECHO "X$prelink_cmds" | $Xsed -e "$delay_single_quote_subst"`'
+file_list_spec='`$ECHO "X$file_list_spec" | $Xsed -e "$delay_single_quote_subst"`'
+variables_saved_for_relink='`$ECHO "X$variables_saved_for_relink" | $Xsed -e "$delay_single_quote_subst"`'
+need_lib_prefix='`$ECHO "X$need_lib_prefix" | $Xsed -e "$delay_single_quote_subst"`'
+need_version='`$ECHO "X$need_version" | $Xsed -e "$delay_single_quote_subst"`'
+version_type='`$ECHO "X$version_type" | $Xsed -e "$delay_single_quote_subst"`'
+runpath_var='`$ECHO "X$runpath_var" | $Xsed -e "$delay_single_quote_subst"`'
+shlibpath_var='`$ECHO "X$shlibpath_var" | $Xsed -e "$delay_single_quote_subst"`'
+shlibpath_overrides_runpath='`$ECHO "X$shlibpath_overrides_runpath" | $Xsed -e "$delay_single_quote_subst"`'
+libname_spec='`$ECHO "X$libname_spec" | $Xsed -e "$delay_single_quote_subst"`'
+library_names_spec='`$ECHO "X$library_names_spec" | $Xsed -e "$delay_single_quote_subst"`'
+soname_spec='`$ECHO "X$soname_spec" | $Xsed -e "$delay_single_quote_subst"`'
+postinstall_cmds='`$ECHO "X$postinstall_cmds" | $Xsed -e "$delay_single_quote_subst"`'
+postuninstall_cmds='`$ECHO "X$postuninstall_cmds" | $Xsed -e "$delay_single_quote_subst"`'
+finish_cmds='`$ECHO "X$finish_cmds" | $Xsed -e "$delay_single_quote_subst"`'
+finish_eval='`$ECHO "X$finish_eval" | $Xsed -e "$delay_single_quote_subst"`'
+hardcode_into_libs='`$ECHO "X$hardcode_into_libs" | $Xsed -e "$delay_single_quote_subst"`'
+sys_lib_search_path_spec='`$ECHO "X$sys_lib_search_path_spec" | $Xsed -e "$delay_single_quote_subst"`'
+sys_lib_dlsearch_path_spec='`$ECHO "X$sys_lib_dlsearch_path_spec" | $Xsed -e "$delay_single_quote_subst"`'
+hardcode_action='`$ECHO "X$hardcode_action" | $Xsed -e "$delay_single_quote_subst"`'
+enable_dlopen='`$ECHO "X$enable_dlopen" | $Xsed -e "$delay_single_quote_subst"`'
+enable_dlopen_self='`$ECHO "X$enable_dlopen_self" | $Xsed -e "$delay_single_quote_subst"`'
+enable_dlopen_self_static='`$ECHO "X$enable_dlopen_self_static" | $Xsed -e "$delay_single_quote_subst"`'
+old_striplib='`$ECHO "X$old_striplib" | $Xsed -e "$delay_single_quote_subst"`'
+striplib='`$ECHO "X$striplib" | $Xsed -e "$delay_single_quote_subst"`'
+compiler_lib_search_dirs='`$ECHO "X$compiler_lib_search_dirs" | $Xsed -e "$delay_single_quote_subst"`'
+predep_objects='`$ECHO "X$predep_objects" | $Xsed -e "$delay_single_quote_subst"`'
+postdep_objects='`$ECHO "X$postdep_objects" | $Xsed -e "$delay_single_quote_subst"`'
+predeps='`$ECHO "X$predeps" | $Xsed -e "$delay_single_quote_subst"`'
+postdeps='`$ECHO "X$postdeps" | $Xsed -e "$delay_single_quote_subst"`'
+compiler_lib_search_path='`$ECHO "X$compiler_lib_search_path" | $Xsed -e "$delay_single_quote_subst"`'
+LD_CXX='`$ECHO "X$LD_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+old_archive_cmds_CXX='`$ECHO "X$old_archive_cmds_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+compiler_CXX='`$ECHO "X$compiler_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+GCC_CXX='`$ECHO "X$GCC_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+lt_prog_compiler_no_builtin_flag_CXX='`$ECHO "X$lt_prog_compiler_no_builtin_flag_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+lt_prog_compiler_wl_CXX='`$ECHO "X$lt_prog_compiler_wl_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+lt_prog_compiler_pic_CXX='`$ECHO "X$lt_prog_compiler_pic_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+lt_prog_compiler_static_CXX='`$ECHO "X$lt_prog_compiler_static_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+lt_cv_prog_compiler_c_o_CXX='`$ECHO "X$lt_cv_prog_compiler_c_o_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+archive_cmds_need_lc_CXX='`$ECHO "X$archive_cmds_need_lc_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+enable_shared_with_static_runtimes_CXX='`$ECHO "X$enable_shared_with_static_runtimes_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+export_dynamic_flag_spec_CXX='`$ECHO "X$export_dynamic_flag_spec_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+whole_archive_flag_spec_CXX='`$ECHO "X$whole_archive_flag_spec_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+compiler_needs_object_CXX='`$ECHO "X$compiler_needs_object_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+old_archive_from_new_cmds_CXX='`$ECHO "X$old_archive_from_new_cmds_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+old_archive_from_expsyms_cmds_CXX='`$ECHO "X$old_archive_from_expsyms_cmds_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+archive_cmds_CXX='`$ECHO "X$archive_cmds_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+archive_expsym_cmds_CXX='`$ECHO "X$archive_expsym_cmds_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+module_cmds_CXX='`$ECHO "X$module_cmds_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+module_expsym_cmds_CXX='`$ECHO "X$module_expsym_cmds_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+with_gnu_ld_CXX='`$ECHO "X$with_gnu_ld_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+allow_undefined_flag_CXX='`$ECHO "X$allow_undefined_flag_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+no_undefined_flag_CXX='`$ECHO "X$no_undefined_flag_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+hardcode_libdir_flag_spec_CXX='`$ECHO "X$hardcode_libdir_flag_spec_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+hardcode_libdir_flag_spec_ld_CXX='`$ECHO "X$hardcode_libdir_flag_spec_ld_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+hardcode_libdir_separator_CXX='`$ECHO "X$hardcode_libdir_separator_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+hardcode_direct_CXX='`$ECHO "X$hardcode_direct_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+hardcode_direct_absolute_CXX='`$ECHO "X$hardcode_direct_absolute_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+hardcode_minus_L_CXX='`$ECHO "X$hardcode_minus_L_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+hardcode_shlibpath_var_CXX='`$ECHO "X$hardcode_shlibpath_var_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+hardcode_automatic_CXX='`$ECHO "X$hardcode_automatic_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+inherit_rpath_CXX='`$ECHO "X$inherit_rpath_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+link_all_deplibs_CXX='`$ECHO "X$link_all_deplibs_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+fix_srcfile_path_CXX='`$ECHO "X$fix_srcfile_path_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+always_export_symbols_CXX='`$ECHO "X$always_export_symbols_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+export_symbols_cmds_CXX='`$ECHO "X$export_symbols_cmds_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+exclude_expsyms_CXX='`$ECHO "X$exclude_expsyms_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+include_expsyms_CXX='`$ECHO "X$include_expsyms_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+prelink_cmds_CXX='`$ECHO "X$prelink_cmds_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+file_list_spec_CXX='`$ECHO "X$file_list_spec_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+hardcode_action_CXX='`$ECHO "X$hardcode_action_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+compiler_lib_search_dirs_CXX='`$ECHO "X$compiler_lib_search_dirs_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+predep_objects_CXX='`$ECHO "X$predep_objects_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+postdep_objects_CXX='`$ECHO "X$postdep_objects_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+predeps_CXX='`$ECHO "X$predeps_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+postdeps_CXX='`$ECHO "X$postdeps_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+compiler_lib_search_path_CXX='`$ECHO "X$compiler_lib_search_path_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+
+LTCC='$LTCC'
+LTCFLAGS='$LTCFLAGS'
+compiler='$compiler_DEFAULT'
+
+# Quote evaled strings.
+for var in SED \
+GREP \
+EGREP \
+FGREP \
+LD \
+NM \
+LN_S \
+lt_SP2NL \
+lt_NL2SP \
+reload_flag \
+deplibs_check_method \
+file_magic_cmd \
+AR \
+AR_FLAGS \
+STRIP \
+RANLIB \
+CC \
+CFLAGS \
+compiler \
+lt_cv_sys_global_symbol_pipe \
+lt_cv_sys_global_symbol_to_cdecl \
+lt_cv_sys_global_symbol_to_c_name_address \
+lt_cv_sys_global_symbol_to_c_name_address_lib_prefix \
+SHELL \
+ECHO \
+lt_prog_compiler_no_builtin_flag \
+lt_prog_compiler_wl \
+lt_prog_compiler_pic \
+lt_prog_compiler_static \
+lt_cv_prog_compiler_c_o \
+need_locks \
+DSYMUTIL \
+NMEDIT \
+LIPO \
+OTOOL \
+OTOOL64 \
+shrext_cmds \
+export_dynamic_flag_spec \
+whole_archive_flag_spec \
+compiler_needs_object \
+with_gnu_ld \
+allow_undefined_flag \
+no_undefined_flag \
+hardcode_libdir_flag_spec \
+hardcode_libdir_flag_spec_ld \
+hardcode_libdir_separator \
+fix_srcfile_path \
+exclude_expsyms \
+include_expsyms \
+file_list_spec \
+variables_saved_for_relink \
+libname_spec \
+library_names_spec \
+soname_spec \
+finish_eval \
+old_striplib \
+striplib \
+compiler_lib_search_dirs \
+predep_objects \
+postdep_objects \
+predeps \
+postdeps \
+compiler_lib_search_path \
+LD_CXX \
+compiler_CXX \
+lt_prog_compiler_no_builtin_flag_CXX \
+lt_prog_compiler_wl_CXX \
+lt_prog_compiler_pic_CXX \
+lt_prog_compiler_static_CXX \
+lt_cv_prog_compiler_c_o_CXX \
+export_dynamic_flag_spec_CXX \
+whole_archive_flag_spec_CXX \
+compiler_needs_object_CXX \
+with_gnu_ld_CXX \
+allow_undefined_flag_CXX \
+no_undefined_flag_CXX \
+hardcode_libdir_flag_spec_CXX \
+hardcode_libdir_flag_spec_ld_CXX \
+hardcode_libdir_separator_CXX \
+fix_srcfile_path_CXX \
+exclude_expsyms_CXX \
+include_expsyms_CXX \
+file_list_spec_CXX \
+compiler_lib_search_dirs_CXX \
+predep_objects_CXX \
+postdep_objects_CXX \
+predeps_CXX \
+postdeps_CXX \
+compiler_lib_search_path_CXX; do
+    case \`eval \\\\\$ECHO "X\\\\\$\$var"\` in
+    *[\\\\\\\`\\"\\\$]*)
+      eval "lt_\$var=\\\\\\"\\\`\\\$ECHO \\"X\\\$\$var\\" | \\\$Xsed -e \\"\\\$sed_quote_subst\\"\\\`\\\\\\""
+      ;;
+    *)
+      eval "lt_\$var=\\\\\\"\\\$\$var\\\\\\""
+      ;;
+    esac
+done
+
+# Double-quote double-evaled strings.
+for var in reload_cmds \
+old_postinstall_cmds \
+old_postuninstall_cmds \
+old_archive_cmds \
+extract_expsyms_cmds \
+old_archive_from_new_cmds \
+old_archive_from_expsyms_cmds \
+archive_cmds \
+archive_expsym_cmds \
+module_cmds \
+module_expsym_cmds \
+export_symbols_cmds \
+prelink_cmds \
+postinstall_cmds \
+postuninstall_cmds \
+finish_cmds \
+sys_lib_search_path_spec \
+sys_lib_dlsearch_path_spec \
+old_archive_cmds_CXX \
+old_archive_from_new_cmds_CXX \
+old_archive_from_expsyms_cmds_CXX \
+archive_cmds_CXX \
+archive_expsym_cmds_CXX \
+module_cmds_CXX \
+module_expsym_cmds_CXX \
+export_symbols_cmds_CXX \
+prelink_cmds_CXX; do
+    case \`eval \\\\\$ECHO "X\\\\\$\$var"\` in
+    *[\\\\\\\`\\"\\\$]*)
+      eval "lt_\$var=\\\\\\"\\\`\\\$ECHO \\"X\\\$\$var\\" | \\\$Xsed -e \\"\\\$double_quote_subst\\" -e \\"\\\$sed_quote_subst\\" -e \\"\\\$delay_variable_subst\\"\\\`\\\\\\""
+      ;;
+    *)
+      eval "lt_\$var=\\\\\\"\\\$\$var\\\\\\""
+      ;;
+    esac
+done
+
+# Fix-up fallback echo if it was mangled by the above quoting rules.
+case \$lt_ECHO in
+*'\\\$0 --fallback-echo"')  lt_ECHO=\`\$ECHO "X\$lt_ECHO" | \$Xsed -e 's/\\\\\\\\\\\\\\\$0 --fallback-echo"\$/\$0 --fallback-echo"/'\`
+  ;;
+esac
+
+ac_aux_dir='$ac_aux_dir'
+xsi_shell='$xsi_shell'
+lt_shell_append='$lt_shell_append'
+
+# See if we are running on zsh, and set the options which allow our
+# commands through without removal of \ escapes INIT.
+if test -n "\${ZSH_VERSION+set}" ; then
+   setopt NO_GLOB_SUBST
+fi
+
+
+    PACKAGE='$PACKAGE'
+    VERSION='$VERSION'
+    TIMESTAMP='$TIMESTAMP'
+    RM='$RM'
+    ofile='$ofile'
+
+
+
+
+
+
+_ACEOF
+
+cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
+
+# Handling of arguments.
+for ac_config_target in $ac_config_targets
+do
+  case $ac_config_target in
+    "config.h") CONFIG_HEADERS="$CONFIG_HEADERS config.h" ;;
+    "depfiles") CONFIG_COMMANDS="$CONFIG_COMMANDS depfiles" ;;
+    "libtool") CONFIG_COMMANDS="$CONFIG_COMMANDS libtool" ;;
+    "Makefile") CONFIG_FILES="$CONFIG_FILES Makefile" ;;
+    "admsXml/Makefile") CONFIG_FILES="$CONFIG_FILES admsXml/Makefile" ;;
+    "images/Makefile") CONFIG_FILES="$CONFIG_FILES images/Makefile" ;;
+    "scripts/Makefile") CONFIG_FILES="$CONFIG_FILES scripts/Makefile" ;;
+
+  *) as_fn_error "invalid argument: \`$ac_config_target'" "$LINENO" 5;;
+  esac
+done
+
+
+# If the user did not use the arguments to specify the items to instantiate,
+# then the envvar interface is used.  Set only those that are not.
+# We use the long form for the default assignment because of an extremely
+# bizarre bug on SunOS 4.1.3.
+if $ac_need_defaults; then
+  test "${CONFIG_FILES+set}" = set || CONFIG_FILES=$config_files
+  test "${CONFIG_HEADERS+set}" = set || CONFIG_HEADERS=$config_headers
+  test "${CONFIG_COMMANDS+set}" = set || CONFIG_COMMANDS=$config_commands
+fi
+
+# Have a temporary directory for convenience.  Make it in the build tree
+# simply because there is no reason against having it here, and in addition,
+# creating and moving files from /tmp can sometimes cause problems.
+# Hook for its removal unless debugging.
+# Note that there is a small window in which the directory will not be cleaned:
+# after its creation but before its name has been assigned to `$tmp'.
+$debug ||
+{
+  tmp=
+  trap 'exit_status=$?
+  { test -z "$tmp" || test ! -d "$tmp" || rm -fr "$tmp"; } && exit $exit_status
+' 0
+  trap 'as_fn_exit 1' 1 2 13 15
+}
+# Create a (secure) tmp directory for tmp files.
+
+{
+  tmp=`(umask 077 && mktemp -d "./confXXXXXX") 2>/dev/null` &&
+  test -n "$tmp" && test -d "$tmp"
+}  ||
+{
+  tmp=./conf$$-$RANDOM
+  (umask 077 && mkdir "$tmp")
+} || as_fn_error "cannot create a temporary directory in ." "$LINENO" 5
+
+# Set up the scripts for CONFIG_FILES section.
+# No need to generate them if there are no CONFIG_FILES.
+# This happens for instance with `./config.status config.h'.
+if test -n "$CONFIG_FILES"; then
+
+
+ac_cr=`echo X | tr X '\015'`
+# On cygwin, bash can eat \r inside `` if the user requested igncr.
+# But we know of no other shell where ac_cr would be empty at this
+# point, so we can use a bashism as a fallback.
+if test "x$ac_cr" = x; then
+  eval ac_cr=\$\'\\r\'
+fi
+ac_cs_awk_cr=`$AWK 'BEGIN { print "a\rb" }' </dev/null 2>/dev/null`
+if test "$ac_cs_awk_cr" = "a${ac_cr}b"; then
+  ac_cs_awk_cr='\r'
+else
+  ac_cs_awk_cr=$ac_cr
+fi
+
+echo 'BEGIN {' >"$tmp/subs1.awk" &&
+_ACEOF
+
+
+{
+  echo "cat >conf$$subs.awk <<_ACEOF" &&
+  echo "$ac_subst_vars" | sed 's/.*/&!$&$ac_delim/' &&
+  echo "_ACEOF"
+} >conf$$subs.sh ||
+  as_fn_error "could not make $CONFIG_STATUS" "$LINENO" 5
+ac_delim_num=`echo "$ac_subst_vars" | grep -c '$'`
+ac_delim='%!_!# '
+for ac_last_try in false false false false false :; do
+  . ./conf$$subs.sh ||
+    as_fn_error "could not make $CONFIG_STATUS" "$LINENO" 5
+
+  ac_delim_n=`sed -n "s/.*$ac_delim\$/X/p" conf$$subs.awk | grep -c X`
+  if test $ac_delim_n = $ac_delim_num; then
+    break
+  elif $ac_last_try; then
+    as_fn_error "could not make $CONFIG_STATUS" "$LINENO" 5
+  else
+    ac_delim="$ac_delim!$ac_delim _$ac_delim!! "
+  fi
+done
+rm -f conf$$subs.sh
+
+cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
+cat >>"\$tmp/subs1.awk" <<\\_ACAWK &&
+_ACEOF
+sed -n '
+h
+s/^/S["/; s/!.*/"]=/
+p
+g
+s/^[^!]*!//
+:repl
+t repl
+s/'"$ac_delim"'$//
+t delim
+:nl
+h
+s/\(.\{148\}\).*/\1/
+t more1
+s/["\\]/\\&/g; s/^/"/; s/$/\\n"\\/
+p
+n
+b repl
+:more1
+s/["\\]/\\&/g; s/^/"/; s/$/"\\/
+p
+g
+s/.\{148\}//
+t nl
+:delim
+h
+s/\(.\{148\}\).*/\1/
+t more2
+s/["\\]/\\&/g; s/^/"/; s/$/"/
+p
+b
+:more2
+s/["\\]/\\&/g; s/^/"/; s/$/"\\/
+p
+g
+s/.\{148\}//
+t delim
+' <conf$$subs.awk | sed '
+/^[^""]/{
+  N
+  s/\n//
+}
+' >>$CONFIG_STATUS || ac_write_fail=1
+rm -f conf$$subs.awk
+cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
+_ACAWK
+cat >>"\$tmp/subs1.awk" <<_ACAWK &&
+  for (key in S) S_is_set[key] = 1
+  FS = ""
+
+}
+{
+  line = $ 0
+  nfields = split(line, field, "@")
+  substed = 0
+  len = length(field[1])
+  for (i = 2; i < nfields; i++) {
+    key = field[i]
+    keylen = length(key)
+    if (S_is_set[key]) {
+      value = S[key]
+      line = substr(line, 1, len) "" value "" substr(line, len + keylen + 3)
+      len += length(value) + length(field[++i])
+      substed = 1
+    } else
+      len += 1 + keylen
+  }
+
+  print line
+}
+
+_ACAWK
+_ACEOF
+cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
+if sed "s/$ac_cr//" < /dev/null > /dev/null 2>&1; then
+  sed "s/$ac_cr\$//; s/$ac_cr/$ac_cs_awk_cr/g"
+else
+  cat
+fi < "$tmp/subs1.awk" > "$tmp/subs.awk" \
+  || as_fn_error "could not setup config files machinery" "$LINENO" 5
+_ACEOF
+
+# VPATH may cause trouble with some makes, so we remove $(srcdir),
+# ${srcdir} and @srcdir@ from VPATH if srcdir is ".", strip leading and
+# trailing colons and then remove the whole line if VPATH becomes empty
+# (actually we leave an empty line to preserve line numbers).
+if test "x$srcdir" = x.; then
+  ac_vpsub='/^[	 ]*VPATH[	 ]*=/{
+s/:*\$(srcdir):*/:/
+s/:*\${srcdir}:*/:/
+s/:*@srcdir@:*/:/
+s/^\([^=]*=[	 ]*\):*/\1/
+s/:*$//
+s/^[^=]*=[	 ]*$//
+}'
+fi
+
+cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
+fi # test -n "$CONFIG_FILES"
+
+# Set up the scripts for CONFIG_HEADERS section.
+# No need to generate them if there are no CONFIG_HEADERS.
+# This happens for instance with `./config.status Makefile'.
+if test -n "$CONFIG_HEADERS"; then
+cat >"$tmp/defines.awk" <<\_ACAWK ||
+BEGIN {
+_ACEOF
+
+# Transform confdefs.h into an awk script `defines.awk', embedded as
+# here-document in config.status, that substitutes the proper values into
+# config.h.in to produce config.h.
+
+# Create a delimiter string that does not exist in confdefs.h, to ease
+# handling of long lines.
+ac_delim='%!_!# '
+for ac_last_try in false false :; do
+  ac_t=`sed -n "/$ac_delim/p" confdefs.h`
+  if test -z "$ac_t"; then
+    break
+  elif $ac_last_try; then
+    as_fn_error "could not make $CONFIG_HEADERS" "$LINENO" 5
+  else
+    ac_delim="$ac_delim!$ac_delim _$ac_delim!! "
+  fi
+done
+
+# For the awk script, D is an array of macro values keyed by name,
+# likewise P contains macro parameters if any.  Preserve backslash
+# newline sequences.
+
+ac_word_re=[_$as_cr_Letters][_$as_cr_alnum]*
+sed -n '
+s/.\{148\}/&'"$ac_delim"'/g
+t rset
+:rset
+s/^[	 ]*#[	 ]*define[	 ][	 ]*/ /
+t def
+d
+:def
+s/\\$//
+t bsnl
+s/["\\]/\\&/g
+s/^ \('"$ac_word_re"'\)\(([^()]*)\)[	 ]*\(.*\)/P["\1"]="\2"\
+D["\1"]=" \3"/p
+s/^ \('"$ac_word_re"'\)[	 ]*\(.*\)/D["\1"]=" \2"/p
+d
+:bsnl
+s/["\\]/\\&/g
+s/^ \('"$ac_word_re"'\)\(([^()]*)\)[	 ]*\(.*\)/P["\1"]="\2"\
+D["\1"]=" \3\\\\\\n"\\/p
+t cont
+s/^ \('"$ac_word_re"'\)[	 ]*\(.*\)/D["\1"]=" \2\\\\\\n"\\/p
+t cont
+d
+:cont
+n
+s/.\{148\}/&'"$ac_delim"'/g
+t clear
+:clear
+s/\\$//
+t bsnlc
+s/["\\]/\\&/g; s/^/"/; s/$/"/p
+d
+:bsnlc
+s/["\\]/\\&/g; s/^/"/; s/$/\\\\\\n"\\/p
+b cont
+' <confdefs.h | sed '
+s/'"$ac_delim"'/"\\\
+"/g' >>$CONFIG_STATUS || ac_write_fail=1
+
+cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
+  for (key in D) D_is_set[key] = 1
+  FS = ""
+}
+/^[\t ]*#[\t ]*(define|undef)[\t ]+$ac_word_re([\t (]|\$)/ {
+  line = \$ 0
+  split(line, arg, " ")
+  if (arg[1] == "#") {
+    defundef = arg[2]
+    mac1 = arg[3]
+  } else {
+    defundef = substr(arg[1], 2)
+    mac1 = arg[2]
+  }
+  split(mac1, mac2, "(") #)
+  macro = mac2[1]
+  prefix = substr(line, 1, index(line, defundef) - 1)
+  if (D_is_set[macro]) {
+    # Preserve the white space surrounding the "#".
+    print prefix "define", macro P[macro] D[macro]
+    next
+  } else {
+    # Replace #undef with comments.  This is necessary, for example,
+    # in the case of _POSIX_SOURCE, which is predefined and required
+    # on some systems where configure will not decide to define it.
+    if (defundef == "undef") {
+      print "/*", prefix defundef, macro, "*/"
+      next
+    }
+  }
+}
+{ print }
+_ACAWK
+_ACEOF
+cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
+  as_fn_error "could not setup config headers machinery" "$LINENO" 5
+fi # test -n "$CONFIG_HEADERS"
+
+
+eval set X "  :F $CONFIG_FILES  :H $CONFIG_HEADERS    :C $CONFIG_COMMANDS"
+shift
+for ac_tag
+do
+  case $ac_tag in
+  :[FHLC]) ac_mode=$ac_tag; continue;;
+  esac
+  case $ac_mode$ac_tag in
+  :[FHL]*:*);;
+  :L* | :C*:*) as_fn_error "invalid tag \`$ac_tag'" "$LINENO" 5;;
+  :[FH]-) ac_tag=-:-;;
+  :[FH]*) ac_tag=$ac_tag:$ac_tag.in;;
+  esac
+  ac_save_IFS=$IFS
+  IFS=:
+  set x $ac_tag
+  IFS=$ac_save_IFS
+  shift
+  ac_file=$1
+  shift
+
+  case $ac_mode in
+  :L) ac_source=$1;;
+  :[FH])
+    ac_file_inputs=
+    for ac_f
+    do
+      case $ac_f in
+      -) ac_f="$tmp/stdin";;
+      *) # Look for the file first in the build tree, then in the source tree
+	 # (if the path is not absolute).  The absolute path cannot be DOS-style,
+	 # because $ac_f cannot contain `:'.
+	 test -f "$ac_f" ||
+	   case $ac_f in
+	   [\\/$]*) false;;
+	   *) test -f "$srcdir/$ac_f" && ac_f="$srcdir/$ac_f";;
+	   esac ||
+	   as_fn_error "cannot find input file: \`$ac_f'" "$LINENO" 5;;
+      esac
+      case $ac_f in *\'*) ac_f=`$as_echo "$ac_f" | sed "s/'/'\\\\\\\\''/g"`;; esac
+      as_fn_append ac_file_inputs " '$ac_f'"
+    done
+
+    # Let's still pretend it is `configure' which instantiates (i.e., don't
+    # use $as_me), people would be surprised to read:
+    #    /* config.h.  Generated by config.status.  */
+    configure_input='Generated from '`
+	  $as_echo "$*" | sed 's|^[^:]*/||;s|:[^:]*/|, |g'
+	`' by configure.'
+    if test x"$ac_file" != x-; then
+      configure_input="$ac_file.  $configure_input"
+      { $as_echo "$as_me:${as_lineno-$LINENO}: creating $ac_file" >&5
+$as_echo "$as_me: creating $ac_file" >&6;}
+    fi
+    # Neutralize special characters interpreted by sed in replacement strings.
+    case $configure_input in #(
+    *\&* | *\|* | *\\* )
+       ac_sed_conf_input=`$as_echo "$configure_input" |
+       sed 's/[\\\\&|]/\\\\&/g'`;; #(
+    *) ac_sed_conf_input=$configure_input;;
+    esac
+
+    case $ac_tag in
+    *:-:* | *:-) cat >"$tmp/stdin" \
+      || as_fn_error "could not create $ac_file" "$LINENO" 5 ;;
+    esac
+    ;;
+  esac
+
+  ac_dir=`$as_dirname -- "$ac_file" ||
+$as_expr X"$ac_file" : 'X\(.*[^/]\)//*[^/][^/]*/*$' \| \
+	 X"$ac_file" : 'X\(//\)[^/]' \| \
+	 X"$ac_file" : 'X\(//\)$' \| \
+	 X"$ac_file" : 'X\(/\)' \| . 2>/dev/null ||
+$as_echo X"$ac_file" |
+    sed '/^X\(.*[^/]\)\/\/*[^/][^/]*\/*$/{
+	    s//\1/
+	    q
+	  }
+	  /^X\(\/\/\)[^/].*/{
+	    s//\1/
+	    q
+	  }
+	  /^X\(\/\/\)$/{
+	    s//\1/
+	    q
+	  }
+	  /^X\(\/\).*/{
+	    s//\1/
+	    q
+	  }
+	  s/.*/./; q'`
+  as_dir="$ac_dir"; as_fn_mkdir_p
+  ac_builddir=.
+
+case "$ac_dir" in
+.) ac_dir_suffix= ac_top_builddir_sub=. ac_top_build_prefix= ;;
+*)
+  ac_dir_suffix=/`$as_echo "$ac_dir" | sed 's|^\.[\\/]||'`
+  # A ".." for each directory in $ac_dir_suffix.
+  ac_top_builddir_sub=`$as_echo "$ac_dir_suffix" | sed 's|/[^\\/]*|/..|g;s|/||'`
+  case $ac_top_builddir_sub in
+  "") ac_top_builddir_sub=. ac_top_build_prefix= ;;
+  *)  ac_top_build_prefix=$ac_top_builddir_sub/ ;;
+  esac ;;
+esac
+ac_abs_top_builddir=$ac_pwd
+ac_abs_builddir=$ac_pwd$ac_dir_suffix
+# for backward compatibility:
+ac_top_builddir=$ac_top_build_prefix
+
+case $srcdir in
+  .)  # We are building in place.
+    ac_srcdir=.
+    ac_top_srcdir=$ac_top_builddir_sub
+    ac_abs_top_srcdir=$ac_pwd ;;
+  [\\/]* | ?:[\\/]* )  # Absolute name.
+    ac_srcdir=$srcdir$ac_dir_suffix;
+    ac_top_srcdir=$srcdir
+    ac_abs_top_srcdir=$srcdir ;;
+  *) # Relative name.
+    ac_srcdir=$ac_top_build_prefix$srcdir$ac_dir_suffix
+    ac_top_srcdir=$ac_top_build_prefix$srcdir
+    ac_abs_top_srcdir=$ac_pwd/$srcdir ;;
+esac
+ac_abs_srcdir=$ac_abs_top_srcdir$ac_dir_suffix
+
+
+  case $ac_mode in
+  :F)
+  #
+  # CONFIG_FILE
+  #
+
+  case $INSTALL in
+  [\\/$]* | ?:[\\/]* ) ac_INSTALL=$INSTALL ;;
+  *) ac_INSTALL=$ac_top_build_prefix$INSTALL ;;
+  esac
+  ac_MKDIR_P=$MKDIR_P
+  case $MKDIR_P in
+  [\\/$]* | ?:[\\/]* ) ;;
+  */*) ac_MKDIR_P=$ac_top_build_prefix$MKDIR_P ;;
+  esac
+_ACEOF
+
+cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
+# If the template does not know about datarootdir, expand it.
+# FIXME: This hack should be removed a few years after 2.60.
+ac_datarootdir_hack=; ac_datarootdir_seen=
+ac_sed_dataroot='
+/datarootdir/ {
+  p
+  q
+}
+/@datadir@/p
+/@docdir@/p
+/@infodir@/p
+/@localedir@/p
+/@mandir@/p'
+case `eval "sed -n \"\$ac_sed_dataroot\" $ac_file_inputs"` in
+*datarootdir*) ac_datarootdir_seen=yes;;
+*@datadir@*|*@docdir@*|*@infodir@*|*@localedir@*|*@mandir@*)
+  { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: $ac_file_inputs seems to ignore the --datarootdir setting" >&5
+$as_echo "$as_me: WARNING: $ac_file_inputs seems to ignore the --datarootdir setting" >&2;}
+_ACEOF
+cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
+  ac_datarootdir_hack='
+  s&@datadir@&$datadir&g
+  s&@docdir@&$docdir&g
+  s&@infodir@&$infodir&g
+  s&@localedir@&$localedir&g
+  s&@mandir@&$mandir&g
+  s&\\\${datarootdir}&$datarootdir&g' ;;
+esac
+_ACEOF
+
+# Neutralize VPATH when `$srcdir' = `.'.
+# Shell code in configure.ac might set extrasub.
+# FIXME: do we really want to maintain this feature?
+cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
+ac_sed_extra="$ac_vpsub
+$extrasub
+_ACEOF
+cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
+:t
+/@[a-zA-Z_][a-zA-Z_0-9]*@/!b
+s|@configure_input@|$ac_sed_conf_input|;t t
+s&@top_builddir@&$ac_top_builddir_sub&;t t
+s&@top_build_prefix@&$ac_top_build_prefix&;t t
+s&@srcdir@&$ac_srcdir&;t t
+s&@abs_srcdir@&$ac_abs_srcdir&;t t
+s&@top_srcdir@&$ac_top_srcdir&;t t
+s&@abs_top_srcdir@&$ac_abs_top_srcdir&;t t
+s&@builddir@&$ac_builddir&;t t
+s&@abs_builddir@&$ac_abs_builddir&;t t
+s&@abs_top_builddir@&$ac_abs_top_builddir&;t t
+s&@INSTALL@&$ac_INSTALL&;t t
+s&@MKDIR_P@&$ac_MKDIR_P&;t t
+$ac_datarootdir_hack
+"
+eval sed \"\$ac_sed_extra\" "$ac_file_inputs" | $AWK -f "$tmp/subs.awk" >$tmp/out \
+  || as_fn_error "could not create $ac_file" "$LINENO" 5
+
+test -z "$ac_datarootdir_hack$ac_datarootdir_seen" &&
+  { ac_out=`sed -n '/\${datarootdir}/p' "$tmp/out"`; test -n "$ac_out"; } &&
+  { ac_out=`sed -n '/^[	 ]*datarootdir[	 ]*:*=/p' "$tmp/out"`; test -z "$ac_out"; } &&
+  { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: $ac_file contains a reference to the variable \`datarootdir'
+which seems to be undefined.  Please make sure it is defined." >&5
+$as_echo "$as_me: WARNING: $ac_file contains a reference to the variable \`datarootdir'
+which seems to be undefined.  Please make sure it is defined." >&2;}
+
+  rm -f "$tmp/stdin"
+  case $ac_file in
+  -) cat "$tmp/out" && rm -f "$tmp/out";;
+  *) rm -f "$ac_file" && mv "$tmp/out" "$ac_file";;
+  esac \
+  || as_fn_error "could not create $ac_file" "$LINENO" 5
+ ;;
+  :H)
+  #
+  # CONFIG_HEADER
+  #
+  if test x"$ac_file" != x-; then
+    {
+      $as_echo "/* $configure_input  */" \
+      && eval '$AWK -f "$tmp/defines.awk"' "$ac_file_inputs"
+    } >"$tmp/config.h" \
+      || as_fn_error "could not create $ac_file" "$LINENO" 5
+    if diff "$ac_file" "$tmp/config.h" >/dev/null 2>&1; then
+      { $as_echo "$as_me:${as_lineno-$LINENO}: $ac_file is unchanged" >&5
+$as_echo "$as_me: $ac_file is unchanged" >&6;}
+    else
+      rm -f "$ac_file"
+      mv "$tmp/config.h" "$ac_file" \
+	|| as_fn_error "could not create $ac_file" "$LINENO" 5
+    fi
+  else
+    $as_echo "/* $configure_input  */" \
+      && eval '$AWK -f "$tmp/defines.awk"' "$ac_file_inputs" \
+      || as_fn_error "could not create -" "$LINENO" 5
+  fi
+# Compute "$ac_file"'s index in $config_headers.
+_am_arg="$ac_file"
+_am_stamp_count=1
+for _am_header in $config_headers :; do
+  case $_am_header in
+    $_am_arg | $_am_arg:* )
+      break ;;
+    * )
+      _am_stamp_count=`expr $_am_stamp_count + 1` ;;
+  esac
+done
+echo "timestamp for $_am_arg" >`$as_dirname -- "$_am_arg" ||
+$as_expr X"$_am_arg" : 'X\(.*[^/]\)//*[^/][^/]*/*$' \| \
+	 X"$_am_arg" : 'X\(//\)[^/]' \| \
+	 X"$_am_arg" : 'X\(//\)$' \| \
+	 X"$_am_arg" : 'X\(/\)' \| . 2>/dev/null ||
+$as_echo X"$_am_arg" |
+    sed '/^X\(.*[^/]\)\/\/*[^/][^/]*\/*$/{
+	    s//\1/
+	    q
+	  }
+	  /^X\(\/\/\)[^/].*/{
+	    s//\1/
+	    q
+	  }
+	  /^X\(\/\/\)$/{
+	    s//\1/
+	    q
+	  }
+	  /^X\(\/\).*/{
+	    s//\1/
+	    q
+	  }
+	  s/.*/./; q'`/stamp-h$_am_stamp_count
+ ;;
+
+  :C)  { $as_echo "$as_me:${as_lineno-$LINENO}: executing $ac_file commands" >&5
+$as_echo "$as_me: executing $ac_file commands" >&6;}
+ ;;
+  esac
+
+
+  case $ac_file$ac_mode in
+    "depfiles":C) test x"$AMDEP_TRUE" != x"" || {
+  # Autoconf 2.62 quotes --file arguments for eval, but not when files
+  # are listed without --file.  Let's play safe and only enable the eval
+  # if we detect the quoting.
+  case $CONFIG_FILES in
+  *\'*) eval set x "$CONFIG_FILES" ;;
+  *)   set x $CONFIG_FILES ;;
+  esac
+  shift
+  for mf
+  do
+    # Strip MF so we end up with the name of the file.
+    mf=`echo "$mf" | sed -e 's/:.*$//'`
+    # Check whether this is an Automake generated Makefile or not.
+    # We used to match only the files named `Makefile.in', but
+    # some people rename them; so instead we look at the file content.
+    # Grep'ing the first line is not enough: some people post-process
+    # each Makefile.in and add a new line on top of each file to say so.
+    # Grep'ing the whole file is not good either: AIX grep has a line
+    # limit of 2048, but all sed's we know have understand at least 4000.
+    if sed -n 's,^#.*generated by automake.*,X,p' "$mf" | grep X >/dev/null 2>&1; then
+      dirpart=`$as_dirname -- "$mf" ||
+$as_expr X"$mf" : 'X\(.*[^/]\)//*[^/][^/]*/*$' \| \
+	 X"$mf" : 'X\(//\)[^/]' \| \
+	 X"$mf" : 'X\(//\)$' \| \
+	 X"$mf" : 'X\(/\)' \| . 2>/dev/null ||
+$as_echo X"$mf" |
+    sed '/^X\(.*[^/]\)\/\/*[^/][^/]*\/*$/{
+	    s//\1/
+	    q
+	  }
+	  /^X\(\/\/\)[^/].*/{
+	    s//\1/
+	    q
+	  }
+	  /^X\(\/\/\)$/{
+	    s//\1/
+	    q
+	  }
+	  /^X\(\/\).*/{
+	    s//\1/
+	    q
+	  }
+	  s/.*/./; q'`
+    else
+      continue
+    fi
+    # Extract the definition of DEPDIR, am__include, and am__quote
+    # from the Makefile without running `make'.
+    DEPDIR=`sed -n 's/^DEPDIR = //p' < "$mf"`
+    test -z "$DEPDIR" && continue
+    am__include=`sed -n 's/^am__include = //p' < "$mf"`
+    test -z "am__include" && continue
+    am__quote=`sed -n 's/^am__quote = //p' < "$mf"`
+    # When using ansi2knr, U may be empty or an underscore; expand it
+    U=`sed -n 's/^U = //p' < "$mf"`
+    # Find all dependency output files, they are included files with
+    # $(DEPDIR) in their names.  We invoke sed twice because it is the
+    # simplest approach to changing $(DEPDIR) to its actual value in the
+    # expansion.
+    for file in `sed -n "
+      s/^$am__include $am__quote\(.*(DEPDIR).*\)$am__quote"'$/\1/p' <"$mf" | \
+	 sed -e 's/\$(DEPDIR)/'"$DEPDIR"'/g' -e 's/\$U/'"$U"'/g'`; do
+      # Make sure the directory exists.
+      test -f "$dirpart/$file" && continue
+      fdir=`$as_dirname -- "$file" ||
+$as_expr X"$file" : 'X\(.*[^/]\)//*[^/][^/]*/*$' \| \
+	 X"$file" : 'X\(//\)[^/]' \| \
+	 X"$file" : 'X\(//\)$' \| \
+	 X"$file" : 'X\(/\)' \| . 2>/dev/null ||
+$as_echo X"$file" |
+    sed '/^X\(.*[^/]\)\/\/*[^/][^/]*\/*$/{
+	    s//\1/
+	    q
+	  }
+	  /^X\(\/\/\)[^/].*/{
+	    s//\1/
+	    q
+	  }
+	  /^X\(\/\/\)$/{
+	    s//\1/
+	    q
+	  }
+	  /^X\(\/\).*/{
+	    s//\1/
+	    q
+	  }
+	  s/.*/./; q'`
+      as_dir=$dirpart/$fdir; as_fn_mkdir_p
+      # echo "creating $dirpart/$file"
+      echo '# dummy' > "$dirpart/$file"
+    done
+  done
+}
+ ;;
+    "libtool":C)
+
+    # See if we are running on zsh, and set the options which allow our
+    # commands through without removal of \ escapes.
+    if test -n "${ZSH_VERSION+set}" ; then
+      setopt NO_GLOB_SUBST
+    fi
+
+    cfgfile="${ofile}T"
+    trap "$RM \"$cfgfile\"; exit 1" 1 2 15
+    $RM "$cfgfile"
+
+    cat <<_LT_EOF >> "$cfgfile"
+#! $SHELL
+
+# `$ECHO "$ofile" | sed 's%^.*/%%'` - Provide generalized library-building support services.
+# Generated automatically by $as_me ($PACKAGE$TIMESTAMP) $VERSION
+# Libtool was configured on host `(hostname || uname -n) 2>/dev/null | sed 1q`:
+# NOTE: Changes made to this file will be lost: look at ltmain.sh.
+#
+#   Copyright (C) 1996, 1997, 1998, 1999, 2000, 2001, 2003, 2004, 2005,
+#                 2006, 2007, 2008 Free Software Foundation, Inc.
+#   Written by Gordon Matzigkeit, 1996
+#
+#   This file is part of GNU Libtool.
+#
+# GNU Libtool is free software; you can redistribute it and/or
+# modify it under the terms of the GNU General Public License as
+# published by the Free Software Foundation; either version 2 of
+# the License, or (at your option) any later version.
+#
+# As a special exception to the GNU General Public License,
+# if you distribute this file as part of a program or library that
+# is built using GNU Libtool, you may include this file under the
+# same distribution terms that you use for the rest of that program.
+#
+# GNU Libtool is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+#
+# You should have received a copy of the GNU General Public License
+# along with GNU Libtool; see the file COPYING.  If not, a copy
+# can be downloaded from http://www.gnu.org/licenses/gpl.html, or
+# obtained by writing to the Free Software Foundation, Inc.,
+# 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301, USA.
+
+
+# The names of the tagged configurations supported by this script.
+available_tags="CXX "
+
+# ### BEGIN LIBTOOL CONFIG
+
+# Assembler program.
+AS=$AS
+
+# DLL creation program.
+DLLTOOL=$DLLTOOL
+
+# Object dumper program.
+OBJDUMP=$OBJDUMP
+
+# Which release of libtool.m4 was used?
+macro_version=$macro_version
+macro_revision=$macro_revision
+
+# Whether or not to build shared libraries.
+build_libtool_libs=$enable_shared
+
+# Whether or not to build static libraries.
+build_old_libs=$enable_static
+
+# What type of objects to build.
+pic_mode=$pic_mode
+
+# Whether or not to optimize for fast installation.
+fast_install=$enable_fast_install
+
+# The host system.
+host_alias=$host_alias
+host=$host
+host_os=$host_os
+
+# The build system.
+build_alias=$build_alias
+build=$build
+build_os=$build_os
+
+# A sed program that does not truncate output.
+SED=$lt_SED
+
+# Sed that helps us avoid accidentally triggering echo(1) options like -n.
+Xsed="\$SED -e 1s/^X//"
+
+# A grep program that handles long lines.
+GREP=$lt_GREP
+
+# An ERE matcher.
+EGREP=$lt_EGREP
+
+# A literal string matcher.
+FGREP=$lt_FGREP
+
+# A BSD- or MS-compatible name lister.
+NM=$lt_NM
+
+# Whether we need soft or hard links.
+LN_S=$lt_LN_S
+
+# What is the maximum length of a command?
+max_cmd_len=$max_cmd_len
+
+# Object file suffix (normally "o").
+objext=$ac_objext
+
+# Executable file suffix (normally "").
+exeext=$exeext
+
+# whether the shell understands "unset".
+lt_unset=$lt_unset
+
+# turn spaces into newlines.
+SP2NL=$lt_lt_SP2NL
+
+# turn newlines into spaces.
+NL2SP=$lt_lt_NL2SP
+
+# How to create reloadable object files.
+reload_flag=$lt_reload_flag
+reload_cmds=$lt_reload_cmds
+
+# Method to check whether dependent libraries are shared objects.
+deplibs_check_method=$lt_deplibs_check_method
+
+# Command to use when deplibs_check_method == "file_magic".
+file_magic_cmd=$lt_file_magic_cmd
+
+# The archiver.
+AR=$lt_AR
+AR_FLAGS=$lt_AR_FLAGS
+
+# A symbol stripping program.
+STRIP=$lt_STRIP
+
+# Commands used to install an old-style archive.
+RANLIB=$lt_RANLIB
+old_postinstall_cmds=$lt_old_postinstall_cmds
+old_postuninstall_cmds=$lt_old_postuninstall_cmds
+
+# A C compiler.
+LTCC=$lt_CC
+
+# LTCC compiler flags.
+LTCFLAGS=$lt_CFLAGS
+
+# Take the output of nm and produce a listing of raw symbols and C names.
+global_symbol_pipe=$lt_lt_cv_sys_global_symbol_pipe
+
+# Transform the output of nm in a proper C declaration.
+global_symbol_to_cdecl=$lt_lt_cv_sys_global_symbol_to_cdecl
+
+# Transform the output of nm in a C name address pair.
+global_symbol_to_c_name_address=$lt_lt_cv_sys_global_symbol_to_c_name_address
+
+# Transform the output of nm in a C name address pair when lib prefix is needed.
+global_symbol_to_c_name_address_lib_prefix=$lt_lt_cv_sys_global_symbol_to_c_name_address_lib_prefix
+
+# The name of the directory that contains temporary libtool files.
+objdir=$objdir
+
+# Shell to use when invoking shell scripts.
+SHELL=$lt_SHELL
+
+# An echo program that does not interpret backslashes.
+ECHO=$lt_ECHO
+
+# Used to examine libraries when file_magic_cmd begins with "file".
+MAGIC_CMD=$MAGIC_CMD
+
+# Must we lock files when doing compilation?
+need_locks=$lt_need_locks
+
+# Tool to manipulate archived DWARF debug symbol files on Mac OS X.
+DSYMUTIL=$lt_DSYMUTIL
+
+# Tool to change global to local symbols on Mac OS X.
+NMEDIT=$lt_NMEDIT
+
+# Tool to manipulate fat objects and archives on Mac OS X.
+LIPO=$lt_LIPO
+
+# ldd/readelf like tool for Mach-O binaries on Mac OS X.
+OTOOL=$lt_OTOOL
+
+# ldd/readelf like tool for 64 bit Mach-O binaries on Mac OS X 10.4.
+OTOOL64=$lt_OTOOL64
+
+# Old archive suffix (normally "a").
+libext=$libext
+
+# Shared library suffix (normally ".so").
+shrext_cmds=$lt_shrext_cmds
+
+# The commands to extract the exported symbol list from a shared archive.
+extract_expsyms_cmds=$lt_extract_expsyms_cmds
+
+# Variables whose values should be saved in libtool wrapper scripts and
+# restored at link time.
+variables_saved_for_relink=$lt_variables_saved_for_relink
+
+# Do we need the "lib" prefix for modules?
+need_lib_prefix=$need_lib_prefix
+
+# Do we need a version for libraries?
+need_version=$need_version
+
+# Library versioning type.
+version_type=$version_type
+
+# Shared library runtime path variable.
+runpath_var=$runpath_var
+
+# Shared library path variable.
+shlibpath_var=$shlibpath_var
+
+# Is shlibpath searched before the hard-coded library search path?
+shlibpath_overrides_runpath=$shlibpath_overrides_runpath
+
+# Format of library name prefix.
+libname_spec=$lt_libname_spec
+
+# List of archive names.  First name is the real one, the rest are links.
+# The last name is the one that the linker finds with -lNAME
+library_names_spec=$lt_library_names_spec
+
+# The coded name of the library, if different from the real name.
+soname_spec=$lt_soname_spec
+
+# Command to use after installation of a shared archive.
+postinstall_cmds=$lt_postinstall_cmds
+
+# Command to use after uninstallation of a shared archive.
+postuninstall_cmds=$lt_postuninstall_cmds
+
+# Commands used to finish a libtool library installation in a directory.
+finish_cmds=$lt_finish_cmds
+
+# As "finish_cmds", except a single script fragment to be evaled but
+# not shown.
+finish_eval=$lt_finish_eval
+
+# Whether we should hardcode library paths into libraries.
+hardcode_into_libs=$hardcode_into_libs
+
+# Compile-time system search path for libraries.
+sys_lib_search_path_spec=$lt_sys_lib_search_path_spec
+
+# Run-time system search path for libraries.
+sys_lib_dlsearch_path_spec=$lt_sys_lib_dlsearch_path_spec
+
+# Whether dlopen is supported.
+dlopen_support=$enable_dlopen
+
+# Whether dlopen of programs is supported.
+dlopen_self=$enable_dlopen_self
+
+# Whether dlopen of statically linked programs is supported.
+dlopen_self_static=$enable_dlopen_self_static
+
+# Commands to strip libraries.
+old_striplib=$lt_old_striplib
+striplib=$lt_striplib
+
+
+# The linker used to build libraries.
+LD=$lt_LD
+
+# Commands used to build an old-style archive.
+old_archive_cmds=$lt_old_archive_cmds
+
+# A language specific compiler.
+CC=$lt_compiler
+
+# Is the compiler the GNU compiler?
+with_gcc=$GCC
+
+# Compiler flag to turn off builtin functions.
+no_builtin_flag=$lt_lt_prog_compiler_no_builtin_flag
+
+# How to pass a linker flag through the compiler.
+wl=$lt_lt_prog_compiler_wl
+
+# Additional compiler flags for building library objects.
+pic_flag=$lt_lt_prog_compiler_pic
+
+# Compiler flag to prevent dynamic linking.
+link_static_flag=$lt_lt_prog_compiler_static
+
+# Does compiler simultaneously support -c and -o options?
+compiler_c_o=$lt_lt_cv_prog_compiler_c_o
+
+# Whether or not to add -lc for building shared libraries.
+build_libtool_need_lc=$archive_cmds_need_lc
+
+# Whether or not to disallow shared libs when runtime libs are static.
+allow_libtool_libs_with_static_runtimes=$enable_shared_with_static_runtimes
+
+# Compiler flag to allow reflexive dlopens.
+export_dynamic_flag_spec=$lt_export_dynamic_flag_spec
+
+# Compiler flag to generate shared objects directly from archives.
+whole_archive_flag_spec=$lt_whole_archive_flag_spec
+
+# Whether the compiler copes with passing no objects directly.
+compiler_needs_object=$lt_compiler_needs_object
+
+# Create an old-style archive from a shared archive.
+old_archive_from_new_cmds=$lt_old_archive_from_new_cmds
+
+# Create a temporary old-style archive to link instead of a shared archive.
+old_archive_from_expsyms_cmds=$lt_old_archive_from_expsyms_cmds
+
+# Commands used to build a shared archive.
+archive_cmds=$lt_archive_cmds
+archive_expsym_cmds=$lt_archive_expsym_cmds
+
+# Commands used to build a loadable module if different from building
+# a shared archive.
+module_cmds=$lt_module_cmds
+module_expsym_cmds=$lt_module_expsym_cmds
+
+# Whether we are building with GNU ld or not.
+with_gnu_ld=$lt_with_gnu_ld
+
+# Flag that allows shared libraries with undefined symbols to be built.
+allow_undefined_flag=$lt_allow_undefined_flag
+
+# Flag that enforces no undefined symbols.
+no_undefined_flag=$lt_no_undefined_flag
+
+# Flag to hardcode \$libdir into a binary during linking.
+# This must work even if \$libdir does not exist
+hardcode_libdir_flag_spec=$lt_hardcode_libdir_flag_spec
+
+# If ld is used when linking, flag to hardcode \$libdir into a binary
+# during linking.  This must work even if \$libdir does not exist.
+hardcode_libdir_flag_spec_ld=$lt_hardcode_libdir_flag_spec_ld
+
+# Whether we need a single "-rpath" flag with a separated argument.
+hardcode_libdir_separator=$lt_hardcode_libdir_separator
+
+# Set to "yes" if using DIR/libNAME\${shared_ext} during linking hardcodes
+# DIR into the resulting binary.
+hardcode_direct=$hardcode_direct
+
+# Set to "yes" if using DIR/libNAME\${shared_ext} during linking hardcodes
+# DIR into the resulting binary and the resulting library dependency is
+# "absolute",i.e impossible to change by setting \${shlibpath_var} if the
+# library is relocated.
+hardcode_direct_absolute=$hardcode_direct_absolute
+
+# Set to "yes" if using the -LDIR flag during linking hardcodes DIR
+# into the resulting binary.
+hardcode_minus_L=$hardcode_minus_L
+
+# Set to "yes" if using SHLIBPATH_VAR=DIR during linking hardcodes DIR
+# into the resulting binary.
+hardcode_shlibpath_var=$hardcode_shlibpath_var
+
+# Set to "yes" if building a shared library automatically hardcodes DIR
+# into the library and all subsequent libraries and executables linked
+# against it.
+hardcode_automatic=$hardcode_automatic
+
+# Set to yes if linker adds runtime paths of dependent libraries
+# to runtime path list.
+inherit_rpath=$inherit_rpath
+
+# Whether libtool must link a program against all its dependency libraries.
+link_all_deplibs=$link_all_deplibs
+
+# Fix the shell variable \$srcfile for the compiler.
+fix_srcfile_path=$lt_fix_srcfile_path
+
+# Set to "yes" if exported symbols are required.
+always_export_symbols=$always_export_symbols
+
+# The commands to list exported symbols.
+export_symbols_cmds=$lt_export_symbols_cmds
+
+# Symbols that should not be listed in the preloaded symbols.
+exclude_expsyms=$lt_exclude_expsyms
+
+# Symbols that must always be exported.
+include_expsyms=$lt_include_expsyms
+
+# Commands necessary for linking programs (against libraries) with templates.
+prelink_cmds=$lt_prelink_cmds
+
+# Specify filename containing input files.
+file_list_spec=$lt_file_list_spec
+
+# How to hardcode a shared library path into an executable.
+hardcode_action=$hardcode_action
+
+# The directories searched by this compiler when creating a shared library.
+compiler_lib_search_dirs=$lt_compiler_lib_search_dirs
+
+# Dependencies to place before and after the objects being linked to
+# create a shared library.
+predep_objects=$lt_predep_objects
+postdep_objects=$lt_postdep_objects
+predeps=$lt_predeps
+postdeps=$lt_postdeps
+
+# The library search path used internally by the compiler when linking
+# a shared library.
+compiler_lib_search_path=$lt_compiler_lib_search_path
+
+# ### END LIBTOOL CONFIG
+
+_LT_EOF
+
+  case $host_os in
+  aix3*)
+    cat <<\_LT_EOF >> "$cfgfile"
+# AIX sometimes has problems with the GCC collect2 program.  For some
+# reason, if we set the COLLECT_NAMES environment variable, the problems
+# vanish in a puff of smoke.
+if test "X${COLLECT_NAMES+set}" != Xset; then
+  COLLECT_NAMES=
+  export COLLECT_NAMES
+fi
+_LT_EOF
+    ;;
+  esac
+
+
+ltmain="$ac_aux_dir/ltmain.sh"
+
+
+  # We use sed instead of cat because bash on DJGPP gets confused if
+  # if finds mixed CR/LF and LF-only lines.  Since sed operates in
+  # text mode, it properly converts lines to CR/LF.  This bash problem
+  # is reportedly fixed, but why not run on old versions too?
+  sed '/^# Generated shell functions inserted here/q' "$ltmain" >> "$cfgfile" \
+    || (rm -f "$cfgfile"; exit 1)
+
+  case $xsi_shell in
+  yes)
+    cat << \_LT_EOF >> "$cfgfile"
+
+# func_dirname file append nondir_replacement
+# Compute the dirname of FILE.  If nonempty, add APPEND to the result,
+# otherwise set result to NONDIR_REPLACEMENT.
+func_dirname ()
+{
+  case ${1} in
+    */*) func_dirname_result="${1%/*}${2}" ;;
+    *  ) func_dirname_result="${3}" ;;
+  esac
+}
+
+# func_basename file
+func_basename ()
+{
+  func_basename_result="${1##*/}"
+}
+
+# func_dirname_and_basename file append nondir_replacement
+# perform func_basename and func_dirname in a single function
+# call:
+#   dirname:  Compute the dirname of FILE.  If nonempty,
+#             add APPEND to the result, otherwise set result
+#             to NONDIR_REPLACEMENT.
+#             value returned in "$func_dirname_result"
+#   basename: Compute filename of FILE.
+#             value retuned in "$func_basename_result"
+# Implementation must be kept synchronized with func_dirname
+# and func_basename. For efficiency, we do not delegate to
+# those functions but instead duplicate the functionality here.
+func_dirname_and_basename ()
+{
+  case ${1} in
+    */*) func_dirname_result="${1%/*}${2}" ;;
+    *  ) func_dirname_result="${3}" ;;
+  esac
+  func_basename_result="${1##*/}"
+}
+
+# func_stripname prefix suffix name
+# strip PREFIX and SUFFIX off of NAME.
+# PREFIX and SUFFIX must not contain globbing or regex special
+# characters, hashes, percent signs, but SUFFIX may contain a leading
+# dot (in which case that matches only a dot).
+func_stripname ()
+{
+  # pdksh 5.2.14 does not do ${X%$Y} correctly if both X and Y are
+  # positional parameters, so assign one to ordinary parameter first.
+  func_stripname_result=${3}
+  func_stripname_result=${func_stripname_result#"${1}"}
+  func_stripname_result=${func_stripname_result%"${2}"}
+}
+
+# func_opt_split
+func_opt_split ()
+{
+  func_opt_split_opt=${1%%=*}
+  func_opt_split_arg=${1#*=}
+}
+
+# func_lo2o object
+func_lo2o ()
+{
+  case ${1} in
+    *.lo) func_lo2o_result=${1%.lo}.${objext} ;;
+    *)    func_lo2o_result=${1} ;;
+  esac
+}
+
+# func_xform libobj-or-source
+func_xform ()
+{
+  func_xform_result=${1%.*}.lo
+}
+
+# func_arith arithmetic-term...
+func_arith ()
+{
+  func_arith_result=$(( $* ))
+}
+
+# func_len string
+# STRING may not start with a hyphen.
+func_len ()
+{
+  func_len_result=${#1}
+}
+
+_LT_EOF
+    ;;
+  *) # Bourne compatible functions.
+    cat << \_LT_EOF >> "$cfgfile"
+
+# func_dirname file append nondir_replacement
+# Compute the dirname of FILE.  If nonempty, add APPEND to the result,
+# otherwise set result to NONDIR_REPLACEMENT.
+func_dirname ()
+{
+  # Extract subdirectory from the argument.
+  func_dirname_result=`$ECHO "X${1}" | $Xsed -e "$dirname"`
+  if test "X$func_dirname_result" = "X${1}"; then
+    func_dirname_result="${3}"
+  else
+    func_dirname_result="$func_dirname_result${2}"
+  fi
+}
+
+# func_basename file
+func_basename ()
+{
+  func_basename_result=`$ECHO "X${1}" | $Xsed -e "$basename"`
+}
+
+
+# func_stripname prefix suffix name
+# strip PREFIX and SUFFIX off of NAME.
+# PREFIX and SUFFIX must not contain globbing or regex special
+# characters, hashes, percent signs, but SUFFIX may contain a leading
+# dot (in which case that matches only a dot).
+# func_strip_suffix prefix name
+func_stripname ()
+{
+  case ${2} in
+    .*) func_stripname_result=`$ECHO "X${3}" \
+           | $Xsed -e "s%^${1}%%" -e "s%\\\\${2}\$%%"`;;
+    *)  func_stripname_result=`$ECHO "X${3}" \
+           | $Xsed -e "s%^${1}%%" -e "s%${2}\$%%"`;;
+  esac
+}
+
+# sed scripts:
+my_sed_long_opt='1s/^\(-[^=]*\)=.*/\1/;q'
+my_sed_long_arg='1s/^-[^=]*=//'
+
+# func_opt_split
+func_opt_split ()
+{
+  func_opt_split_opt=`$ECHO "X${1}" | $Xsed -e "$my_sed_long_opt"`
+  func_opt_split_arg=`$ECHO "X${1}" | $Xsed -e "$my_sed_long_arg"`
+}
+
+# func_lo2o object
+func_lo2o ()
+{
+  func_lo2o_result=`$ECHO "X${1}" | $Xsed -e "$lo2o"`
+}
+
+# func_xform libobj-or-source
+func_xform ()
+{
+  func_xform_result=`$ECHO "X${1}" | $Xsed -e 's/\.[^.]*$/.lo/'`
+}
+
+# func_arith arithmetic-term...
+func_arith ()
+{
+  func_arith_result=`expr "$@"`
+}
+
+# func_len string
+# STRING may not start with a hyphen.
+func_len ()
+{
+  func_len_result=`expr "$1" : ".*" 2>/dev/null || echo $max_cmd_len`
+}
+
+_LT_EOF
+esac
+
+case $lt_shell_append in
+  yes)
+    cat << \_LT_EOF >> "$cfgfile"
+
+# func_append var value
+# Append VALUE to the end of shell variable VAR.
+func_append ()
+{
+  eval "$1+=\$2"
+}
+_LT_EOF
+    ;;
+  *)
+    cat << \_LT_EOF >> "$cfgfile"
+
+# func_append var value
+# Append VALUE to the end of shell variable VAR.
+func_append ()
+{
+  eval "$1=\$$1\$2"
+}
+
+_LT_EOF
+    ;;
+  esac
+
+
+  sed -n '/^# Generated shell functions inserted here/,$p' "$ltmain" >> "$cfgfile" \
+    || (rm -f "$cfgfile"; exit 1)
+
+  mv -f "$cfgfile" "$ofile" ||
+    (rm -f "$ofile" && cp "$cfgfile" "$ofile" && rm -f "$cfgfile")
+  chmod +x "$ofile"
+
+
+    cat <<_LT_EOF >> "$ofile"
+
+# ### BEGIN LIBTOOL TAG CONFIG: CXX
+
+# The linker used to build libraries.
+LD=$lt_LD_CXX
+
+# Commands used to build an old-style archive.
+old_archive_cmds=$lt_old_archive_cmds_CXX
+
+# A language specific compiler.
+CC=$lt_compiler_CXX
+
+# Is the compiler the GNU compiler?
+with_gcc=$GCC_CXX
+
+# Compiler flag to turn off builtin functions.
+no_builtin_flag=$lt_lt_prog_compiler_no_builtin_flag_CXX
+
+# How to pass a linker flag through the compiler.
+wl=$lt_lt_prog_compiler_wl_CXX
+
+# Additional compiler flags for building library objects.
+pic_flag=$lt_lt_prog_compiler_pic_CXX
+
+# Compiler flag to prevent dynamic linking.
+link_static_flag=$lt_lt_prog_compiler_static_CXX
+
+# Does compiler simultaneously support -c and -o options?
+compiler_c_o=$lt_lt_cv_prog_compiler_c_o_CXX
+
+# Whether or not to add -lc for building shared libraries.
+build_libtool_need_lc=$archive_cmds_need_lc_CXX
+
+# Whether or not to disallow shared libs when runtime libs are static.
+allow_libtool_libs_with_static_runtimes=$enable_shared_with_static_runtimes_CXX
+
+# Compiler flag to allow reflexive dlopens.
+export_dynamic_flag_spec=$lt_export_dynamic_flag_spec_CXX
+
+# Compiler flag to generate shared objects directly from archives.
+whole_archive_flag_spec=$lt_whole_archive_flag_spec_CXX
+
+# Whether the compiler copes with passing no objects directly.
+compiler_needs_object=$lt_compiler_needs_object_CXX
+
+# Create an old-style archive from a shared archive.
+old_archive_from_new_cmds=$lt_old_archive_from_new_cmds_CXX
+
+# Create a temporary old-style archive to link instead of a shared archive.
+old_archive_from_expsyms_cmds=$lt_old_archive_from_expsyms_cmds_CXX
+
+# Commands used to build a shared archive.
+archive_cmds=$lt_archive_cmds_CXX
+archive_expsym_cmds=$lt_archive_expsym_cmds_CXX
+
+# Commands used to build a loadable module if different from building
+# a shared archive.
+module_cmds=$lt_module_cmds_CXX
+module_expsym_cmds=$lt_module_expsym_cmds_CXX
+
+# Whether we are building with GNU ld or not.
+with_gnu_ld=$lt_with_gnu_ld_CXX
+
+# Flag that allows shared libraries with undefined symbols to be built.
+allow_undefined_flag=$lt_allow_undefined_flag_CXX
+
+# Flag that enforces no undefined symbols.
+no_undefined_flag=$lt_no_undefined_flag_CXX
+
+# Flag to hardcode \$libdir into a binary during linking.
+# This must work even if \$libdir does not exist
+hardcode_libdir_flag_spec=$lt_hardcode_libdir_flag_spec_CXX
+
+# If ld is used when linking, flag to hardcode \$libdir into a binary
+# during linking.  This must work even if \$libdir does not exist.
+hardcode_libdir_flag_spec_ld=$lt_hardcode_libdir_flag_spec_ld_CXX
+
+# Whether we need a single "-rpath" flag with a separated argument.
+hardcode_libdir_separator=$lt_hardcode_libdir_separator_CXX
+
+# Set to "yes" if using DIR/libNAME\${shared_ext} during linking hardcodes
+# DIR into the resulting binary.
+hardcode_direct=$hardcode_direct_CXX
+
+# Set to "yes" if using DIR/libNAME\${shared_ext} during linking hardcodes
+# DIR into the resulting binary and the resulting library dependency is
+# "absolute",i.e impossible to change by setting \${shlibpath_var} if the
+# library is relocated.
+hardcode_direct_absolute=$hardcode_direct_absolute_CXX
+
+# Set to "yes" if using the -LDIR flag during linking hardcodes DIR
+# into the resulting binary.
+hardcode_minus_L=$hardcode_minus_L_CXX
+
+# Set to "yes" if using SHLIBPATH_VAR=DIR during linking hardcodes DIR
+# into the resulting binary.
+hardcode_shlibpath_var=$hardcode_shlibpath_var_CXX
+
+# Set to "yes" if building a shared library automatically hardcodes DIR
+# into the library and all subsequent libraries and executables linked
+# against it.
+hardcode_automatic=$hardcode_automatic_CXX
+
+# Set to yes if linker adds runtime paths of dependent libraries
+# to runtime path list.
+inherit_rpath=$inherit_rpath_CXX
+
+# Whether libtool must link a program against all its dependency libraries.
+link_all_deplibs=$link_all_deplibs_CXX
+
+# Fix the shell variable \$srcfile for the compiler.
+fix_srcfile_path=$lt_fix_srcfile_path_CXX
+
+# Set to "yes" if exported symbols are required.
+always_export_symbols=$always_export_symbols_CXX
+
+# The commands to list exported symbols.
+export_symbols_cmds=$lt_export_symbols_cmds_CXX
+
+# Symbols that should not be listed in the preloaded symbols.
+exclude_expsyms=$lt_exclude_expsyms_CXX
+
+# Symbols that must always be exported.
+include_expsyms=$lt_include_expsyms_CXX
+
+# Commands necessary for linking programs (against libraries) with templates.
+prelink_cmds=$lt_prelink_cmds_CXX
+
+# Specify filename containing input files.
+file_list_spec=$lt_file_list_spec_CXX
+
+# How to hardcode a shared library path into an executable.
+hardcode_action=$hardcode_action_CXX
+
+# The directories searched by this compiler when creating a shared library.
+compiler_lib_search_dirs=$lt_compiler_lib_search_dirs_CXX
+
+# Dependencies to place before and after the objects being linked to
+# create a shared library.
+predep_objects=$lt_predep_objects_CXX
+postdep_objects=$lt_postdep_objects_CXX
+predeps=$lt_predeps_CXX
+postdeps=$lt_postdeps_CXX
+
+# The library search path used internally by the compiler when linking
+# a shared library.
+compiler_lib_search_path=$lt_compiler_lib_search_path_CXX
+
+# ### END LIBTOOL TAG CONFIG: CXX
+_LT_EOF
+
+ ;;
+
+  esac
+done # for ac_tag
+
+
+as_fn_exit 0
+_ACEOF
+ac_clean_files=$ac_clean_files_save
+
+test $ac_write_fail = 0 ||
+  as_fn_error "write failure creating $CONFIG_STATUS" "$LINENO" 5
+
+
+# configure is writing to config.log, and then calls config.status.
+# config.status does its own redirection, appending to config.log.
+# Unfortunately, on DOS this fails, as config.log is still kept open
+# by configure, so config.status won't be able to write to it; its
+# output is simply discarded.  So we exec the FD to /dev/null,
+# effectively closing config.log, so it can be properly (re)opened and
+# appended to by config.status.  When coming back to configure, we
+# need to make the FD available again.
+if test "$no_create" != yes; then
+  ac_cs_success=:
+  ac_config_status_args=
+  test "$silent" = yes &&
+    ac_config_status_args="$ac_config_status_args --quiet"
+  exec 5>/dev/null
+  $SHELL $CONFIG_STATUS $ac_config_status_args || ac_cs_success=false
+  exec 5>>config.log
+  # Use ||, not &&, to avoid exiting from the if with $? = 1, which
+  # would make configure fail if this is the last instruction.
+  $ac_cs_success || as_fn_exit $?
+fi
+if test -n "$ac_unrecognized_opts" && test "$enable_option_checking" != no; then
+  { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: unrecognized options: $ac_unrecognized_opts" >&5
+$as_echo "$as_me: WARNING: unrecognized options: $ac_unrecognized_opts" >&2;}
+fi
+
diff --git a/configure.ac b/configure.ac
new file mode 100644
index 0000000..5ce3611
--- /dev/null
+++ b/configure.ac
@@ -0,0 +1,602 @@
+##
+## RCS Info
+## $Id: configure.ac 1139 2008-10-22 16:04:04Z r29173 $
+## 
+## Log
+## $Log$
+## Revision 1.49  2006/09/06 17:29:50  r29173
+## introduced new elements admsttext/admstpath
+## improved admsttext parsing
+##
+## Revision 1.48  2006/08/10 11:31:47  r29173
+## did lot of simplifications
+##
+## Revision 1.47  2006/04/05 08:38:50  r29173
+## removed some obsolete attributes (element variable)
+##
+## Revision 1.46  2006/03/28 14:01:05  r29173
+## release 2.2.3
+##
+## Revision 1.45  2006/03/24 16:49:04  r29173
+## updated to adms-2.2.2
+##
+## Revision 1.44  2006/03/21 18:34:54  r29173
+## updated to adms-2.2.1
+##
+## Revision 1.43  2006/03/02 10:12:21  r29173
+## release 2.2.0
+## adms.xml has new adms element 'nilled'.
+##
+## Revision 1.42  2006/02/02 16:32:41  r29173
+## updated to release 2.1.3
+##
+## Revision 1.41  2005/10/07 06:48:12  r29173
+## increased release number 2.1.2
+##
+## Revision 1.40  2005/09/30 12:52:14  r29173
+## release 2.1.1
+##
+## Revision 1.39  2005/09/14 16:02:00  r29173
+## force use of glib-2.6.6
+##
+## Revision 1.38  2005/06/17 16:44:26  r29173
+## debug memory allocation with valgrind
+##
+## Revision 1.37  2005/05/09 14:38:31  r29173
+## cleaned-up source directory structure
+##
+## Revision 1.36  2005/05/03 07:40:43  r29173
+## moved admsNS into admsFile
+##
+## Revision 1.35  2005/04/29 16:02:32  r29173
+## improved memory usage
+##
+## Revision 1.34  2005/04/01 14:16:25  r29173
+## migration to adms-2.x.x: updated version to 2.0.0
+##
+## Revision 1.33  2005/03/31 18:12:01  r29173
+## migration to adms-2.x.x: converted to adms2 syntax
+##
+## Revision 1.32  2005/03/17 13:36:39  r29173
+## release 1.48.1
+##
+## Revision 1.31  2005/02/17 11:03:59  r29173
+## release 1.48.0
+## Changes ordering of block variable declarations and dvar assignment (probe order)
+##
+## Revision 1.30  2005/02/15 15:19:30  r29173
+## migration to adms-2.x.x: use admst:text for all analog//items
+##
+## Revision 1.29  2005/02/14 08:44:38  r29173
+## release 1.47.0
+## migration to adms-2.x.x: converted contribution/assignment
+##
+## Revision 1.28  2005/01/26 15:33:58  r29173
+## release 1.46.0 NOT BACKWARD COMPATIBLE
+## changed coding of pow, min, max, hypot (MTJ,rbody failed)
+##
+## Revision 1.27  2004/12/14 12:12:21  r29173
+## release 1.45.0
+## (new attribute variable/isstate)
+##
+## Revision 1.26  2004/12/07 09:45:52  r29173
+## release-1.44.0
+## added $get_prev (marat)
+## changed return value of select of xml transforms for regexp handling (laurent)
+## All simulators passed
+##
+## Revision 1.25  2004/12/02 15:16:03  r29173
+## tons of changes - moved code for C to xml
+## /*empty*/ is replaced  by "" -> spectre/zspice failed
+##
+## Revision 1.24  2004/11/29 12:59:12  r29173
+## adms release 1.42.0
+## changed order in declaration of block variables
+## moved some code from xmlParserNew to xml
+## added template:callfunction
+##
+## Revision 1.23  2004/11/26 15:29:01  r29173
+## release 1.41.1
+## reverse-order the function/arguments list in .va file
+##
+## Revision 1.22  2004/11/19 14:43:13  r29173
+## release 1.41.0
+## all simulators passed except zspice (improvements in xml scripts)
+##
+## Revision 1.21  2004/11/12 14:18:28  r29173
+## release  1.40.0.0
+## added warning if CR, TAB or LF are used in adms:text transforms
+## all interfaces pass except spectre
+## spectre has improved loadGmin, loadPwl (marat)
+##
+## Revision 1.20  2004/11/10 13:51:46  r29173
+## release 1.39.0
+## tons of changes - moved code to xmlParser.c to admsImplicitTranform.xml
+## ads/mica/zspice/simkit passed except sgp.c (minor bug in previous version)
+## spectre failed (new xml interface - file spectreMODULE.c.xml)
+##
+## Revision 1.19  2004/10/27 16:11:38  r29173
+## release 1.37.0
+## tons of changes - modify the way settors and gettors are built
+## from adms.xml in order to simplify admsProgram.c
+## added zspice to testbench
+## spectre/ads/mica/zspice/simkit passed
+##
+## Revision 1.18  2004/10/19 10:24:46  r29173
+## release 1.35.0
+## renamed 'adms rules' to adms:transform (adms namespace)
+## mica/ads/simkit passed
+## spectre failed: removed loadDynamic
+##
+## Revision 1.17  2004/10/18 19:34:20  r29173
+## release 1.34.0
+## mica/ads/simkit passed
+## spectre fails:
+## 1- improvement in handling CMI_ROOT variable (marat)
+## 2- adms:template handling of "callfunctions"
+##
+## Revision 1.16  2004/10/18 13:35:40  r29173
+## changed prefix of adms 'rules' from xsl: to adms:
+## renamed 'adms rules' to 'adms transforms'
+## renamed adms transforms adms:defined and adms:undefined to adms:if
+## introduced SiMKiT to testbench
+## improved handling of property 'separator' in adms:join
+## spectre/mica/ads passed
+##
+## Revision 1.14  2004/10/13 15:26:56  r29173
+## release 1.31.0
+##
+## Revision 1.13  2004/10/13 15:24:14  r29173
+## preprocess xml files passed to admsXml with adms_file_getpathname
+##
+## Revision 1.12  2004/09/30 16:43:50  r29173
+## release 1.30.0
+## ads passed
+## mica/spectre failed
+## CHANGES:
+## removed usage of xml rule 'reverse'
+##
+## Revision 1.11  2004/09/29 14:49:42  r29173
+## release 1.28.0
+## mica/ads passed
+## spectre failed
+## CHANGES:
+## spectreMODULE.c.xml: removed usage of xml rule 'new'
+## simParamDesc arrays are printed in different order (MOSCAP3, VBICSELFT, R3, SP)
+## ONLY FOR CMI > 5.0.3 (compilation fails for spectre446!)
+##
+## Revision 1.10  2004/09/29 14:00:52  r29173
+## release 1.28.0
+## mica/ads passed
+## spectre failed
+## CHANGES:
+## imported changes done to handle $abstime (laurent)
+## ONLY FOR CMI > 5.0.3 (compilation fails for spectre446!)
+##
+## Revision 1.9  2004/09/29 13:41:15  r29173
+## release 1.27.0
+## spectre fails
+## ads/mica pass
+## CHANGES;
+## in xml spectre using marat xml scripts
+##
+## Revision 1.8  2004/09/23 16:43:02  r29173
+## release 1.26.0
+## spectre, mica fail
+## ads passes
+## CHANGES;
+## 1- nvv and bvv become NP and BP with different args.
+## 2- all [mi][pv]va are no more used - can be removed from xml scripts
+## 3- dVAR_var_... have been renamed - no change in xml scripts
+## 4- there is less STATIC/DYNAMIC (has been grouped) - no change in xml scripts
+## 5- all declaration_... are no more used - can be removed from xml scripts
+## 6- all save_... are no more used - can be removed from xml scripts
+##     (replaced by load_...)
+##
+## Revision 1.7  2004/09/23 11:39:10  r29173
+## release 1.25.0
+## spectre, mica passed
+## ads failed
+## Generated c code (.include file) is the same as SiMKiT
+## except for variable partitionning
+##
+## Revision 1.6  2004/09/23 09:16:34  r29173
+## release 1.24.0
+## updated
+##
+## Revision 1.5  2004/09/10 09:26:05  r29173
+## release 1.24.0
+## Testbenches:
+## removed 'new' rule in spectreMODULE.c.xml and micaMODULEcapTable.c.xml
+## tests fail for all modules (device nodes printed in vla declaration order)
+##
+## Revision 1.4  2004/09/07 07:45:09  r29173
+## release 1.22.0
+## spectre/mica passed
+## ads fails (I changed the xml scripts)
+##
+## Revision 1.3  2004/08/21 13:22:08  r29173
+## release 1_21_2
+## Testbench:
+## dcmotor fails (bug fixed in building expression/variable)
+##
+## Revision 1.2  2004/08/16 10:57:58  r29173
+## released 1.21.1
+## benchmark:
+## ads2002 fails (fixed noise implementation)
+## dcmotor fails (fixed usage of $error)
+##
+## Revision 1.1  2004/08/03 12:33:54  r29173
+## import adms-1.21.0 from local CVS
+##
+## Revision 1.10  2004/08/02 12:26:24  r29173
+## fixed usage of xpath "/device/..."
+## release 1.21.0
+## Modules MOSCAP3, SP, VS failed for spectre/spectreMODULEsetTopology.c.xml
+## Only the order of some lines is affected.
+##
+## Revision 1.9  2004/07/23 21:28:22  r29173
+## removed rule evaluation->_nolocal
+##
+## Revision 1.8  2004/07/22 15:29:12  r29173
+## release 1.20.0
+## most of the modules failed
+## OK using 1.20.0_TO_1.18.0
+## (replaced [0-9][eE][[-+]?0-9] by [0-9]e[+-][0-9])
+##
+## Revision 1.7  2004/07/21 12:11:06  r29173
+## removed evaluation->_evalue - replaced by math->_evalue
+## release 1.19.0
+## SPevaluate.include fails: 0 is now treated as iszero like 0.0
+##
+## Revision 1.6  2004/07/16 13:25:34  r29173
+## replaced _C by g_strconcat
+##
+## Revision 1.5  2004/07/15 20:28:36  r29173
+## increment to release 1.18.0
+##
+## Revision 1.4  2004/07/07 21:56:15  r29173
+## release 1.17.0
+## rbody fails due to a bug in the vla code of rbody
+##
+## Revision 1.3  2004/06/30 16:40:58  r29173
+## renamed all admsObject files to object<Filename>
+##
+## Revision 1.2  2004/05/26 13:57:13  r29173
+## replaced variable->sourcescope by variable->staticscope/variable->dynamicscope
+##
+## Revision 1.1.1.1  2004/05/21 12:20:01  r29173
+## recreated cvs data structure (crashed after revision 1.13.0!)
+##
+## Revision 1.41  2004/05/13 09:40:36  r29173
+## release 1.13.0
+## started removing usage of _cmp outside admsObject
+## MOSCAP3evaluate.include failed: two declarations are inverted
+##
+## Revision 1.40  2004/05/07 16:36:29  r29173
+## release 1.12.0
+## all models/simulators passed except mos1 and r3
+## difference: expressions in paramer checking code do not have the () any more.
+##
+## Revision 1.39  2004/05/04 09:06:19  r29173
+## release 1.11.2
+## all modules passed
+## except ADSinterface.h and SPECTREinterface.h
+## (removed alll mica specific macros)
+##
+## Revision 1.38  2004/05/04 08:07:10  r29173
+## added code for @final
+## removed libltdl
+##
+## Revision 1.37  2004/04/19 21:03:23  r29173
+## removed subdir admsTree
+##
+## Revision 1.36  2004/04/14 12:48:09  r29173
+## removed code that created dtd files
+## cleaned-up veriloga parser coding
+##
+## Revision 1.35  2004/04/14 08:43:44  r29173
+## release 1.11.0
+## code differs for R3 only
+## bug in handling max/min functions fixed
+##
+## Revision 1.34  2004/04/13 15:09:47  r29173
+## some code clean-up
+##
+## Revision 1.33  2004/04/01 15:06:40  r29173
+## added #ifdef NEWCALC to maintain old computation of VAL, DVAL
+##
+## Revision 1.32  2004/03/31 13:43:28  r29173
+## release 1.10.0
+## code differs (comments /*...*/) for rules device->load_code,
+## device->loadmodel_code, device->loadinstance_code
+## Changes SP-0.0.32 vla code:
+## $message, $warning and $error replaced by $strobe
+## SPinstloadInit.c differs
+##
+## Revision 1.31  2004/03/30 09:18:10  r29173
+## changed all *.pc.in files to *.pc!
+##
+## Revision 1.30  2004/03/30 09:17:20  r29173
+## put back all *.pc.in files
+##
+## Revision 1.29  2004/03/30 09:06:35  r29173
+## added adms_message_info
+## added statistics
+## started noise implementation
+##
+## Revision 1.28  2004/03/27 17:22:12  r29173
+## release 1.9.0
+## all models passed except MOSCAP3 and rbody
+## both modules have bad variable usage
+## (both set in @model/@evaluate or @instance/@evaluate)
+## modified source code of MOSCAP3 and rbody
+##
+## Revision 1.27  2004/03/27 17:20:51  r29173
+## fixed bad variable usage: variables both used in
+## @model/@evaluate
+## @instance/@evaluate
+##
+## Revision 1.26  2004/03/24 10:31:25  r29173
+## renamed n_procedural_assignment to n_assignment
+##
+## Revision 1.25  2004/03/22 08:46:03  r29173
+## release 1.7.5
+## changed xml files (spectre/ads)
+## files MODULEdefs.h and <SIMULATOR>interface.h differ
+## changed spectre446 to spectre500
+## SP fails because of bad use of ddt operator in source code
+##
+## Revision 1.24  2004/03/19 09:57:08  r29173
+## version 1.3.4
+##
+## Revision 1.23  2004/03/11 16:49:24  r29173
+## removed admsCheck/data
+##
+## Revision 1.22  2004/03/08 08:24:19  r29173
+## started implementing code builder in yacc parser
+##
+## Revision 1.21  2004/02/25 11:34:23  r29173
+## start implementing support to new vla syntax
+##
+## Revision 1.20  2004/02/20 13:45:31  r29173
+## start implementing support to new vla syntax
+##
+## Revision 1.19  2004/02/19 17:03:35  r29173
+## release 1.6.0
+## new xsl scripts!
+## mica:
+##   MODULE.c.xsl differs (bug in ADMS_MODE_TEST)
+##   MICAinterface.h differs (added hypot, max, min)
+## spectre: ok
+## ads: ok
+## all: MOS1evaluate.include fails (unknown reason)
+##
+## Revision 1.18  2004/02/18 23:30:47  r29173
+## started implementing code builder in yacc parser
+##
+## Revision 1.17  2004/02/18 00:43:01  r29173
+## started implementing code builder in yacc parser
+##
+## Revision 1.16  2004/02/15 13:11:58  r29173
+## release 1.6.0
+## new xsl scripts!
+## mica: MODULE.c.xsl differs (fix bug in handling ADMS_MODE_TEST
+## spectre: ok
+## ads: ok
+## all: MOS1evaluate.include fails (unknown reason)
+##
+## Revision 1.15  2004/02/14 22:46:48  r29173
+## started implementing code builder in yacc parser
+##
+## Revision 1.14  2004/02/13 14:28:38  r29173
+## started implementing code builder in yacc parser
+##
+## Revision 1.13  2004/02/11 14:23:58  r29173
+## release 1.5.1
+##
+## Revision 1.12  2004/02/05 21:37:03  r29173
+## started implementing code builder in yacc parser
+##
+## Revision 1.11  2004/02/05 14:16:07  r29173
+## release 1.4.1
+## SP and VS fail (spectreMODULEsetTopology.c.xsl)
+## branches created by probes were not properly saved in device list
+##
+## Revision 1.10  2004/02/04 20:34:23  r29173
+## started implementing code builder in yacc parser
+##
+## Revision 1.9  2004/02/03 12:51:22  r29173
+## release 1.4.1
+## R3 fails (optimization of if...then... when if.test is dynamic)
+## Use new xsl scripts for spectre/ads and mica!
+##
+## Revision 1.8  2004/01/29 15:49:09  r29173
+## renamed accessors of xmlnodes
+##
+## Revision 1.7  2004/01/29 13:25:22  r29173
+## increment to 1.3.1
+## changed the way analog-code is partionned
+## MOS1 & VS fail the test
+##
+## Revision 1.6  2004/01/21 14:35:46  r29173
+## started implementing code builder in yacc parser
+##
+## Revision 1.5  2004/01/16 10:24:18  r29173
+## moved code to admsXml
+##
+## Revision 1.4  2004/01/08 09:51:27  r29173
+## release 1.2.4
+##
+## Revision 1.3  2004/01/06 14:13:54  r29173
+## release 1.2.3
+##
+## Revision 1.2  2004/01/05 11:34:32  r29173
+## removed unused macros
+##
+## Revision 1.1  2003/12/18 09:23:19  r29173
+## renamed as configure.ac
+##
+## Revision 1.12  2003/11/28 16:28:17  r29173
+## release 1.2.2
+##
+## Revision 1.11  2003/11/08 17:46:10  r29173
+## re-organized handling of xparsers
+##
+## Revision 1.10  2003/11/06 12:56:26  r29173
+## moved to 1_2_0
+## SP code created by 1_2_0 differs from 1_1_x (bug fixed)
+##
+## Revision 1.9  2003/11/03 20:51:40  r29173
+## updated version to 1.1.16
+##
+## Revision 1.8  2003/10/22 15:10:12  r29173
+## removed admsSimulator/data
+##
+## Revision 1.7  2003/10/06 09:55:54  r29173
+## new release 1.1.15
+##
+## Revision 1.6  2003/09/01 08:35:32  r29173
+## subdir `data' removed
+##
+## Revision 1.5  2003/08/27 08:23:39  r29173
+## set release number to 1.1.14
+##
+## Revision 1.4  2003/08/27 08:22:45  r29173
+## removed simulator specific code (mica, spectre, ads)
+##
+## Revision 1.3  2003/07/17 13:47:35  r29173
+## simplified procedure to create admsObject
+## release 1.1.13
+##
+## Revision 1.2  2003/06/20 08:26:12  r29173
+## added RCS info into files
+##
+## Revision 1.3  2003/06/19 12:52:15  r29173
+## fixed dependency problem
+##
+## Revision 1.2  2003/05/21 14:20:55  r29173
+## add rcs info
+##
+##
+
+#                                               -*- Autoconf -*-
+# Process this file with autoconf to produce a configure script.
+
+AC_PREREQ(2.61)
+AC_INIT([adms],[2.3.1],[crobar at users.sourceforge.net])
+AC_CONFIG_HEADER([config.h])
+
+# specific configuration.
+AC_CONFIG_AUX_DIR([auxconf])
+AM_MAINTAINER_MODE
+
+dnl disabling cache loading -->> make easier cross-platform debug
+dnl ref: info autoconf, look for AC_CACHE_LOAD
+define([AC_CACHE_LOAD], )dnl
+define([AC_CACHE_SAVE], )dnl
+
+# Checks for programs.
+AC_PROG_CXX
+AC_PROG_AWK
+AC_PROG_YACC
+AC_PROG_CC
+AC_PROG_CPP
+AC_PROG_LEX
+AC_PROG_INSTALL
+AC_PROG_LN_S
+AC_PROG_MAKE_SET
+AC_PROG_RANLIB
+
+# Automake Initialisation.
+AM_INIT_AUTOMAKE(AC_PACKAGE_TARNAME, AC_PACKAGE_VERSION, -)
+
+#force use of flex/bison
+if test "x$LEX" != "xflex"; then
+  AC_MSG_WARN(Program flex not found (found $LEX))
+  AC_MSG_ERROR(Please install gnu flex from http://www.gnu.org/software/flex/)
+fi
+if test "x$YACC" != "xbison -y"; then
+  AC_MSG_WARN(Program bison not found (found $YACC))
+  AC_MSG_ERROR(Please install gnu bison from http://www.gnu.org/software/bison/)
+fi
+
+#advice use of gcc
+if test "x$GCC" = "xyes"; then
+  case "$CFLAGS" in
+  *-Wall*)
+    # already present
+    ;;
+  *)
+    CFLAGS="$CFLAGS -Wall"
+  esac
+else
+  AC_MSG_WARN(Seems that the selected C-compiler is not gnu gcc C-compiler)
+  AC_MSG_WARN(We advice you to use gcc as C-compiler)
+  AC_MSG_WARN(You can install it from http://www.gnu.org/software/gcc/)
+fi
+
+# Checks for libraries.
+# FIXME: Replace `main' with a function in `-lm':
+AC_CHECK_LIB([m], [pow])
+
+# Checks for header files.
+AC_FUNC_ALLOCA
+AC_HEADER_STDC
+AC_CHECK_HEADERS([float.h inttypes.h libintl.h locale.h malloc.h stddef.h stdlib.h string.h unistd.h])
+
+# Checks for typedefs, structures, and compiler characteristics.
+AC_C_CONST
+AC_C_INLINE
+AC_TYPE_INT16_T
+AC_TYPE_INT32_T
+AC_TYPE_INT8_T
+AC_TYPE_SIZE_T
+AC_STRUCT_TM
+AC_TYPE_UINT16_T
+AC_TYPE_UINT32_T
+AC_TYPE_UINT8_T
+
+# Checks for library functions.
+AC_FUNC_MALLOC
+AC_FUNC_REALLOC
+AC_FUNC_STAT
+AC_FUNC_STRFTIME
+AC_FUNC_STRTOD
+AC_CHECK_FUNCS([floor memset putenv setenv strdup strstr strtol])
+
+# Libtool initialisation.
+AC_LIBTOOL_WIN32_DLL
+AC_PROG_LIBTOOL
+AC_SUBST([LIBTOOL_DEPS])
+
+dnl perl and libXML
+AC_PATH_PROG(PERL, perl, :)
+if test $USE_MAINTAINER_MODE = yes; then
+  if test "$PERL" = ":"; then
+    AC_MSG_ERROR([The $PACKAGE package requires an installed perl.])
+  else
+    AC_MSG_CHECKING(for XML::LibXML Perl module)
+    have_xml="`$PERL -MXML::LibXML -e 'exit 0;' >/dev/null 2>&1`"
+    if test $? != "0"; then
+      AC_MSG_RESULT(failed)
+      AC_MSG_ERROR([Perl package XML::LibXML may be downloaded from http://search.cpan.org/dist/libXML])
+    else
+      AC_MSG_RESULT(ok)
+    fi
+    AC_MSG_CHECKING(for GD Perl module)
+    have_gd="`$PERL -MGD -e 'exit 0;' >/dev/null 2>&1`"
+    if test $? != "0"; then
+      AC_MSG_RESULT(failed)
+      AC_MSG_ERROR([Perl package GD:: may be downloaded from http://search.cpan.org/dist/GD])
+    else
+      AC_MSG_RESULT(ok)
+    fi
+  fi
+fi
+
+AC_CONFIG_FILES([Makefile
+                 admsXml/Makefile
+                 images/Makefile
+                 scripts/Makefile])
+AC_OUTPUT
diff --git a/images/Makefile.am b/images/Makefile.am
new file mode 100644
index 0000000..380a4a7
--- /dev/null
+++ b/images/Makefile.am
@@ -0,0 +1,104 @@
+##
+## RCS Info
+## $Id: Makefile.am 1111 2008-10-14 14:19:34Z r29173 $
+## 
+## Log
+## $Log$
+## Revision 1.1  2006/09/06 17:31:26  r29173
+## added images in tarball distribution
+##
+## Revision 1.15  2006/08/04 17:15:31  r29173
+## rearranged code partionning into files
+##
+## Revision 1.14  2006/08/02 16:45:53  r29173
+## rearranged code partionning into files
+##
+## Revision 1.13  2005/11/01 14:09:09  r29173
+## removed unused argument - fixed bug in Makefile.am
+##
+## Revision 1.12  2005/06/09 16:54:50  r29173
+## deleted xmlParserNew.c -- SLOWSLOW
+##
+## Revision 1.11  2005/05/09 14:38:32  r29173
+## cleaned-up source directory structure
+##
+## Revision 1.10  2005/05/03 07:58:21  r29173
+## moved admsNS into admsFile
+##
+## Revision 1.9  2005/03/31 18:12:02  r29173
+## migration to adms-2.x.x: converted to adms2 syntax
+##
+## Revision 1.8  2005/03/23 13:38:34  r29173
+## migration to adms-2.x.x: added xpath parser
+##
+## Revision 1.7  2004/11/10 13:49:30  r29173
+## added -lm (math library)
+##
+## Revision 1.6  2004/11/02 10:18:02  r29173
+## fixed makefile dependy issues - now 'make distcheck' passes
+##
+## Revision 1.5  2004/10/22 17:16:08  marat_yakupov
+## Fixed issue to allow compilation and prevent core dumps
+##
+## Revision 1.4  2004/10/20 15:33:51  r29173
+## tons of changes
+## 1- introduced element whileloop (marat)
+## 2- reshaped the handling of the messaging system
+## 3- fixed bug in admsCheck/Makefile.am
+## 4- started implementation of implicit adms transforms
+##
+## Revision 1.3  2004/08/03 12:33:55  r29173
+## import adms-1.21.0 from local CVS
+##
+## Revision 1.3  2004/07/06 19:17:57  r29173
+## started implementing yacc code for element "nature"
+## resumed transition GLib -> adms.xml
+##
+## Revision 1.2  2004/05/26 13:02:47  r29173
+## added default values to all enumerations
+##
+## Revision 1.1.1.1  2004/05/21 12:20:01  r29173
+## recreated cvs data structure (crashed after revision 1.13.0!)
+##
+## Revision 1.11  2004/04/21 14:25:34  r29173
+## simplified xparser->_current_stack
+##
+## Revision 1.10  2004/03/23 13:05:51  r29173
+## fixed name spelling (lowercase to uppercase)
+##
+## Revision 1.9  2004/03/08 08:24:20  r29173
+## started implementing code builder in yacc parser
+##
+## Revision 1.8  2004/01/16 10:26:25  r29173
+## moved code to admsXml
+##
+## Revision 1.7  2004/01/15 14:58:46  r29173
+## removed -Wall flag
+##
+## Revision 1.6  2004/01/13 11:25:14  r29173
+## changed coding style
+##
+## Revision 1.5  2004/01/05 11:35:51  r29173
+## updated for cygwin
+##
+## Revision 1.4  2003/09/01 08:34:46  r29173
+## subdir `data' removed
+##
+## Revision 1.3  2003/06/19 13:55:23  r29173
+## fixed dependency (admsTree missing)
+##
+## Revision 1.2  2003/05/21 14:20:56  r29173
+## add rcs info
+##
+##
+
+
+generated_FILES=adms-scalar.png adms-element.png adms-enumeration.png adms-uid.png adms-alias.png
+EXTRA_DIST=mkimages.pl $(generated_FILES)
+
+if MAINTAINER_MODE
+$(generated_FILES): ${srcdir}/mkimages.pl
+	$(PERL) ${srcdir}/mkimages.pl
+endif
+
+CLEANFILES=core .*.swp *\~
diff --git a/images/Makefile.in b/images/Makefile.in
new file mode 100644
index 0000000..da6eea7
--- /dev/null
+++ b/images/Makefile.in
@@ -0,0 +1,371 @@
+# Makefile.in generated by automake 1.11.1 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008, 2009  Free Software Foundation,
+# Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+ at SET_MAKE@
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkglibexecdir = $(libexecdir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+subdir = images
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+	$(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+CONFIG_CLEAN_VPATH_FILES =
+SOURCES =
+DIST_SOURCES =
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+CC = @CC@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CYGPATH_W = @CYGPATH_W@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+FGREP = @FGREP@
+GREP = @GREP@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LEX = @LEX@
+LEXLIB = @LEXLIB@
+LEX_OUTPUT_ROOT = @LEX_OUTPUT_ROOT@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIBTOOL_DEPS = @LIBTOOL_DEPS@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAINT = @MAINT@
+MAKEINFO = @MAKEINFO@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_URL = @PACKAGE_URL@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PERL = @PERL@
+POW_LIB = @POW_LIB@
+RANLIB = @RANLIB@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+STRIP = @STRIP@
+VERSION = @VERSION@
+YACC = @YACC@
+YFLAGS = @YFLAGS@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+dvidir = @dvidir@
+exec_prefix = @exec_prefix@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+pdfdir = @pdfdir@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+srcdir = @srcdir@
+sysconfdir = @sysconfdir@
+target_alias = @target_alias@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+generated_FILES = adms-scalar.png adms-element.png adms-enumeration.png adms-uid.png adms-alias.png
+EXTRA_DIST = mkimages.pl $(generated_FILES)
+CLEANFILES = core .*.swp *\~
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in: @MAINTAINER_MODE_TRUE@ $(srcdir)/Makefile.am  $(am__configure_deps)
+	@for dep in $?; do \
+	  case '$(am__configure_deps)' in \
+	    *$$dep*) \
+	      ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+	        && { if test -f $@; then exit 0; else break; fi; }; \
+	      exit 1;; \
+	  esac; \
+	done; \
+	echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu images/Makefile'; \
+	$(am__cd) $(top_srcdir) && \
+	  $(AUTOMAKE) --gnu images/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+	@case '$?' in \
+	  *config.status*) \
+	    cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+	  *) \
+	    echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+	    cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+	esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+	cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure: @MAINTAINER_MODE_TRUE@ $(am__configure_deps)
+	cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4): @MAINTAINER_MODE_TRUE@ $(am__aclocal_m4_deps)
+	cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(am__aclocal_m4_deps):
+
+mostlyclean-libtool:
+	-rm -f *.lo
+
+clean-libtool:
+	-rm -rf .libs _libs
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+	@srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+	topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+	list='$(DISTFILES)'; \
+	  dist_files=`for file in $$list; do echo $$file; done | \
+	  sed -e "s|^$$srcdirstrip/||;t" \
+	      -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+	case $$dist_files in \
+	  */*) $(MKDIR_P) `echo "$$dist_files" | \
+			   sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+			   sort -u` ;; \
+	esac; \
+	for file in $$dist_files; do \
+	  if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+	  if test -d $$d/$$file; then \
+	    dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+	    if test -d "$(distdir)/$$file"; then \
+	      find "$(distdir)/$$file" -type d ! -perm -700 -exec chmod u+rwx {} \;; \
+	    fi; \
+	    if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+	      cp -fpR $(srcdir)/$$file "$(distdir)$$dir" || exit 1; \
+	      find "$(distdir)/$$file" -type d ! -perm -700 -exec chmod u+rwx {} \;; \
+	    fi; \
+	    cp -fpR $$d/$$file "$(distdir)$$dir" || exit 1; \
+	  else \
+	    test -f "$(distdir)/$$file" \
+	    || cp -p $$d/$$file "$(distdir)/$$file" \
+	    || exit 1; \
+	  fi; \
+	done
+check-am: all-am
+check: check-am
+all-am: Makefile
+installdirs:
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+	@$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+	$(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+	  install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+	  `test -z '$(STRIP)' || \
+	    echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+
+clean-generic:
+	-test -z "$(CLEANFILES)" || rm -f $(CLEANFILES)
+
+distclean-generic:
+	-test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+	-test . = "$(srcdir)" || test -z "$(CONFIG_CLEAN_VPATH_FILES)" || rm -f $(CONFIG_CLEAN_VPATH_FILES)
+
+maintainer-clean-generic:
+	@echo "This command is intended for maintainers to use"
+	@echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+	-rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+html-am:
+
+info: info-am
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-am
+
+install-dvi-am:
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-html-am:
+
+install-info: install-info-am
+
+install-info-am:
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-pdf-am:
+
+install-ps: install-ps-am
+
+install-ps-am:
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+	-rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+	distclean distclean-generic distclean-libtool distdir dvi \
+	dvi-am html html-am info info-am install install-am \
+	install-data install-data-am install-dvi install-dvi-am \
+	install-exec install-exec-am install-html install-html-am \
+	install-info install-info-am install-man install-pdf \
+	install-pdf-am install-ps install-ps-am install-strip \
+	installcheck installcheck-am installdirs maintainer-clean \
+	maintainer-clean-generic mostlyclean mostlyclean-generic \
+	mostlyclean-libtool pdf pdf-am ps ps-am uninstall uninstall-am
+
+
+ at MAINTAINER_MODE_TRUE@$(generated_FILES): ${srcdir}/mkimages.pl
+ at MAINTAINER_MODE_TRUE@	$(PERL) ${srcdir}/mkimages.pl
+
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/images/adms-alias.png b/images/adms-alias.png
new file mode 100644
index 0000000..42e8ddc
Binary files /dev/null and b/images/adms-alias.png differ
diff --git a/images/adms-element.png b/images/adms-element.png
new file mode 100644
index 0000000..61960f6
Binary files /dev/null and b/images/adms-element.png differ
diff --git a/images/adms-enumeration.png b/images/adms-enumeration.png
new file mode 100644
index 0000000..54c947f
Binary files /dev/null and b/images/adms-enumeration.png differ
diff --git a/images/adms-scalar.png b/images/adms-scalar.png
new file mode 100644
index 0000000..2c9258c
Binary files /dev/null and b/images/adms-scalar.png differ
diff --git a/images/adms-uid.png b/images/adms-uid.png
new file mode 100644
index 0000000..5f112df
Binary files /dev/null and b/images/adms-uid.png differ
diff --git a/images/mkimages.pl b/images/mkimages.pl
new file mode 100644
index 0000000..c3605ce
--- /dev/null
+++ b/images/mkimages.pl
@@ -0,0 +1,67 @@
+#!/usr/local/bin/perl -w
+
+## RCS Info
+## $Id: mkimages.pl 891 2008-03-07 16:18:12Z r29173 $
+## 
+## Log
+## $Log$
+## Revision 1.3  2006/09/04 08:51:05  r29173
+## tons of simplifications
+##
+## Revision 1.2  2005/05/27 16:30:38  r29173
+## moving code from xmlParserNew.c to xml - added subexpression
+##
+## Revision 1.1  2005/05/25 09:11:54  r29173
+## add png image builder
+##
+
+use GD;
+my$size=10;
+my$hframe=1.2*$size; #x-axis
+my$vframe=$size;
+sub build_png
+{
+  my%arg; while(@_) {my($k,$v)=(shift,shift);$arg{$k}=$v;}
+  my$png=$arg{"PNG"};
+  my$command=$arg{"COMMAND"};
+  my$im=new GD::Image($hframe,$vframe);
+  my$whitesmoke=$im->colorAllocate(245, 245, 245);
+  my$black=$im->colorAllocate(0, 0, 0),
+  my$red=$im->colorAllocate(255, 0, 0);
+  my$blue=$im->colorAllocate(0,0,255);
+  my$yellow=$im->colorAllocate(255,250,205);
+  $im->transparent($whitesmoke);
+  $im->interlaced(1);
+  eval($command);
+  open PNG,">$png.png";
+  binmode PNG;
+  print PNG $im->png;
+  close PNG;
+  print "image created ... $png.png\n";
+}
+&build_png(
+  PNG=>'adms-enumeration',
+  COMMAND=>'$im->line($size/2,0,$size/2,$size,$red);
+            $im->line(0,$size/2,$size/2,$size/2,$red);'
+);
+&build_png(
+  PNG=>'adms-list',
+  COMMAND=>'$im->ellipse($size/2,$size/2,0.8*$size,0.8*$size,$blue);'
+);
+&build_png(
+  PNG=>'adms-alias',
+  COMMAND=>'$im->filledEllipse($size/2,$size/2,0.8*$size,0.8*$size,$blue);'
+);
+&build_png(
+  PNG=>'adms-scalar',
+  COMMAND=>'$im->ellipse($size/2,$size/2,0.8*$size,0.8*$size,$red);'
+);
+&build_png(
+  PNG=>'adms-uid',
+  COMMAND=>'$im->filledEllipse($size/2,$size/2,0.8*$size,0.8*$size,$red);'
+);
+&build_png(
+  PNG=>'adms-element',
+  COMMAND=>'$im->ellipse($size/2,$size/2,0.8*$size,0.8*$size,$red);
+            $im->rectangle(0.1*$size,0.1*$size,0.9*$size,0.9*$size,$blue);'
+);
diff --git a/make.cyg b/make.cyg
new file mode 100644
index 0000000..598ab91
--- /dev/null
+++ b/make.cyg
@@ -0,0 +1,74 @@
+#Compile adms using gcc at command line (cygwin environment)
+#Usage: make -f make.cyg
+
+VERSION=$(shell grep VERSION config.h | sed 's/.*"\(.*\)".*/\1/')
+BASENAME=cygadmsXml
+EXE=$(BASENAME).exe
+DLL=$(BASENAME)-$(VERSION).dll
+LIB=$(BASENAME)-$(VERSION).lib
+EXP=$(BASENAME)-$(VERSION).exp
+PDB=$(BASENAME)-$(VERSION).pdb
+
+DISTEXE=$$HOME/local/bin/admsXml.exe
+DISTDLL=$$HOME/local/bin/$(DLL)
+
+all: $(EXE)
+	@rm -f $(DISTDLL) $(DISTEXE) && \
+	cp -f $(EXE) $(DISTEXE) && \
+	cp -f $(DLL) $(DISTDLL) && \
+	echo "$(EXE) and $(DISTEXE) created" && \
+	echo "$(DLL) and $(DISTDLL) created"
+
+DEFS=-DHAVE_CONFIG_H  -DinsideElement -DinsidePreprocessor -DinsideVeriloga
+CPPFLAGS=-g -Wall
+LDFLAGS=$(PKG_LIBS)
+CCC=gcc -mno-cygwin -o
+CCDLL=gcc -mno-cygwin --shared -o
+CCEXE=gcc.exe -mno-cygwin -o
+OBJ=o
+CFLAGS=$(DEFS) $(CPPFLAGS) -I.
+LD=$(LDFLAGS)
+
+adms=admsXml/adms.c
+src= $(adms) \
+  admsXml/preprocessorLex.c admsXml/preprocessorMain.c admsXml/preprocessorYacc.c \
+  admsXml/verilogaYacc.c admsXml/verilogaLex.c \
+  admsXml/admstpathYacc.c
+obj=$(subst .c,.$(OBJ),$(src))
+
+$(DLL): $(obj); $(CCDLL) $@ $+ $(CFLAGS) $(LD)
+$(EXE): admsXml/admsXml.c admsXml/admstpath.c admsXml/admsImplicitTransforms.xml.c admsXml/admstpath.c $(DLL); $(CCEXE) $@ $< $(CFLAGS) $(DLL) $(LD)
+
+$(obj): %.$(OBJ) : %.c; $(CCC) $@ -c $< $(CFLAGS)
+
+%:%.c
+%:%.o
+admsXml/disciplines.vams.c: admsXml/disciplines.vams admsXml/mkctext.pl
+	(cd admsXml && perl ../admsXml/mkctext.pl disciplines.vams admsXml)
+admsXml/constants.vams.c: admsXml/constants.vams admsXml/mkctext.pl
+	(cd admsXml && perl ../admsXml/mkctext.pl constants.vams admsXml)
+admsXml/admstpath.c admsXml/admstpath.dtd $(adms): adms.xml admstpath.xml admsXml/mkelements.pl
+	(cd admsXml && perl mkelements.pl ..)
+admsXml/admsImplicitTransforms.xml.c: admsXml/admsImplicitTransforms.xml 
+	(cd admsXml && perl ../admsXml/mkctext.pl admsImplicitTransforms.xml admsXml)
+admsXml/verilogaYacc.y: admsXml/verilogaYacc.y.in admsXml/mkgrammar.pl adms.xml
+	perl admsXml/mkgrammar.pl $<
+	mv verilogaYacc.y $@
+admsXml/verilogaLex.c: admsXml/verilogaLex.l adms.xml
+	flex  -Pveriloga -olex.yy.c $<
+	mv lex.yy.c $@
+admsXml/verilogaYacc.c: admsXml/verilogaYacc.y
+	bison -y -by -d -pveriloga $<
+	mv y.tab.c $@ && mv y.tab.h admsXml/verilogaYacc.h
+admsXml/preprocessorLex.c: admsXml/preprocessorLex.l admsXml/disciplines.vams.c admsXml/constants.vams.c adms.xml
+	flex -Ppreprocessor -olex.yy.c $<
+	mv lex.yy.c $@
+admsXml/preprocessorYacc.c: admsXml/preprocessorYacc.y adms.xml
+	bison -y -by -d -ppreprocessor $<
+	mv y.tab.c $@ && mv y.tab.h admsXml/preprocessorYacc.h
+admsXml/admstpathYacc.c: admsXml/admstpathYacc.y
+	bison -y -by -padmstpath $<
+	mv y.tab.c $@
+
+clean:
+	rm -f admsXml.$(OBJ) $(PDB) *.exp $(LIB) $(DLL) $(EXE) admsXml/*.lib
diff --git a/make.win b/make.win
new file mode 100644
index 0000000..d70f8e3
--- /dev/null
+++ b/make.win
@@ -0,0 +1,76 @@
+#Compile adms using MS Visual C at command line
+#Usage: make -f make.win
+
+VERSION=$(shell grep VERSION config.h | sed 's/.*"\(.*\)".*/\1/')
+BASENAME=winadmsXml
+EXE=$(BASENAME).exe
+DLL=$(BASENAME)-$(VERSION).dll
+LIB=$(BASENAME)-$(VERSION).lib
+EXP=$(BASENAME)-$(VERSION).exp
+PDB=$(BASENAME)-$(VERSION).pdb
+
+DISTEXE=/usr/local/bin/admsXml.exe
+DISTDLL=/usr/local/bin/$(DLL)
+
+all: $(EXE)
+	@rm -f $(DISTDLL) $(DISTEXE) && \
+	cp -f $(EXE) $(DISTEXE) && \
+	cp -f $(DLL) $(DISTDLL) && \
+	echo "$(EXE) and $(DISTEXE) created" && \
+	echo "$(DLL) and $(DISTDLL) created"
+
+DEFS=-DPACKAGE_VERSION="\"msvc-unknown\"" -DHAVE_CONFIG_H  -DinsideElement -DinsidePreprocessor -DinsideVeriloga
+CPPFLAGS=-nologo -Ze -Ge -W3 -O1 -Zm200
+#bug with -Zi
+LDFLAGS=-link -release -machine:x86 -pdb:none -fixed:no -incremental:no -subsystem:console -debugtype:both -debug:notmapped,full
+CCC=cl.exe
+CCDLL=cl.exe -LD
+CCEXE=cl.exe
+OBJ=obj
+CFLAGS=$(DEFS) $(CPPFLAGS) -I.
+LD=$(LDFLAGS) -libpath:d:/Program_Files/Microsoft_Visual_Studio/VC98/Lib
+
+adms=admsXml/adms.c
+src= $(adms) \
+  admsXml/preprocessorLex.c admsXml/preprocessorMain.c admsXml/preprocessorYacc.c \
+  admsXml/verilogaYacc.c admsXml/verilogaLex.c \
+  admsXml/admstpathYacc.c
+obj=$(subst .c,.$(OBJ),$(src))
+
+$(EXP) $(LIB) $(DLL): $(obj); $(CCDLL) -Fe$(DLL) $+ $(CFLAGS) -Fd$(PDB) $(LD)
+admsXml.$(OBJ) $(PDB) $(EXE): admsXml/admsXml.c admsXml/admstpath.c admsXml/admsImplicitTransforms.xml.c admsXml/admstpath.c $(DLL); $(CCEXE) -Fe$(EXE) $< $(CFLAGS) $(LIB) -Fd$(PDB) $(LD)
+
+$(obj): %.$(OBJ) : %.c; $(CCC) -Fo$@ -c $< $(CFLAGS)
+
+%:%.c
+%:%.o
+admsXml/disciplines.vams.c: admsXml/disciplines.vams admsXml/mkctext.pl
+	(cd admsXml && perl ../admsXml/mkctext.pl disciplines.vams admsXml)
+admsXml/constants.vams.c: admsXml/constants.vams admsXml/mkctext.pl
+	(cd admsXml && perl ../admsXml/mkctext.pl constants.vams admsXml)
+admsXml/admstpath.c admsXml/admstpath.dtd $(adms): adms.xml admstpath.xml admsXml/mkelements.pl
+	(cd admsXml && perl mkelements.pl ..)
+admsXml/admsImplicitTransforms.xml.c: admsXml/admsImplicitTransforms.xml 
+	(cd admsXml && perl ../admsXml/mkctext.pl admsImplicitTransforms.xml admsXml)
+admsXml/verilogaYacc.y: admsXml/verilogaYacc.y.in admsXml/mkgrammar.pl adms.xml
+	perl admsXml/mkgrammar.pl $<
+	mv verilogaYacc.y $@
+admsXml/verilogaLex.c: admsXml/verilogaLex.l adms.xml
+	flex -Pveriloga -olex.yy.c $<
+	mv lex.yy.c $@
+admsXml/verilogaYacc.c: admsXml/verilogaYacc.y
+	bison -y -by -d -pveriloga $<
+	mv y.tab.c $@ && mv y.tab.h admsXml/verilogaYacc.h
+admsXml/preprocessorLex.c: admsXml/preprocessorLex.l admsXml/disciplines.vams.c admsXml/constants.vams.c adms.xml
+	flex -Ppreprocessor -olex.yy.c $<
+	mv lex.yy.c $@
+admsXml/preprocessorYacc.c: admsXml/preprocessorYacc.y adms.xml
+	bison -y -by -d -ppreprocessor $<
+	mv y.tab.c $@ && mv y.tab.h admsXml/preprocessorYacc.h
+admsXml/admstpathYacc.c: admsXml/admstpathYacc.y
+	bison -y -by -padmstpath $<
+	mv y.tab.c $@
+
+clean:
+	rm -f admsXml.$(OBJ) $(PDB) *.exp $(LIB) $(DLL) $(EXE) admsXml/*.lib
+
diff --git a/metaadms.dtd b/metaadms.dtd
new file mode 100644
index 0000000..b4d4b92
--- /dev/null
+++ b/metaadms.dtd
@@ -0,0 +1,77 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!--
+     This dtd describes the basic data structures used by adms classes
+     It is used to check the validy of file http://mot-adms/sourceforge.net/xml-files/adms.xml
+     You can try using xmllint: xmllint adms.xml -noout -postvalid -noblanks
+--> 
+<!--
+  $Id: metaadms.dtd 997 2008-05-06 16:36:28Z r29173 $
+-->
+<!--
+  $Log$
+  Revision 1.10  2006/09/04 08:51:04  r29173
+  tons of simplifications
+
+  Revision 1.9  2005/11/25 14:15:25  r29173
+  changed admstpath parser mechanisms
+
+  Revision 1.8  2005/11/22 09:29:47  r29173
+  added element comment
+
+  Revision 1.7  2005/05/25 08:27:49  r29173
+  moving code from  xmlParserNew.c to xml
+
+  Revision 1.6  2005/03/22 11:30:18  r29173
+  migration to adms-2.x.x: clean-up xml constructs
+
+  Revision 1.5  2005/03/17 08:26:28  r29173
+  migration to adms-2.x.x: move all href from absolute to relative values
+
+  Revision 1.4  2005/02/25 12:49:35  r29173
+  migration to adms-2.x.x: renamed admst transforms
+
+--> 
+<!ELEMENT adms (element)+ >
+<!ELEMENT element (uid,alias*,(scalar|warning)*,list*,(comment|element)*) >
+<!ELEMENT uid (scalar)* >
+<!ELEMENT scalar (evalue*) >
+<!ELEMENT list EMPTY >
+<!ELEMENT reference EMPTY >
+<!ELEMENT alias EMPTY >
+<!ELEMENT evalue EMPTY >
+<!ELEMENT comment (#PCDATA) >
+<!ELEMENT warning (#PCDATA) >
+<!ATTLIST uid
+  format CDATA #REQUIRED
+>
+<!ATTLIST element
+  name CDATA #REQUIRED
+  info CDATA #REQUIRED
+>
+<!ATTLIST scalar
+  name CDATA #REQUIRED
+  datatypefamily (basicpointer|basictype|reference|adms) "reference"
+  datatypename CDATA #REQUIRED
+  default CDATA #IMPLIED
+  required  (yes|no) "yes"
+  info CDATA #REQUIRED
+  format CDATA #IMPLIED
+>
+<!ATTLIST list
+  name CDATA #REQUIRED
+  datatypefamily (basicpointer|basictype|reference|adms) "reference"
+  datatypename CDATA #REQUIRED
+  default CDATA #IMPLIED
+  required  (yes|no) "yes"
+  info CDATA #REQUIRED
+  format CDATA #IMPLIED
+>
+<!ATTLIST alias
+  name CDATA #REQUIRED
+  element CDATA #REQUIRED
+  attribute CDATA #REQUIRED
+>
+<!ATTLIST evalue
+  name CDATA #REQUIRED
+  info CDATA #REQUIRED
+>
diff --git a/metaadmst.dtd b/metaadmst.dtd
new file mode 100644
index 0000000..48208f2
--- /dev/null
+++ b/metaadmst.dtd
@@ -0,0 +1,44 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!--
+     This dtd describes the basic data structures used by admst classes
+     It is used to check the validy of file http://mot-adms/sourceforge.net/xml-files/admst.xml
+     You can try using xmllint: xmllint admst.xml -noout -postvalid -noblanks
+--> 
+<!-- RCS Info -->
+<!--
+  $Id: metaadmst.dtd 1024 2008-06-10 13:30:21Z r29173 $
+-->
+<!--
+  $Log$
+  Revision 1.3  2005/03/22 11:30:18  r29173
+  migration to adms-2.x.x: clean-up xml constructs
+
+  Revision 1.2  2005/03/14 17:34:20  r29173
+  migration to adms-2.x.x: start xpath coding; add documentation
+
+  Revision 1.1  2005/02/25 11:46:17  r29173
+  migration to adms-2.x.x: defines admst namespace
+
+--> 
+<!ELEMENT admst (transform)+ >
+<!ELEMENT transform (attribute|attribute-implied|attribute-alias|attribute-warning|attribute-obsolete|list|exclude|obsolete|warning)* >
+<!ELEMENT xor (attribute|attribute-list)* >
+<!ELEMENT attribute EMPTY >
+<!ELEMENT attribute-implied EMPTY >
+<!ELEMENT attribute-alias EMPTY >
+<!ELEMENT attribute-warning EMPTY >
+<!ELEMENT attribute-obsolete EMPTY >
+<!ELEMENT attribute-list EMPTY >
+<!ELEMENT exclude EMPTY >
+<!ELEMENT obsolete EMPTY >
+<!ELEMENT warning EMPTY >
+<!ATTLIST transform name CDATA #REQUIRED haschild CDATA #IMPLIED>
+<!ATTLIST attribute name CDATA #REQUIRED type (text|path) "path" >
+<!ATTLIST attribute-list name CDATA #REQUIRED type (text|path) "path" >
+<!ATTLIST attribute-implied name CDATA #REQUIRED type (text|path) "path" >
+<!ATTLIST attribute-warning name CDATA #REQUIRED type (text|path) "path" >
+<!ATTLIST attribute-alias alias CDATA #REQUIRED name CDATA #REQUIRED >
+<!ATTLIST attribute-obsolete name CDATA #REQUIRED >
+<!ATTLIST exclude name CDATA #REQUIRED type (text|path) "path" >
+<!ATTLIST obsolete name CDATA #REQUIRED type (text|path) "path" >
+<!ATTLIST warning name CDATA #REQUIRED type (text|path) "path" >
diff --git a/metaadmstpath.dtd b/metaadmstpath.dtd
new file mode 100644
index 0000000..8ca139d
--- /dev/null
+++ b/metaadmstpath.dtd
@@ -0,0 +1,68 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!--
+     This dtd describes the basic data structures used by adms classes
+     It is used to check the validy of file http://mot-adms/sourceforge.net/xml-files/admstpath.xml
+     You can try using xmllint: xmllint admstpath.xml -noout -postvalid -noblanks
+--> 
+<!--
+  $Id: metaadmstpath.dtd 990 2008-04-29 15:08:06Z r29173 $
+-->
+<!--
+  $Log$
+  Revision 1.11  2006/09/13 16:13:19  r29173
+  started migration to www.antlr.org tools
+
+  Revision 1.10  2005/12/02 17:48:42  r29173
+  cleaned-up admstpath lexical analyzer (lexan)
+
+  Revision 1.9  2005/11/30 13:58:35  r29173
+  added nodes maincode and headercode
+
+  Revision 1.8  2005/04/06 08:26:49  r29173
+  simplified code
+
+  Revision 1.7  2005/03/31 18:12:01  r29173
+  migration to adms-2.x.x: converted to adms2 syntax
+
+  Revision 1.6  2005/03/24 17:39:02  r29173
+  migration to adms-2.x.x: moved c-code from perl to xml
+
+  Revision 1.5  2005/03/24 17:03:27  r29173
+  migration to adms-2.x.x: fixed bugs in admstpath parser
+
+  Revision 1.4  2005/03/23 13:38:33  r29173
+  migration to adms-2.x.x: added xpath parser
+
+  Revision 1.3  2005/03/22 11:30:18  r29173
+  migration to adms-2.x.x: clean-up xml constructs
+
+  Revision 1.2  2005/03/17 08:26:28  r29173
+  migration to adms-2.x.x: move all href from absolute to relative values
+
+  Revision 1.1  2005/03/16 16:17:17  r29173
+  migration to adms-2.x.x: build prerequisites for admst path
+
+  Revision 1.4  2005/02/25 12:49:35  r29173
+  migration to adms-2.x.x: renamed admst transforms
+
+--> 
+
+<!ELEMENT admstpath (lex,yacc) >
+<!ELEMENT lex (ignore,constant+,regexp+) >
+<!ELEMENT constant (#PCDATA) >
+<!ELEMENT regexp (#PCDATA) >
+<!ELEMENT ignore (#PCDATA) >
+
+<!ATTLIST constant id CDATA #REQUIRED value CDATA #IMPLIED >
+<!ATTLIST regexp   id CDATA #REQUIRED >
+<!ATTLIST ignore   id CDATA #REQUIRED value CDATA #IMPLIED >
+
+<!ELEMENT yacc (productionset+) >
+<!ELEMENT productionset (production+,empty?) >
+<!ELEMENT production (item+) >
+<!ELEMENT item EMPTY >
+<!ELEMENT empty EMPTY >
+
+<!ATTLIST productionset id     CDATA #REQUIRED >
+<!ATTLIST productionset ret    CDATA #REQUIRED >
+<!ATTLIST item          linkto CDATA #REQUIRED >
diff --git a/scripts/Makefile.am b/scripts/Makefile.am
new file mode 100644
index 0000000..c2217e3
--- /dev/null
+++ b/scripts/Makefile.am
@@ -0,0 +1,58 @@
+##
+## RCS Info
+## $Id: Makefile.am 941 2008-03-27 17:38:57Z r29173 $
+## 
+## Log
+## $Log$
+## Revision 1.7  2005/05/09 14:38:29  r29173
+## cleaned-up source directory structure
+##
+## Revision 1.6  2005/03/23 13:38:32  r29173
+## migration to adms-2.x.x: added xpath parser
+##
+## Revision 1.5  2004/09/07 07:48:22  r29173
+## removed adms_build_navigator.pl
+##
+## Revision 1.4  2004/09/07 07:43:19  r29173
+## removed adms_build_gui.pl
+## added adms_build_navigator.pl
+##
+## Revision 1.3  2004/08/03 12:33:56  r29173
+## import adms-1.21.0 from local CVS
+##
+## Revision 1.3  2004/07/14 15:41:19  r29173
+## removed code used to handle GLib tree data structure
+## modify xmlParserNew.c so that only one evaluation is used by
+## tostring in non-expressions
+##
+## Revision 1.2  2004/06/28 19:27:57  r29173
+## added adms_build_gui.pl, adms_build.libpl
+## moved some pieces of code from adms_build_object to adms_build.libpl
+##
+## Revision 1.1.1.1  2004/05/21 12:20:02  r29173
+## recreated cvs data structure (crashed after revision 1.13.0!)
+##
+## Revision 1.7  2004/05/07 16:34:24  r29173
+## started removing GNode* structure
+##
+## Revision 1.6  2004/02/11 14:20:11  r29173
+## started implementing code builder in yacc parser
+##
+## Revision 1.5  2004/01/13 11:25:15  r29173
+## changed coding style
+##
+## Revision 1.4  2004/01/05 11:35:52  r29173
+## updated for cygwin
+##
+## Revision 1.3  2003/10/31 16:43:07  r29173
+## clean-up the way xparser works
+##
+## Revision 1.2  2003/05/21 14:20:56  r29173
+## add rcs info
+##
+##
+
+EXTRA_DIST=\
+  admstvariables.xml analogfunction.xml arobavariable.xml attributes.xml \
+  blockvariables.xml croixvariable.xml getargv.xml \
+  getenv.xml getinstance.xml gettransform.xml sort.xml vlatovla.xml
diff --git a/scripts/Makefile.in b/scripts/Makefile.in
new file mode 100644
index 0000000..957b086
--- /dev/null
+++ b/scripts/Makefile.in
@@ -0,0 +1,369 @@
+# Makefile.in generated by automake 1.11.1 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008, 2009  Free Software Foundation,
+# Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+ at SET_MAKE@
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkglibexecdir = $(libexecdir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+subdir = scripts
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+	$(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+CONFIG_CLEAN_VPATH_FILES =
+SOURCES =
+DIST_SOURCES =
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+CC = @CC@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CYGPATH_W = @CYGPATH_W@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+FGREP = @FGREP@
+GREP = @GREP@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LEX = @LEX@
+LEXLIB = @LEXLIB@
+LEX_OUTPUT_ROOT = @LEX_OUTPUT_ROOT@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIBTOOL_DEPS = @LIBTOOL_DEPS@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAINT = @MAINT@
+MAKEINFO = @MAKEINFO@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_URL = @PACKAGE_URL@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PERL = @PERL@
+POW_LIB = @POW_LIB@
+RANLIB = @RANLIB@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+STRIP = @STRIP@
+VERSION = @VERSION@
+YACC = @YACC@
+YFLAGS = @YFLAGS@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+dvidir = @dvidir@
+exec_prefix = @exec_prefix@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+pdfdir = @pdfdir@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+srcdir = @srcdir@
+sysconfdir = @sysconfdir@
+target_alias = @target_alias@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+EXTRA_DIST = \
+  admstvariables.xml analogfunction.xml arobavariable.xml attributes.xml \
+  blockvariables.xml croixvariable.xml getargv.xml \
+  getenv.xml getinstance.xml gettransform.xml sort.xml vlatovla.xml
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in: @MAINTAINER_MODE_TRUE@ $(srcdir)/Makefile.am  $(am__configure_deps)
+	@for dep in $?; do \
+	  case '$(am__configure_deps)' in \
+	    *$$dep*) \
+	      ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+	        && { if test -f $@; then exit 0; else break; fi; }; \
+	      exit 1;; \
+	  esac; \
+	done; \
+	echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu scripts/Makefile'; \
+	$(am__cd) $(top_srcdir) && \
+	  $(AUTOMAKE) --gnu scripts/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+	@case '$?' in \
+	  *config.status*) \
+	    cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+	  *) \
+	    echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+	    cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+	esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+	cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure: @MAINTAINER_MODE_TRUE@ $(am__configure_deps)
+	cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4): @MAINTAINER_MODE_TRUE@ $(am__aclocal_m4_deps)
+	cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(am__aclocal_m4_deps):
+
+mostlyclean-libtool:
+	-rm -f *.lo
+
+clean-libtool:
+	-rm -rf .libs _libs
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+	@srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+	topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+	list='$(DISTFILES)'; \
+	  dist_files=`for file in $$list; do echo $$file; done | \
+	  sed -e "s|^$$srcdirstrip/||;t" \
+	      -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+	case $$dist_files in \
+	  */*) $(MKDIR_P) `echo "$$dist_files" | \
+			   sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+			   sort -u` ;; \
+	esac; \
+	for file in $$dist_files; do \
+	  if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+	  if test -d $$d/$$file; then \
+	    dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+	    if test -d "$(distdir)/$$file"; then \
+	      find "$(distdir)/$$file" -type d ! -perm -700 -exec chmod u+rwx {} \;; \
+	    fi; \
+	    if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+	      cp -fpR $(srcdir)/$$file "$(distdir)$$dir" || exit 1; \
+	      find "$(distdir)/$$file" -type d ! -perm -700 -exec chmod u+rwx {} \;; \
+	    fi; \
+	    cp -fpR $$d/$$file "$(distdir)$$dir" || exit 1; \
+	  else \
+	    test -f "$(distdir)/$$file" \
+	    || cp -p $$d/$$file "$(distdir)/$$file" \
+	    || exit 1; \
+	  fi; \
+	done
+check-am: all-am
+check: check-am
+all-am: Makefile
+installdirs:
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+	@$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+	$(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+	  install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+	  `test -z '$(STRIP)' || \
+	    echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+
+clean-generic:
+
+distclean-generic:
+	-test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+	-test . = "$(srcdir)" || test -z "$(CONFIG_CLEAN_VPATH_FILES)" || rm -f $(CONFIG_CLEAN_VPATH_FILES)
+
+maintainer-clean-generic:
+	@echo "This command is intended for maintainers to use"
+	@echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+	-rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+html-am:
+
+info: info-am
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-am
+
+install-dvi-am:
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-html-am:
+
+install-info: install-info-am
+
+install-info-am:
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-pdf-am:
+
+install-ps: install-ps-am
+
+install-ps-am:
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+	-rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+	distclean distclean-generic distclean-libtool distdir dvi \
+	dvi-am html html-am info info-am install install-am \
+	install-data install-data-am install-dvi install-dvi-am \
+	install-exec install-exec-am install-html install-html-am \
+	install-info install-info-am install-man install-pdf \
+	install-pdf-am install-ps install-ps-am install-strip \
+	installcheck installcheck-am installdirs maintainer-clean \
+	maintainer-clean-generic mostlyclean mostlyclean-generic \
+	mostlyclean-libtool pdf pdf-am ps ps-am uninstall uninstall-am
+
+
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/scripts/admstvariables.xml b/scripts/admstvariables.xml
new file mode 100644
index 0000000..a58e960
--- /dev/null
+++ b/scripts/admstvariables.xml
@@ -0,0 +1,38 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE admst PUBLIC "-//adms//DTD admst 2.0//-" "http://mot-adms.svn.sourceforge.net/viewvc/mot-adms/trunk/adms/admst.dtd" >
+<!--
+    Usage: admsXml -e admstvariables.xml
+    This example gives some hints on how to use admst variables.
+    There are two types of admst variables:
+    1- global variables: they first occur outside any admst:template
+       They are 'seen' by any admst:template
+    2- local variables: they occur inside admst:template
+    Variables are declared using:
+    1- <admst:variable name="variable">
+    or
+    2- <admst:variable name="myvariable" select="<string>">
+    or
+    3- <admst:variable name="myvariable" select="%p">
+    In 2- myvariable is a string.
+    In 3- myvariable is a adms element.
+    The value of admst variables is accessed through $myvariable or $(myvariable).
+    Limitations (adms-2.2.0): $(anystring) doesn't work inside admstpath.
+-->
+<admst version="2.3.0" xmlns:admst="https://mot-adms.svn.sourceforge.net/viewvc/mot-adms/trunk/adms/admsstyle.xsl">
+
+<admst:template match="globalvariable">
+  <admst:text format="my global variable: $myglobalvariable_string\n"></admst:text>
+  <admst:text format="\$myglobalvariable_pointer/name => %($myglobalvariable_pointer/name)\n"></admst:text>
+  <admst:variable name="mylocalvariable" select="this is the value of \$mylocalvariable"></admst:variable>
+  <admst:text format="\$myglobalvariable_string => $(myglobalvariable_string)\n"></admst:text>
+  <admst:variable name="mylocalvariable" select="this is the value of \$mylocalvariable"></admst:variable>
+  <admst:text format="\$mylocalvariable => $mylocalvariable\n"></admst:text>
+</admst:template>
+
+<!-- as string -->
+<admst:variable name="myglobalvariable_string" select="this is the value of \$myglobalvariable"></admst:variable>
+<!-- as adms element -->
+<admst:variable name="myglobalvariable_pointer" path="."></admst:variable>
+<admst:apply-templates select="." match="globalvariable"></admst:apply-templates>
+
+</admst>
diff --git a/scripts/analogfunction.xml b/scripts/analogfunction.xml
new file mode 100644
index 0000000..a935b2e
--- /dev/null
+++ b/scripts/analogfunction.xml
@@ -0,0 +1,1122 @@
+<?xml version="1.0" encoding="ISO-8859-1"?>
+<!--
+
+  Usage: admsXml <vafile> -e analogfunction.xml 
+
+  This file extracts all analog functions defined in file <vafile>
+  and transforms them into ready-to-compile C code. Two new files
+  - analogfunction.cpp and file analogfunction.h - are auto-created.
+
+  This file is made available as illustrative example on how to manipulate
+  the analog function element in the adms data tree.
+  It shows how to generate the partial derivatives of analog functions.
+  Its admst:template's can be freely re-used by other simulator xml interface.
+
+  A 'main' C routine is created and gives a way to print the partial derivatives
+  of analog functions using the 'xgraph' program.
+
+  You can compile the auto-created file as follows:
+    1- unix: gcc -Wall -DSTAND_ALONE analogfunction.cpp -o analogfunction.exe
+    2- windows: cl -W4 -DSTAND_ALONE analogfunction.cpp -o analogfunction.exe
+  This command will create an executable called analogfunction.exe. 
+  Running the executable will create two xgraph data files y.data and dy.data.
+  You can visualized the results by using the following command:
+    xgraph.exe -P  y.data dy.data
+
+  Summary:
+    1. admsXml analogfunction.va -e analogfunction.xml
+    2. gcc -Wall -DSTAND_ALONE analogfunction.cpp -o analogfunction.exe
+    3. analogfunction.exe
+    4. xgraph.exe -P y.data dy.data
+
+  ** BEGIN-OF-FILE analogfunction.va ***************************************************************
+
+`include "discipline.h"
+
+module myanalogfunction (p,q);
+electrical p,q;
+real a,b;
+
+  analog   function real myfunction;
+    input x;
+    real  x;
+    begin
+      if(x<0.2)
+        myfunction =  abs(x);
+      else if(x<0.6)
+        myfunction =  cos(2*x);
+      else
+        myfunction =  sin(2*x);
+    end
+  endfunction
+
+  analog   function real norm;
+    input x, y, index;
+    real  x, y;
+    integer  index;
+
+    begin
+      case(index)
+        0: norm =  max(abs(x),abs(y));
+        1: norm =  abs(x)+abs(y);
+        2: norm =  sqrt(pow(x,2)+pow(y,2));
+        default: $strobe("Warning: norm does not exist"); 
+      endcase
+    end
+  endfunction
+
+`define VEXLIM  200.0
+  analog   function real expLin;
+    input x;
+    real  x;
+    real  expl;
+
+    begin
+      if (x < `VEXLIM)
+          expLin = exp(x);
+      else begin
+          expl = exp(`VEXLIM);
+          expLin = expl  * (1.0 + (x - `VEXLIM));
+      end
+    end
+  endfunction
+
+  analog   function real triangle;
+    input x;
+    real  x;
+    real  pwl;
+    integer n;
+    begin
+      n=floor(x);
+      triangle = (n==2*floor(n/2))?x-n:n-x;
+    end
+  endfunction
+
+  analog   function real linLog;
+    input x;
+    real  x;
+    real  vlim;
+
+    begin
+      vlim=10.0;
+      if (x < vlim)
+          linLog = x;
+      else
+          linLog = vlim + ln(1.0 + (x - vlim));
+    end
+  endfunction
+
+
+analog
+  begin
+    case (a)
+      1,2: begin a=1; b=0; end
+      3,4: begin a=2; b=0; end
+      5,6: begin a=3; b=0; end
+      default : begin a=1234; b=5678; end
+    endcase
+  end
+endmodule
+  ** END-OF-FILE ***************************************************************
+
+-->
+<!--
+  $Id: analogfunction.xml 1103 2008-10-03 14:17:20Z r29173 $
+  $Log$
+  Revision 1.5  2006/09/04 08:51:05  r29173
+  tons of simplifications
+
+  Revision 1.4  2006/03/23 07:51:39  r29173
+  fixed bug in computation of ddx
+
+-->
+
+<!DOCTYPE admst SYSTEM "admst.dtd">
+<admst version="2.3.0"  xmlns:admst="http://mot-adms.svn.sourceforge.net/viewvc/*checkout*/mot-adms/trunk/adms/admst.dtd">
+
+<admst:variable name="myfunction"/>
+
+<admst:for-each select="/module">
+<!-- definition of variables -->
+<admst:variable name="module" path="name"/>
+</admst:for-each>
+
+<admst:template match="c:math_h">
+inline double _cos(double arg)             { return  cos(arg); }
+inline double _d0_cos(double arg)          { return (-sin(arg)); }
+inline double _sin(double arg)             { return  sin(arg); }
+inline double _d0_sin(double arg)          { return (cos(arg)); }
+inline double _tan(double arg)             { return  tan(arg); }
+inline double _d0_tan(double arg)          { return (1.0/cos(arg)/cos(arg)); }
+inline double _cosh(double arg)            { return  cosh(arg); }
+inline double _d0_cosh(double arg)         { return (sinh(arg)); }
+inline double _sinh(double arg)            { return  sinh(arg); }
+inline double _d0_sinh(double arg)         { return (cosh(arg)); }
+inline double _tanh(double arg)            { return  tanh(arg); }
+inline double _d0_tanh(double arg)         { return (1.0/cosh(arg)/cosh(arg)); }
+inline double _acos(double arg)            { return  acos(arg); }
+inline double _d0_acos(double arg)         { return (-1.0/sqrt(1-arg*arg)); }
+inline double _asin(double arg)            { return  asin(arg); }
+inline double _d0_asin(double arg)         { return (+1.0/sqrt(1-arg*arg)); }
+inline double _atan(double arg)            { return  atan(arg); }
+inline double _d0_atan(double arg)         { return (+1.0/(1+arg*arg)); }
+inline double _logE(double arg)            { return  log(arg); }
+inline double _d0_logE(double arg)         { return (1.0/arg); }
+inline double _log10(double arg)           { return  log10(arg); }
+inline double _d0_log10(double arg)        { return (1.0/arg/log(10)); }
+inline double _exp(double arg)             { return  exp(arg); }
+inline double _d0_exp(double arg)          { return exp(arg); } /* fixme */
+inline double _sqrt(double arg)            { return  sqrt(arg); }
+inline double _d0_sqrt(double arg)         { return (1.0/sqrt(arg)/2.0); } /* fixme */
+inline double _abs(double arg)             { return  fabs(arg); }
+inline double _d0_abs(double arg)          { return (((arg)>=0)?(+1.0):(-1.0)); }
+
+inline double _hypot(double x,double y)    { return sqrt((x)*(x)+(y)*(y)); }
+inline double _d0_hypot(double x,double y) { return (x)/sqrt((x)*(x)+(y)*(y)); } /* fixme */
+inline double _d1_hypot(double x,double y) { return (y)/sqrt((x)*(x)+(y)*(y)); } /* fixme */
+
+inline double _max(double x,double y)      { return ((x)>(y))?(x):(y); }
+inline double _d0_max(double x,double y)   { return ((x)>(y))?1.0:0.0; }
+inline double _d1_max(double x,double y)   { return ((x)>(y))?0.0:1.0; }
+
+inline double _min(double x,double y)      { return ((x)<(y))?(x):(y); }
+inline double _d0_min(double x,double y)   { return ((x)<(y))?1.0:0.0; }
+inline double _d1_min(double x,double y)   { return ((x)<(y))?0.0:1.0; }
+
+inline double _pow(double x,double y)      { return pow(x,y); }
+inline double _d0_pow(double x,double y)   { return (x==0.0)?0.0:((y/x)*pow(x,y)); } /* fixme */
+inline double _d1_pow(double x,double y)   { return (x==0.0)?0.0:((log(x)/exp(0.0))*pow(x,y)); } /* fixme */
+
+#define _limexp(arg)    _exp(val,arg)
+#define _d_limexp(arg)  _d_exp(val,dval,arg)
+
+inline double $(module)_vt (double Tdev) {
+  return 1.3806503e-23*Tdev/1.602176462e-19;
+}
+inline double $(module)_d_vt (double Tdev, double d_Tdev) {
+  double vt_Tdev=1.3806503e-23/1.602176462e-19;
+  return vt_Tdev*d_Tdev;
+}
+
+</admst:template>
+
+<!-- expression//function: mapping verilog-name == C-name of function -->
+<admst:template match="afunction:getname">
+  <admst:choose>
+    <admst:when test="[name='abs']"><admst:return name="afunction:getname" string="abs"/></admst:when>
+    <admst:when test="[name='\$shrinkl']"><admst:return name="afunction:getname" string="shrinkl"/></admst:when>
+    <admst:when test="[name='\$shrinka']"><admst:return name="afunction:getname" string="shrinka"/></admst:when>
+    <admst:when test="[name='log']"><admst:return name="afunction:getname" string="log10"/></admst:when>
+    <admst:when test="[name='ln']"><admst:return name="afunction:getname" string="logE"/></admst:when>
+    <admst:when test="[name='limexp']"><admst:return name="afunction:getname" string="limexp"/></admst:when>
+    <admst:when test="[name='\$limexp']"><admst:return name="afunction:getname" string="limexp"/></admst:when>
+    <admst:when test="[name='\$vt']"><admst:return name="afunction:getname" string="vt"/></admst:when>
+    <admst:when test="[name='\$model']"><admst:return name="afunction:getname" string="_modelname"/></admst:when>
+    <admst:when test="[name='\$instance']"><admst:return name="afunction:getname" string="_instancename"/></admst:when>
+    <admst:when test="[name='\$temperature']"><admst:return name="afunction:getname" string="_circuit_temp"/></admst:when>
+    <admst:when test="[name='\$nominal_temperature']"><admst:return name="afunction:getname" string="_circuit_tnom"/></admst:when>
+    <admst:otherwise><admst:return name="afunction:getname" string="%(name)"/></admst:otherwise>
+  </admst:choose>
+</admst:template>
+
+<admst:template match="v2c:converttype">
+  <admst:choose>
+    <admst:when test="[type='integer']">
+      <admst:text format="int"/>
+    </admst:when>
+    <admst:when test="[type='real']">
+      <admst:text format="double"/>
+    </admst:when>
+    <admst:when test="[type='string']">
+      <admst:text format="char*"/>
+    </admst:when>
+    <admst:otherwise>
+      <admst:fatal format="should not be reached\n"/>
+    </admst:otherwise>
+  </admst:choose>
+</admst:template>
+
+<admst:template match="af:print:expression">
+  <admst:choose>
+    <admst:when test="adms[datatypename='expression']">
+      <admst:apply-templates select="tree" match="af:print:expression">
+        <admst:variable name="expression" select="%(returned('x')/value)"/>
+        <admst:for-each select="$globalanalogfunction/variable[input='yes']">
+          <admst:variable name="dx_%(name)" select="%(returned('dx.%(name)')/value)"/>
+        </admst:for-each>
+      </admst:apply-templates>
+      <admst:return name="x" string="$expression"/>
+      <admst:for-each select="$globalanalogfunction/variable[input='yes']">
+        <admst:return name="dx.%(name)" string="$(dx_%(name))"/>
+      </admst:for-each>
+    </admst:when>
+    <admst:when test="adms[datatypename='probe']">
+      <admst:fatal format="probe not allowed inside analog functions\n"/>
+    </admst:when>
+    <admst:when test="adms[datatypename='variable']">
+      <admst:variable name="variable" select="%(name)"/>
+      <admst:return name="x" string="$variable"/>
+      <admst:for-each select="$globalanalogfunction/variable[input='yes']">
+        <admst:variable name="ddx" select="%(name)"/>
+        <admst:choose>
+          <admst:when test="[$variable='$ddx']">
+            <admst:return name="dx.$ddx" string="1.0"/>
+          </admst:when>
+          <admst:when test="../..[input='yes']">
+            <admst:return name="dx.$ddx" string="0.0"/>
+          </admst:when>
+          <admst:otherwise>
+            <admst:return name="dx.$ddx" string="$(variable)_$ddx"/>
+          </admst:otherwise>
+        </admst:choose>
+      </admst:for-each>
+
+    </admst:when>
+    <admst:when test="adms[datatypename='mapply_unary']">
+      <admst:if test="[name='plus']">
+        <admst:variable name="op" select="+"/>
+      </admst:if>
+      <admst:if test="[name='minus']">
+        <admst:variable name="op" select="-"/>
+      </admst:if>
+      <admst:if test="[name='not']">
+        <admst:variable name="op" select="!"/>
+      </admst:if>
+      <admst:if test="[name='bw_not']">
+        <admst:variable name="op" select="~"/>
+      </admst:if>
+      <admst:apply-templates select="arg1" match="af:print:expression"><admst:variable name="arg1" select="%(returned('x')/value)"/></admst:apply-templates>
+      <admst:return name="x" string="($op$arg1)"/>
+      <admst:for-each select="$globalanalogfunction/variable[input='yes']">
+        <admst:return name="dx.%(name)" string="0.0"/>
+      </admst:for-each>
+    </admst:when>
+    <admst:when test="adms[datatypename='mapply_binary']">
+      <admst:apply-templates select="arg1" match="af:print:expression">
+        <admst:variable name="x" select="%(returned('x')/value)"/>
+        <admst:for-each select="$globalanalogfunction/variable[input='yes']">
+          <admst:variable name="dx_%(name)" select="%(returned('dx.%(name)')/value)"/>
+        </admst:for-each>
+      </admst:apply-templates>
+      <admst:apply-templates select="arg2" match="af:print:expression">
+        <admst:variable name="y" select="%(returned('x')/value)"/>
+        <admst:for-each select="$globalanalogfunction/variable[input='yes']">
+          <admst:variable name="dy_%(name)" select="%(returned('dx.%(name)')/value)"/>
+        </admst:for-each>
+      </admst:apply-templates>
+      <admst:choose>
+        <admst:when test="[name='addp']">
+          <admst:choose>
+            <admst:when test="[$x='0.0' and $y='0.0']">
+              <admst:return name="x" string="0.0"/>
+            </admst:when>
+            <admst:when test="[$x='0.0']">
+              <admst:return name="x" string="(+$y)"/>
+            </admst:when>
+            <admst:when test="[$y='0.0']">
+              <admst:return name="x" string="$x"/>
+            </admst:when>
+            <admst:otherwise>
+              <admst:return name="x" string="($x+$y)"/>
+            </admst:otherwise>
+          </admst:choose>
+          <admst:for-each select="$globalanalogfunction/variable[input='yes']">
+            <admst:variable name="df" select="%(name)"/>
+            <admst:choose>
+              <admst:when test="[$x='0.0' and $y='0.0']">
+                <admst:variable name="dx" select="0.0"/>
+                <admst:variable name="dy" select="0.0"/>
+              </admst:when>
+              <admst:when test="[$x='0.0']">
+                <admst:variable name="dx" select="0.0"/>
+                <admst:variable name="dy" select="$(dy_$df)"/>
+              </admst:when>
+              <admst:when test="[$y='0.0']">
+                <admst:variable name="dx" select="$(dx_$df)"/>
+                <admst:variable name="dy" select="0.0"/>
+              </admst:when>
+              <admst:otherwise>
+                <admst:variable name="dx" select="$(dx_$df)"/>
+                <admst:variable name="dy" select="$(dy_$df)"/>
+              </admst:otherwise>
+            </admst:choose>
+            <admst:choose>
+              <admst:when test="[$dx='0.0' and $dy='0.0']">
+                <admst:return name="dx.$df" string="0.0"/>
+              </admst:when>
+              <admst:when test="[$dx='0.0']">
+                <admst:return name="dx.$df" string="(+$dy)"/>
+              </admst:when>
+              <admst:when test="[$dy='0.0']">
+                <admst:return name="dx.$df" string="$dx"/>
+              </admst:when>
+              <admst:otherwise>
+                <admst:return name="dx.$df" string="($dx+$dy)"/>
+              </admst:otherwise>
+            </admst:choose>
+          </admst:for-each>
+        </admst:when>
+        <admst:when test="[name='addm']">
+          <admst:choose>
+            <admst:when test="[$x='0.0' and $y='0.0']">
+              <admst:return name="x" string="0.0"/>
+            </admst:when>
+            <admst:when test="[$x='0.0']">
+              <admst:return name="x" string="(-$y)"/>
+            </admst:when>
+            <admst:when test="[$y='0.0']">
+              <admst:return name="x" string="$x"/>
+            </admst:when>
+            <admst:otherwise>
+              <admst:return name="x" string="($x-$y)"/>
+            </admst:otherwise>
+          </admst:choose>
+          <admst:for-each select="$globalanalogfunction/variable[input='yes']">
+            <admst:variable name="df" select="%(name)"/>
+            <admst:choose>
+              <admst:when test="[$x='0.0' and $y='0.0']">
+                <admst:variable name="dx" select="0.0"/>
+                <admst:variable name="dy" select="0.0"/>
+              </admst:when>
+              <admst:when test="[$x='0.0']">
+                <admst:variable name="dx" select="0.0"/>
+                <admst:variable name="dy" select="$(dy_$df)"/>
+              </admst:when>
+              <admst:when test="[$y='0.0']">
+                <admst:variable name="dx" select="$(dx_$df)"/>
+                <admst:variable name="dy" select="0.0"/>
+              </admst:when>
+              <admst:otherwise>
+                <admst:variable name="dx" select="$(dx_$df)"/>
+                <admst:variable name="dy" select="$(dy_$df)"/>
+              </admst:otherwise>
+            </admst:choose>
+            <admst:choose>
+              <admst:when test="[$dx='0.0' and $dy='0.0']">
+                <admst:return name="dx.$df" string="0.0"/>
+              </admst:when>
+              <admst:when test="[$dx='0.0']">
+                <admst:return name="dx.$df" string="(-$dy)"/>
+              </admst:when>
+              <admst:when test="[$dy='0.0']">
+                <admst:return name="dx.$df" string="$dx"/>
+              </admst:when>
+              <admst:otherwise>
+                <admst:return name="dx.$df" string="($dx-$dy)"/>
+              </admst:otherwise>
+            </admst:choose>
+          </admst:for-each>
+        </admst:when>
+        <admst:when test="[name='multtime']">
+          <admst:choose>
+            <admst:when test="[$x='0.0' or $y='0.0']">
+              <admst:return name="x" string="0.0"/>
+            </admst:when>
+            <admst:when test="[$x='1.0' and $y='1.0']">
+              <admst:return name="x" string="1.0"/>
+            </admst:when>
+            <admst:otherwise>
+              <admst:return name="x" string="($x*$y)"/>
+            </admst:otherwise>
+          </admst:choose>
+          <admst:for-each select="$globalanalogfunction/variable[input='yes']">
+            <admst:variable name="df" select="%(name)"/>
+            <admst:choose>
+              <admst:when test="[$x='0.0' or $y='0.0']">
+                <admst:variable name="dx" select="0.0"/>
+                <admst:variable name="dy" select="0.0"/>
+              </admst:when>
+              <admst:when test="[$x='1.0' and $y='1.0']">
+                <admst:variable name="dx" select="0.0"/>
+                <admst:variable name="dy" select="0.0"/>
+              </admst:when>
+              <admst:otherwise>
+                <admst:variable name="dx" select="$(dx_$df)"/>
+                <admst:variable name="dy" select="$(dy_$df)"/>
+              </admst:otherwise>
+            </admst:choose>
+            <admst:choose>
+              <admst:when test="[$x='0.0' and $y='0.0']">
+                <admst:return name="dx.$df" string="0.0"/>
+              </admst:when>
+              <admst:when test="[$dx='0.0' and $dy='0.0']">
+                <admst:return name="dx.$df" string="0.0"/>
+              </admst:when>
+              <admst:when test="[$dx='0.0' and $dy='1.0']">
+                <admst:return name="dx.$df" string="($x)"/>
+              </admst:when>
+              <admst:when test="[$dx='1.0' and $dy='0.0']">
+                <admst:return name="dx.$df" string="($y)"/>
+              </admst:when>
+              <admst:when test="[$dx='0.0']">
+                <admst:return name="dx.$df" string="($x*$dy)"/>
+              </admst:when>
+              <admst:when test="[$dy='0.0']">
+                <admst:return name="dx.$df" string="$dx*$y"/>
+              </admst:when>
+              <admst:when test="[$dx='1.0' and $dy='1.0']">
+                <admst:return name="dx.$df" string="($x+$y)"/>
+              </admst:when>
+              <admst:when test="[$dx='1.0']">
+                <admst:return name="dx.$df" string="($y+($dy*$x))"/>
+              </admst:when>
+              <admst:when test="[$dy='1.0']">
+                <admst:return name="dx.$df" string="($dx*$y)+$x"/>
+              </admst:when>
+              <admst:when test="[$x='1.0']">
+                <admst:return name="dx.$df" string="$dy"/>
+              </admst:when>
+              <admst:when test="[$y='1.0']">
+                <admst:return name="dx.$df" string="$dx"/>
+              </admst:when>
+              <admst:otherwise>
+                <admst:return name="dx.$df" string="(($dx*$y)+($x*$dy))"/>
+              </admst:otherwise>
+            </admst:choose>
+          </admst:for-each>
+        </admst:when>
+        <admst:when test="[name='multdiv']">
+          <admst:choose>
+            <admst:when test="[$x='0.0']">
+              <admst:return name="x" string="0.0"/>
+            </admst:when>
+            <admst:when test="[$x='1.0' and $y='1.0']">
+              <admst:return name="x" string="1.0"/>
+            </admst:when>
+            <admst:otherwise>
+              <admst:return name="x" string="($x/$y)"/>
+            </admst:otherwise>
+          </admst:choose>
+          <admst:for-each select="$globalanalogfunction/variable[input='yes']">
+            <admst:variable name="df" select="%(name)"/>
+            <admst:choose>
+              <admst:when test="[$x='0.0']">
+                <admst:variable name="dx" select="0.0"/>
+                <admst:variable name="dy" select="0.0"/>
+              </admst:when>
+              <admst:when test="[$x='1.0' and $y='1.0']">
+                <admst:variable name="dx" select="0.0"/>
+                <admst:variable name="dy" select="0.0"/>
+              </admst:when>
+              <admst:otherwise>
+                <admst:variable name="dx" select="$(dx_$df)"/>
+                <admst:variable name="dy" select="$(dy_$(df))"/>
+              </admst:otherwise>
+            </admst:choose>
+            <admst:choose>
+              <admst:when test="[$x='0.0']">
+                <admst:return name="dx.$df" string="0.0"/>
+              </admst:when>
+              <admst:when test="[$dx='0.0' and $dy='0.0']">
+                <admst:return name="dx.$df" string="0.0"/>
+              </admst:when>
+              <admst:when test="[$x='1.0']">
+                <admst:choose>
+                  <admst:when test="[$dy='1.0']">
+                    <admst:return name="dx.$df" string="(-1/($y*$y))"/>
+                  </admst:when>
+                  <admst:otherwise>
+                    <admst:return name="dx.$df" string="(-$dy/($y*$y))"/>
+                  </admst:otherwise>
+                </admst:choose>
+              </admst:when>
+              <admst:when test="[$dx='0.0']">
+                <admst:choose>
+                  <admst:when test="[$dy='1.0']">
+                    <admst:return name="dx.$df" string="(-$x/($y*$y))"/>
+                  </admst:when>
+                  <admst:otherwise>
+                    <admst:return name="dx.$df" string="(-($x*$dy)/($y*$y))"/>
+                  </admst:otherwise>
+                </admst:choose>
+              </admst:when>
+              <admst:when test="[$dx='1.0']">
+                <admst:choose>
+                  <admst:when test="[$dy='0.0']">
+                    <admst:return name="dx.$df" string="(1/$y)"/>
+                  </admst:when>
+                  <admst:when test="[$dy='1.0']">
+                    <admst:return name="dx.$df" string="(($y-$x)/($y*$y))"/>
+                  </admst:when>
+                  <admst:otherwise>
+                    <admst:return name="dx.$df" string="(($y-($x*$dy))/($y*$y))"/>
+                  </admst:otherwise>
+                </admst:choose>
+              </admst:when>
+              <admst:otherwise>
+                <admst:choose>
+                  <admst:when test="[$y='1.0']">
+                    <admst:return name="dx.$df" string="$dx"/>
+                  </admst:when>
+                  <admst:when test="[$dy='0.0']">
+                    <admst:return name="dx.$df" string="$dx/$y"/>
+                  </admst:when>
+                  <admst:when test="[$dy='1.0']">
+                    <admst:return name="dx.$df" string="(($dx*$y)-$x)/($y*$y)"/>
+                  </admst:when>
+                  <admst:otherwise>
+                    <admst:return name="dx.$df" string="($dx*$y-$x*$dy)/($y*$y)"/>
+                  </admst:otherwise>
+                </admst:choose>
+              </admst:otherwise>
+            </admst:choose>
+          </admst:for-each>
+        </admst:when>
+        <admst:otherwise>
+          <admst:choose>
+            <admst:when test="[name='bw_equr']">
+              <admst:return name="x" string="($x^~$y)"/>
+            </admst:when>
+            <admst:when test="[name='bw_equl']">
+              <admst:return name="x" string="($x~^$y)"/>
+            </admst:when>
+            <admst:when test="[name='bw_xor']">
+              <admst:return name="x" string="($x^$y)"/>
+            </admst:when>
+            <admst:when test="[name='bw_or']">
+              <admst:return name="x" string="($x|$y)"/>
+            </admst:when>
+            <admst:when test="[name='bw_and']">
+              <admst:return name="x" string="($x&$y)"/>
+            </admst:when>
+            <admst:when test="[name='or']">
+              <admst:return name="x" string="($x||$y)"/>
+            </admst:when>
+            <admst:when test="[name='and']">
+              <admst:return name="x" string="($x&&$y)"/>
+            </admst:when>
+            <admst:when test="[name='equ']">
+              <admst:return name="x" string="($x==$y)"/>
+            </admst:when>
+            <admst:when test="[name='multmod']">
+              <admst:return name="x" string="((int)$x%%(int)$y)"/>
+            </admst:when>
+            <admst:when test="[name='notequ']">
+              <admst:return name="x" string="($x!=$y)"/>
+            </admst:when>
+            <admst:when test="[name='lt']">
+              <admst:return name="x" string="($x<$y)"/>
+            </admst:when>
+            <admst:when test="[name='lt_equ']">
+              <admst:return name="x" string="($x<=$y)"/>
+            </admst:when>
+            <admst:when test="[name='gt']">
+              <admst:return name="x" string="($x>$y)"/>
+            </admst:when>
+            <admst:when test="[name='gt_equ']">
+              <admst:return name="x" string="($x>=$y)"/>
+            </admst:when>
+            <admst:when test="[name='shiftr']">
+              <admst:return name="x" string="($x>>$y)"/>
+            </admst:when>
+            <admst:when test="[name='shiftl']">
+              <admst:return name="x" string="($x<<$y)"/>
+            </admst:when>
+            <admst:otherwise>
+              <admst:error format="%(name): function not handled\n"/>
+            </admst:otherwise>
+          </admst:choose>
+          <admst:for-each select="$globalanalogfunction/variable[input='yes']">
+            <admst:return name="dx.%(name)" string="0.0"/>
+          </admst:for-each>
+        </admst:otherwise>
+      </admst:choose>
+    </admst:when>
+    <admst:when test="adms[datatypename='mapply_ternary']">
+      <admst:apply-templates select="arg1" match="af:print:expression">
+        <admst:variable name="x" select="%(returned('x')/value)"/>
+      </admst:apply-templates>
+      <admst:if test="[name='conditional']">
+        <admst:apply-templates select="arg2" match="af:print:expression">
+          <admst:variable name="y" select="%(returned('x')/value)"/>
+          <admst:for-each select="$globalanalogfunction/variable[input='yes']">
+            <admst:variable name="dy_%(name)" select="%(returned('dx.%(name)')/value)"/>
+          </admst:for-each>
+        </admst:apply-templates>
+        <admst:apply-templates select="arg3" match="af:print:expression">
+          <admst:variable name="z" select="%(returned('x')/value)"/>
+          <admst:for-each select="$globalanalogfunction/variable[input='yes']">
+            <admst:variable name="dz_%(name)" select="%(returned('dx.%(name)')/value)"/>
+          </admst:for-each>
+        </admst:apply-templates>
+        <admst:return name="x" string="($x?$y:$z)"/>
+        <admst:for-each select="$globalanalogfunction/variable[input='yes']">
+          <admst:variable name="df" select="%(name)"/>
+          <admst:return name="dx.$df" string="($x?$(dy_$df):$(dz_$df))"/>
+        </admst:for-each>
+      </admst:if>
+    </admst:when>
+
+    <admst:when test="adms[datatypename='function']">
+      <admst:apply-templates select="." match="afunction:getname">
+        <admst:variable name="function" select="%(returned('afunction:getname')/value)"/>
+      </admst:apply-templates>
+      <admst:variable name="args" select=""/>
+      <admst:for-each select="arguments">
+        <admst:if test="[not($args='')]">
+          <admst:variable name="args" select="$args,"/>
+        </admst:if>
+        <admst:apply-templates select="." match="af:print:expression">
+          <admst:variable name="index" select="%(index(../arguments,.))"/>
+          <admst:variable name="args" select="$args%(returned('x')/value)"/>
+          <admst:for-each select="$globalanalogfunction/variable[input='yes']">
+            <admst:variable name="arg$(index)_%(name)" select="%(returned('dx.%(name)')/value)"/>
+          </admst:for-each>
+        </admst:apply-templates>
+      </admst:for-each>
+      <admst:choose>
+        <admst:when test="[ name='cos' or name='sin' or name='tan' or name='cosh' or name='sinh' or name='tanh' or name='acos' or name='asin'
+                            or name='atan' or name='ln' or name='log' or name='exp' or name='sqrt' or name='abs' or name='limexp'
+                            or name='pow' or name='hypot' or name='min' or name='max' ]">
+          <admst:return name="x" string="_$function($args)"/>
+          <admst:for-each select="$globalanalogfunction/variable[input='yes']">
+            <admst:variable name="name" path="name"/>
+            <admst:variable name="ret" select=""/>
+            <admst:for-each select="../../arguments">
+              <admst:if test="[not($ret='')]">
+                <admst:variable name="ret" select="$ret+"/>
+              </admst:if>
+              <admst:variable name="index" select="%(index(../arguments,.))"/>
+              <admst:variable name="ret" select="$(ret)_d$(index)_$function($args)*($(arg$(index)_$name))"/>
+            </admst:for-each>
+            <admst:return name="dx.$name" string="$ret"/>
+          </admst:for-each>
+        </admst:when>
+        <admst:when test="[name='ceil' or name='floor']">
+          <admst:return name="x" string="$function($args)"/>
+          <admst:for-each select="$globalanalogfunction/variable[input='yes']">
+            <admst:variable name="name" path="name"/>
+            <admst:return name="dx.$name" string="0.0"/>
+          </admst:for-each>
+        </admst:when>
+        <admst:otherwise>
+          <admst:return name="x" string="$(module)_$function($args)"/>
+          <admst:for-each select="$globalanalogfunction/variable[input='yes']">
+            <admst:variable name="name" path="name"/>
+            <admst:variable name="darg" select=""/>
+            <admst:for-each select="../../arguments">
+              <admst:variable name="index" select="%(index(../arguments,.))"/>
+              <admst:variable name="darg" select="$darg,($(arg$(index)_$name))"/>
+            </admst:for-each>
+            <admst:return name="dx.$name" string="$(module)_d_$function($args$darg)"/>
+          </admst:for-each>
+        </admst:otherwise>
+      </admst:choose>
+    </admst:when>
+
+    <admst:when test="adms[datatypename='string']">
+      <admst:return name="x" string=""%(value)""/>
+      <admst:for-each select="$globalanalogfunction/variable[input='yes']">
+        <admst:return name="dx.%(name)" string="0.0"/>
+      </admst:for-each>
+    </admst:when>
+    <admst:when test="adms[datatypename='number']">
+      <admst:choose>
+        <admst:when test="[scalingunit='1']">
+          <admst:return name="x" string="%(value)"/>
+        </admst:when>
+        <admst:when test="[scalingunit='E']">
+          <admst:return name="x" string="(%(value)*1.0e+18)"/>
+        </admst:when>
+        <admst:when test="[scalingunit='P']">
+          <admst:return name="x" string="(%(value)*1.0e+15)"/>
+        </admst:when>
+        <admst:when test="[scalingunit='T']">
+          <admst:return name="x" string="(%(value)*1.0e+12)"/>
+        </admst:when>
+        <admst:when test="[scalingunit='G']">
+          <admst:return name="x" string="(%(value)*1.0e+9)"/>
+        </admst:when>
+        <admst:when test="[scalingunit='M']">
+          <admst:return name="x" string="(%(value)*1.0e+6)"/>
+        </admst:when>
+        <admst:when test="[scalingunit='k']">
+          <admst:return name="x" string="(%(value)*1.0e+3)"/>
+        </admst:when>
+        <admst:when test="[scalingunit='h']">
+          <admst:return name="x" string="(%(value)*1.0e+2)"/>
+        </admst:when>
+        <admst:when test="[scalingunit='D']">
+          <admst:return name="x" string="(%(value)*1.0e+1)"/>
+        </admst:when>
+        <admst:when test="[scalingunit='d']">
+          <admst:return name="x" string="(%(value)*1.0e-1)"/>
+        </admst:when>
+        <admst:when test="[scalingunit='c']">
+          <admst:return name="x" string="(%(value)*1.0e-2)"/>
+        </admst:when>
+        <admst:when test="[scalingunit='m']">
+          <admst:return name="x" string="(%(value)*1.0e-3)"/>
+        </admst:when>
+        <admst:when test="[scalingunit='u']">
+          <admst:return name="x" string="(%(value)*1.0e-6)"/>
+        </admst:when>
+        <admst:when test="[scalingunit='n']">
+          <admst:return name="x" string="(%(value)*1.0e-9)"/>
+        </admst:when>
+        <admst:when test="[scalingunit='A']">
+          <admst:return name="x" string="(%(value)*1.0e-10)"/>
+        </admst:when>
+        <admst:when test="[scalingunit='p']">
+          <admst:return name="x" string="(%(value)*1.0e-12)"/>
+        </admst:when>
+        <admst:when test="[scalingunit='f']">
+          <admst:return name="x" string="(%(value)*1.0e-15)"/>
+        </admst:when>
+        <admst:when test="[scalingunit='a']">
+          <admst:return name="x" string="(%(value)*1.0e-18)"/>
+        </admst:when>
+        <admst:otherwise>
+          <admst:fatal format="scaling unit not supported: %(scalingunit)\n"/>
+        </admst:otherwise>
+      </admst:choose>
+      <admst:for-each select="$globalanalogfunction/variable[input='yes']">
+        <admst:return name="dx.%(name)" string="0.0"/>
+      </admst:for-each>
+    </admst:when>
+    <admst:otherwise>
+      <admst:fatal format="%(datatypename): not handled inside expression\n"/>
+    </admst:otherwise>
+  </admst:choose>
+</admst:template>
+
+<admst:template match="af:print:derivate">
+  <admst:choose>
+    <admst:when test="adms[datatypename='callfunction']">
+      <admst:choose>
+        <admst:when test="function[name='\$strobe']">
+          <admst:variable name="outputfile" select="stdout"/>
+        </admst:when>
+      </admst:choose>
+      <admst:variable name="args" select=""/>
+      <admst:for-each select="function/arguments">
+        <admst:apply-templates select="." match="af:print:expression">
+          <admst:variable name="index" select="%(index(../arguments,.))"/>
+          <admst:variable name="args" select="$args,%(returned('x')/value)"/>
+        </admst:apply-templates>
+      </admst:for-each>
+      <admst:return name="x" string="fprintf($outputfile$args); fprintf($outputfile,"\\n");\n"/>
+    </admst:when>
+    <admst:when test="adms[datatypename='whileloop']">
+      <admst:apply-templates select="whileblock" match="af:print:expression">
+        <admst:variable name="whileblock" select="%(returned('x')/value)"/>
+      </admst:apply-templates>
+      <admst:apply-templates select="while" match="af:print:derivate">
+        <admst:variable name="while" select="%(returned('x')/value)"/>
+      </admst:apply-templates>
+      <admst:return name="x" string="while($whileblock)\n$while"/>
+    </admst:when>
+    <admst:when test="adms[datatypename='conditional']">
+      <admst:apply-templates select="if" match="af:print:expression">
+        <admst:variable name="if" select="%(returned('x')/value)"/>
+      </admst:apply-templates>
+      <admst:apply-templates select="then" match="af:print:derivate">
+        <admst:variable name="then" select="%(returned('x')/value)"/>
+        </admst:apply-templates>
+      <admst:if test="else">
+        <admst:apply-templates select="else" match="af:print:derivate">
+          <admst:variable name="then" select="$(then)else\n%(returned('x')/value)"/>
+        </admst:apply-templates>
+      </admst:if>
+      <admst:return name="x" string="if($if)\n$then"/>
+    </admst:when>
+    <admst:when test="adms[datatypename='case']">
+      <admst:apply-templates select="case" match="af:print:expression">
+        <admst:variable name="case" select="switch ((int)%(returned('x')/value)) {\n"/>
+      </admst:apply-templates>
+      <admst:for-each select="caseitem">
+        <admst:variable name="condition" select=""/>
+        <admst:for-each select="condition">
+          <admst:variable name="condition" select="$condition case %(.):"/>
+        </admst:for-each>
+        <admst:variable name="case" select="$case $condition"/>
+        <admst:if test="[defaultcase='yes']">
+          <admst:variable name="case" select="$case default:"/>
+        </admst:if>
+        <admst:variable name="case" select="$case \n"/>
+        <admst:apply-templates select="code" match="af:print:derivate">
+          <admst:variable name="case" select="$case%(returned('x')/value) break;\n"/>
+        </admst:apply-templates>
+      </admst:for-each>
+      <admst:return name="x" string="$case }"/>
+    </admst:when>
+    <admst:when test="adms[datatypename='contribution']">
+      <admst:fatal format="contribution not allowed inside analog functions\n"/>
+    </admst:when>
+    <admst:when test="adms[datatypename='assignment']">
+      <admst:variable name="lhs" select="%(lhs/name)"/>
+      <admst:apply-templates select="rhs" match="af:print:expression">
+        <admst:variable name="rhs" select=""/>
+        <admst:for-each select="$globalanalogfunction/variable[input='yes']">
+          <admst:variable name="rhs" select="$rhs$(lhs)_%(name)=%(returned('dx.%(name)')/value);\n"/>
+        </admst:for-each>
+        <admst:variable name="rhs" select="$rhs$lhs=%(returned('x')/value);\n"/>
+      </admst:apply-templates>
+      <admst:return name="x" string="{$rhs}\n"/>
+    </admst:when>
+    <admst:when test="adms[datatypename='nilled']">
+      <admst:return name="x" string=";"/>
+    </admst:when>
+    <admst:when test="adms[datatypename='block']">
+      <admst:variable name="block" select=""/>
+      <admst:for-each select="item">
+        <admst:apply-templates select="." match="af:print:derivate">
+          <admst:variable name="block" select="$block%(returned('x')/value)"/>
+        </admst:apply-templates>
+      </admst:for-each>
+      <admst:return name="x" string="{$block}"/>
+    </admst:when>
+    <admst:otherwise>
+      <admst:fatal format="%(datatypename): not handled inside blocks\n"/>
+    </admst:otherwise>
+  </admst:choose>
+</admst:template>
+
+<admst:template match="af:print">
+  <admst:choose>
+    <admst:when test="adms[datatypename='callfunction']">
+      <admst:choose>
+        <admst:when test="function[name='\$strobe']">
+          <admst:variable name="outputfile" select="stdout"/>
+        </admst:when>
+      </admst:choose>
+      <admst:variable name="args" select=""/>
+      <admst:for-each select="function/arguments">
+        <admst:apply-templates select="." match="af:print:expression">
+          <admst:variable name="index" select="%(index(../arguments,.))"/>
+          <admst:variable name="args" select="$args,%(returned('x')/value)"/>
+        </admst:apply-templates>
+      </admst:for-each>
+      <admst:return name="x" string="fprintf($outputfile$args); fprintf($outputfile,"\\n");\n"/>
+    </admst:when>
+    <admst:when test="adms[datatypename='whileloop']">
+      <admst:apply-templates select="whileblock" match="af:print:expression">
+        <admst:variable name="whileblock" select="%(returned('x')/value)"/>
+      </admst:apply-templates>
+      <admst:apply-templates select="while" match="af:print">
+        <admst:variable name="while" select="%(returned('x')/value)"/>
+      </admst:apply-templates>
+      <admst:return name="x" string="while($whileblock)\n$while"/>
+    </admst:when>
+    <admst:when test="adms[datatypename='conditional']">
+      <admst:apply-templates select="if" match="af:print:expression">
+        <admst:variable name="if" select="%(returned('x')/value)"/>
+      </admst:apply-templates>
+      <admst:apply-templates select="then" match="af:print">
+        <admst:variable name="then" select="%(returned('x')/value)"/>
+        </admst:apply-templates>
+      <admst:if test="else">
+        <admst:apply-templates select="else" match="af:print">
+          <admst:variable name="then" select="$(then)else\n%(returned('x')/value)"/>
+        </admst:apply-templates>
+      </admst:if>
+      <admst:return name="x" string="if($if)\n$then"/>
+    </admst:when>
+    <admst:when test="adms[datatypename='case']">
+      <admst:apply-templates select="case" match="af:print:expression">
+        <admst:variable name="case" select="switch ((int)%(returned('x')/value)) {\n"/>
+      </admst:apply-templates>
+      <admst:for-each select="caseitem">
+        <admst:variable name="condition" select=""/>
+        <admst:for-each select="condition">
+          <admst:variable name="condition" select="$condition case %(.):"/>
+        </admst:for-each>
+        <admst:variable name="case" select="$case $condition"/>
+        <admst:if test="[defaultcase='yes']">
+          <admst:variable name="case" select="$case default:"/>
+        </admst:if>
+        <admst:variable name="case" select="$case \n"/>
+        <admst:apply-templates select="code" match="af:print">
+          <admst:variable name="case" select="$case%(returned('x')/value) break;\n"/>
+        </admst:apply-templates>
+      </admst:for-each>
+      <admst:return name="x" string="$case }"/>
+    </admst:when>
+    <admst:when test="adms[datatypename='contribution']">
+      <admst:fatal format="contribution not allowed inside analog functions\n"/>
+    </admst:when>
+    <admst:when test="adms[datatypename='assignment']">
+      <admst:apply-templates select="rhs" match="af:print:expression">
+        <admst:return name="x" string="%(../lhs/name)=%(returned('x')/value);\n"/>
+      </admst:apply-templates>
+    </admst:when>
+    <admst:when test="adms[datatypename='nilled']">
+      <admst:return name="x" string=";"/>
+    </admst:when>
+    <admst:when test="adms[datatypename='block']">
+      <admst:variable name="block" select=""/>
+      <admst:for-each select="item">
+        <admst:apply-templates select="." match="af:print">
+          <admst:variable name="block" select="$block%(returned('x')/value)"/>
+        </admst:apply-templates>
+      </admst:for-each>
+      <admst:return name="x" string="{$block}"/>
+    </admst:when>
+    <admst:otherwise>
+      <admst:fatal format="%(datatypename): not handled inside blocks\n"/>
+    </admst:otherwise>
+  </admst:choose>
+</admst:template>
+
+<admst:template match="analogfunctionH">
+<admst:for-each select="/module/analogfunction">
+  <admst:variable name="function" select="%(name)"/>
+<admst:apply-templates select="." match="v2c:converttype"/> $(module)_$function (<admst:join select="variable[input='yes']" separator=", ">
+    <admst:apply-templates select="." match="v2c:converttype"/><admst:text format=" %(name)"/>
+  </admst:join>);
+
+<admst:apply-templates select="." match="v2c:converttype"/> $(module)_d_$(function) (<admst:join select="variable[input='yes']" separator=", ">
+    <admst:apply-templates select="." match="v2c:converttype"/><admst:text format=" %(name)"/>
+  </admst:join>, <admst:join select="variable[input='yes']" separator=", ">
+    <admst:apply-templates select="." match="v2c:converttype"/><admst:text format=" d_%(name)"/>
+  </admst:join>);
+
+</admst:for-each>
+</admst:template>
+
+<admst:template match="analogfunctionC">
+<admst:for-each select="/module/analogfunction">
+  <admst:variable name="globalanalogfunction" select="%(.)"/>
+  <admst:variable name="function" select="%(name)"/>
+<admst:apply-templates select="." match="v2c:converttype"/> $(module)_$function (<admst:join select="variable[input='yes']" separator=", ">
+    <admst:apply-templates select="." match="v2c:converttype"/><admst:text format=" %(name)"/>
+  </admst:join>)
+{
+<admst:text format="double $function; "/>
+  <admst:for-each select="variable[input='no' and output='no']">
+    <admst:apply-templates select="." match="v2c:converttype"/><admst:text format=" %(name);"/>
+  </admst:for-each>
+  <admst:apply-templates select="tree" match="af:print">
+    <admst:text format="%(returned('x')/value)"/>
+  </admst:apply-templates>
+  return $function;
+}
+double $(module)_d_$(function) (<admst:join select="variable[input='yes']" separator=", ">
+    
+    <admst:apply-templates select="." match="v2c:converttype"/><admst:text format=" %(name)"/>
+  </admst:join>, <admst:join select="variable[input='yes']" separator=", ">
+    <admst:apply-templates select="." match="v2c:converttype"/><admst:text format=" d_%(name)"/>
+  </admst:join>)
+{
+<admst:text format="double $function"/>
+  <admst:for-each select="$globalanalogfunction/variable[input='yes']">
+    <admst:variable name="ddx" select="%(name)"/>
+    <admst:text format="; double $(function)_$(ddx)"/>
+  </admst:for-each>
+  <admst:for-each select="variable[input='no' and output='no']">
+    <admst:variable name="name" select="%(name)"/>
+    <admst:text format="; "/><admst:apply-templates select="." match="v2c:converttype"/><admst:text format=" $(name)"/>
+    <admst:for-each select="$globalanalogfunction/variable[input='yes']">
+      <admst:variable name="ddx" select="%(name)"/>
+      <admst:text format="; "/><admst:apply-templates select="." match="v2c:converttype"/><admst:text format=" $(name)_$(ddx)"/>
+    </admst:for-each>
+  </admst:for-each>;
+  <admst:apply-templates select="tree" match="af:print:derivate">
+    <admst:text format="%(returned('x')/value)"/>
+  </admst:apply-templates>
+  return <admst:join select="$globalanalogfunction/variable[input='yes']" separator="+">
+    <admst:text format="$(function)_%(name)*d_%(name)"/>
+  </admst:join>;
+}
+
+</admst:for-each>
+#ifdef STAND_ALONE
+int
+main(void)
+{
+<admst:for-each select="/module/analogfunction">
+
+
+<admst:variable name="myfunction" select="triangle"/>
+<admst:variable name="function" select="%(name)"/>
+  double my$function, myd_$function, <admst:join select="variable[input='yes']" separator=", ">
+    
+    <admst:text format="my$function%(name)=0.0"/>
+  </admst:join>;
+  my$function=$(module)_$function(<admst:join select="variable[input='yes']" separator=", ">
+    
+    <admst:text format="my$function%(name)"/>
+  </admst:join>);
+  myd_$function=$(module)_d_$function(<admst:join select="variable[input='yes']" separator=", ">
+    
+    <admst:text format="my$function%(name)"/>
+  </admst:join>,<admst:join select="variable[input='yes']" separator=", ">
+    <admst:text format="1.0"/>
+  </admst:join>);
+  printf("my$function=%%e\\n",my$function);
+  printf("myd_$function=%%e\\n",myd_$function);</admst:for-each>
+  printf("(1.0,1.0) norm0=%%e dnorm0=%%e [expected: 1.0]\\n",          $(module)_norm(1.0,1.0,0.0), $(module)_d_norm(1.0,1.0,0.0,1.0,1.0,1.0));
+  printf("(1.0,1.0) norm1=%%e dnorm1=%%e [expected: 2.0]\\n",          $(module)_norm(1.0,1.0,1.0), $(module)_d_norm(1.0,1.0,1.0,1.0,1.0,1.0));
+  printf("(1.0,1.0) norm2=%%e dnorm2=%%e [expected: sqrt(2.0)=%%e]\\n",$(module)_norm(1.0,1.0,2.0), $(module)_d_norm(1.0,1.0,2.0,1.0,1.0,1.0),sqrt(2));
+  double x,xnb=500.0,xmin=0.0,xmax=10.0,xstep=(xmax-xmin)/xnb;
+  FILE*y=fopen("y.data","w");
+  FILE*dy=fopen("dy.data","w");
+  for(x=xmin;x<=xmax;x+=xstep)
+  {
+    fprintf(y,"%%.2f %%.2f\\n",x,$(module)_$myfunction(x));
+    fprintf(dy,"%%.2f %%.2f\\n",x,$(module)_d_$myfunction(x,1.0));
+  }
+  fclose(y);
+  fclose(dy);
+  return 0;
+}
+#endif /* STAND_ALONE */
+
+</admst:template>
+
+<admst:variable name="globalanalogfunction"/>
+<admst:open file="analogfunction.h">/*
+ * analogfunction.h - analog function header
+ *
+ * This is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2, or (at your option)
+ * any later version.
+ * 
+ */
+
+#ifdef __cplusplus
+extern "C"
+{
+#endif /*__cplusplus*/
+
+#ifndef __$(module)_ANALOGFUNCTION_H__
+#define __$(module)_ANALOGFUNCTION_H__
+
+<admst:apply-templates select="/module" match="analogfunctionH"/>
+#endif /* __$(module)_ANALOGFUNCTION_H__ */
+#ifdef __cplusplus
+}
+#endif /*__cplusplus*/
+
+</admst:open>
+<admst:message format="analogfunction.h created\n"/>
+<admst:open file="analogfunction.cpp">/*
+ * analogfunction.cpp - analog function implementations
+ *
+ * This is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2, or (at your option)
+ * any later version.
+ * 
+ */
+
+
+#include <stdio.h>
+#include "analogfunction.h"
+#include <math.h>
+
+#ifdef __cplusplus
+extern "C"
+{
+#endif /*__cplusplus*/
+  <admst:apply-templates select="." match="c:math_h"/>
+  <admst:apply-templates select="/module" match="analogfunctionC">
+  </admst:apply-templates>
+#ifdef __cplusplus
+}
+#endif /*__cplusplus*/
+
+</admst:open>
+<admst:message format="analogfunction.cpp created\n"/>
+</admst>
diff --git a/scripts/arobavariable.xml b/scripts/arobavariable.xml
new file mode 100644
index 0000000..9b24022
--- /dev/null
+++ b/scripts/arobavariable.xml
@@ -0,0 +1,52 @@
+<?xml version="1.0" encoding="ISO-8859-1"?>
+<!DOCTYPE admst PUBLIC "-//adms//DTD admst 2.0//-" "http://mot-adms.sourceforge.net/xml-files/adms/admst.dtd">
+<!--
+  Usage: admsXml -e arobavariable.xml
+  Description: create file arobavariable.va, then test implementation of @... construct
+-->
+<!--
+  $Id: getinstance.xml 505 2007-01-23 11:17:43Z r29173 $
+  $Log$
+-->
+
+<admst version="2.3.0"  xmlns:admst="http://mot-adms.svn.sourceforge.net/viewvc/*checkout*/mot-adms/trunk/adms/admst.dtd">
+  <!-- create file arobavariable.va -->
+  <admst:variable name="module1" select="arobavariable"/>
+  <admst:open file="$(module1).va">
+`include "discipline.h"
+module $(module1)(p,n);
+  inout p, n;
+  electrical p, n;
+  parameter real A=1.0;
+  parameter real B=1.0;
+  real a,b;
+  analog
+  begin
+    begin : A
+      real a,b;
+      a=1;
+      b=1;
+    end
+    begin : B
+      a=1;
+      b=1;
+    end
+  end
+endmodule
+  </admst:open>
+  <admst:message format="$(module1).va: file created\n"/>
+  <admst:read file="$(module1).va"/>
+  <admst:apply-templates select="module[name='$(module1)']" match="adms.implicit.xml.module"/>
+  <!-- test @... construct -->
+  <admst:template match="iterate">
+    <admst:push into="@(%(upper-case(module)))" select="module"/>
+    <admst:push into="@scope" select="block/name"/>
+    <admst:push into="@itself" select="."/>
+    <admst:text format="set %(@(%(upper-case(module)))).%(@scope).%(@itself)\n"/>
+  </admst:template>
+  <admst:for-each select="/module/assignment">
+    <admst:apply-templates select="lhs" match="iterate"/>
+  </admst:for-each>
+  <admst:text select="/module/assignment/lhs" format="check %(@AROBAVARIABLE/datatypename).%(@scope).%(@itself)\n"/>
+</admst>
+
diff --git a/scripts/attributes.xml b/scripts/attributes.xml
new file mode 100644
index 0000000..e1d86c0
--- /dev/null
+++ b/scripts/attributes.xml
@@ -0,0 +1,68 @@
+<?xml version="1.0" encoding="ISO-8859-1"?>
+<!DOCTYPE admst PUBLIC "-//adms//DTD admst 2.0//-" "http://mot-adms.sourceforge.net/xml-files/adms/admst.dtd">
+
+<!--
+  Usage: admsXml -e attributes.xml
+    This example gives some hints on how to use Verilog-AMS attributes.
+-->
+<!--
+  $Id: attributes.xml 1102 2008-10-03 14:09:22Z r29173 $
+  $Log$
+  Revision 1.1  2006/03/28 13:22:34  r29173
+  initial import
+
+  Revision 1.4  2006/03/23 07:51:39  r29173
+  fixed bug in computation of ddx
+
+-->
+
+<admst version="2.3.0"  xmlns:admst="http://mot-adms.svn.sourceforge.net/viewvc/*checkout*/mot-adms/trunk/adms/admst.dtd">
+  <admst:template match=":attribute">
+    <admst:for-each select="attribute">
+      <admst:text format="attr: %(name)=%(value)\n"/>
+    </admst:for-each> 
+    <admst:text format="==============================================\n"/>
+  </admst:template>
+<admst:open file="attributes.va">
+    `include "disciplines.h"
+    (* info_before="module info before" *) module mymodule (p,n) (* info_after="module info after" *) ;
+      (*globalterminal="my terminals"*) inout p, n;
+      electrical p(*localterm="my p term"*), n(*localterm="my n term"*);
+      (*globalbranch="my branches"*)branch (p,n) pn;
+      (*globalwidth="width applies to all parameters"*) parameter real w1=1u (*localwidth="local attribute of width1"*), w2=1u (*localwidth="local attribute of width2"*);
+      (*globallength="length applies to all parameters"*) parameter real l1=1u (*locallength="local attribute of length1"*), l2=1u (*locallength="local attribute of length2"*);
+      (*global="my conductances"*) real g1 (*local="this is g1"*),g2 (*local="this is g2"*),g3 (*local="this is g3"*),g4 (*local="this is g4"*);
+      analog
+      begin
+        g1=w1/l1;
+        g2=w2/l2;
+        I(p,n)<+g1*V(p,n) (*contrib_attr1="hello1" contrib_attr2="hello2"*);
+        I(p,n)<+g2*V(p,n) (*contrib1="hello1bis" contrib2="hello2bis" contrib3="hello3" contrib4="hello4"*);
+      end
+    endmodule
+</admst:open>
+<admst:read file="attributes.va"/>
+  <admst:for-each select="/module">
+    <admst:apply-templates select="." match=":attribute"/>
+    <admst:text format="branch\n"/>
+    <admst:for-each select="branch">
+      <admst:apply-templates select="." match=":attribute"/>
+    </admst:for-each> 
+    <admst:text format="node\n"/>
+    <admst:for-each select="node">
+      <admst:apply-templates select="." match=":attribute"/>
+    </admst:for-each> 
+    <admst:text format="variable\n"/>
+    <admst:for-each select="variable">
+      <admst:apply-templates select="." match=":attribute"/>
+    </admst:for-each> 
+    <admst:text format="contribution\n"/>
+    <admst:for-each select="contribution">
+      <admst:apply-templates select="." match=":attribute"/>
+    </admst:for-each> 
+    <admst:text format="source\n"/>
+    <admst:for-each select="source">
+      <admst:apply-templates select="." match=":attribute"/>
+    </admst:for-each> 
+  </admst:for-each> 
+</admst>
diff --git a/scripts/blockvariables.xml b/scripts/blockvariables.xml
new file mode 100644
index 0000000..f24e4ee
--- /dev/null
+++ b/scripts/blockvariables.xml
@@ -0,0 +1,81 @@
+<?xml version="1.0" encoding="ISO-8859-1"?>
+<!--
+  Usage: admsXml -e blockvariables.xml
+  This file illustrates how block variables can be access
+  from within the adms data tree.
+-->
+<!--
+  $Id: blockvariables.xml 1102 2008-10-03 14:09:22Z r29173 $
+  $Log$
+  Revision 1.3  2006/09/04 08:51:05  r29173
+  tons of simplifications
+
+  Revision 1.2  2006/03/24 16:49:04  r29173
+  updated to adms-2.2.2
+
+  Revision 1.1  2006/03/24 16:36:27  r29173
+  initial import
+
+-->
+
+<!DOCTYPE admst SYSTEM "admst.dtd">
+<admst version="2.3.0"  xmlns:admst="http://mot-adms.svn.sourceforge.net/viewvc/*checkout*/mot-adms/trunk/adms/admst.dtd">
+
+<admst:template match=":block">
+  <admst:choose>
+    <admst:when test="adms[datatypename='assignment']">
+      <admst:text format="[%(lhs/block/name).%(lhs/name)]"/>
+    </admst:when>
+    <admst:when test="adms[datatypename='block']">
+      <admst:text format="\nblock:%(name) "/>
+      <admst:for-each select="variable">
+        <admst:text format="%(block/name).%(name)("/>
+        <admst:for-each select="probe">%(branch/pnode/name),%(branch/nnode/name)</admst:for-each>
+        <admst:text format=") "/>
+      </admst:for-each>
+      <admst:text format="\n{"/>
+      <admst:apply-templates select="item" match=":block"/>
+      <admst:text format="}\n"/>
+    </admst:when>
+  </admst:choose>
+</admst:template>
+<admst:open file="blockvariables.va">
+    `include "disciplines.h"
+    module myblockvariable (p,n);
+      inout p, n;
+      electrical p, n;
+      parameter real w=1u;
+      parameter real l=1u;
+      parameter integer level=0;
+      real a1;
+      analog
+        begin : main
+          begin : A
+            real a1, a2, a3;
+            real b1, b2, b3;
+            a1=V(p,n); //A.a1
+          end
+          a1=1; //myblockvariable.a1
+          begin : B
+            real a1, a2, a3, a4;
+            real b1, b2, b3;
+            b1=1; //B.b1
+            begin : C
+              real a1, a2, a3;
+              real b1, b2, b3;
+              a2=V(p,n); //C.a2
+            end
+            a2=V(p,n); //B.a2
+          end
+          a1=w/l; //myblockvariable.a1
+          I(p,n) <+ a1*V(p,n);
+        end
+    endmodule
+</admst:open>
+<admst:message format="blockvariables.va: file created\n"/>
+<admst:read file="blockvariables.va"/>
+<admst:for-each select="/module">
+  <admst:apply-templates select="analog/code" match=":block"/>
+</admst:for-each> 
+</admst>
+
diff --git a/scripts/croixvariable.xml b/scripts/croixvariable.xml
new file mode 100644
index 0000000..47f4bf0
--- /dev/null
+++ b/scripts/croixvariable.xml
@@ -0,0 +1,49 @@
+<?xml version="1.0" encoding="ISO-8859-1"?>
+<!DOCTYPE admst PUBLIC "-//adms//DTD admst 2.0//-" "http://mot-adms.sourceforge.net/xml-files/adms/admst.dtd">
+<!--
+  Usage: admsXml -e croixvariable.xml
+  Description: create file croixvariable.va, then test implementation of #... construct
+-->
+<!--
+  $Id: getinstance.xml 505 2007-01-23 11:17:43Z r29173 $
+  $Log$
+-->
+
+<admst version="2.3.0"  xmlns:admst="http://mot-adms.svn.sourceforge.net/viewvc/*checkout*/mot-adms/trunk/adms/admst.dtd">
+  <!-- create file croixvariable.va -->
+  <admst:variable name="module1" select="croixvariable"/>
+  <admst:open file="$(module1).va">
+`include "discipline.h"
+module $(module1)(p,n);
+  inout p, n;
+  electrical p, n;
+  parameter real A=1.0;
+  parameter real B=1.0;
+  real a,b;
+  analog
+  begin
+    begin : Loc
+      real a,b;
+      (*eq="Eq.1"*) a=1;
+      (*eq="Eq.2"*) b=1;
+    end
+    begin : Glob
+      (*eq="Eq.1"*) a=1;
+      (*eq="Eq.2"*) b=1;
+    end
+  end
+endmodule
+  </admst:open>
+  <admst:message format="$(module1).va: file created\n"/>
+  <admst:read file="$(module1).va"/>
+  <admst:apply-templates select="module[name='$(module1)']" match="adms.implicit.xml.module"/>
+  <!-- test #... construct -->
+  <admst:template match="iterate">
+    <admst:value-to select="#insource" path="../attribute[name='eq']/value"/>
+    <admst:text format="set %(.)#insource=%(#insource)\n"/>
+  </admst:template>
+  <admst:apply-templates select="/module/reverse(assignment)/lhs" match="iterate"/>
+  <admst:text select="/module/reverse(assignment)/lhs" format="check %(block/name):%(.)#insource=%(#insource)\n"/>
+  <admst:value-to select="/#a" path="module/variable"/>
+  <admst:text format="-- %(/#a/datatypename)\n"/>
+</admst>
diff --git a/scripts/getargv.xml b/scripts/getargv.xml
new file mode 100644
index 0000000..519c55f
--- /dev/null
+++ b/scripts/getargv.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0" encoding="ISO-8859-1"?>
+<!DOCTYPE admst PUBLIC "-//adms//DTD admst 2.0//-" "http://mot-adms.sourceforge.net/xml-files/adms/admst.dtd">
+<admst version="2.3.0" xmlns:admst="http://mot-adms.svn.sourceforge.net/viewvc/*checkout*/mot-adms/trunk/adms/admst.dtd">
+
+  <admst:text format="argc=%(argc)\n"/>
+  <admst:text format="argv="/>
+  <admst:join select="/argv" separator="| ">
+    <admst:text format="%(.)"/>
+  </admst:join>
+  <admst:text format="\n"/>
+
+</admst>
diff --git a/scripts/getenv.xml b/scripts/getenv.xml
new file mode 100644
index 0000000..4455102
--- /dev/null
+++ b/scripts/getenv.xml
@@ -0,0 +1,11 @@
+<admst version="2.3.0"  xmlns:admst="http://mot-adms.svn.sourceforge.net/viewvc/*checkout*/mot-adms/trunk/adms/admst.dtd">
+  <admst:getenv name="HOME"/>
+  <admst:text format="HOME is %s\n"/>
+  <admst:setenv name="H" value="home1"/>
+  <admst:getenv name="H"/>
+  <admst:text format="H is %s\n"/>
+  <admst:setenv name="H" string="home2"/>
+  <admst:getenv name="H"/>
+  <admst:text format="H is %s\n"/>
+  <admst:text format="time is %(/simulator/currentdate)\n"/>
+</admst>
diff --git a/scripts/getinstance.xml b/scripts/getinstance.xml
new file mode 100644
index 0000000..267abc2
--- /dev/null
+++ b/scripts/getinstance.xml
@@ -0,0 +1,73 @@
+<?xml version="1.0" encoding="ISO-8859-1"?>
+<!DOCTYPE admst PUBLIC "-//adms//DTD admst 2.0//-" "http://mot-adms.sourceforge.net/xml-files/adms/admst.dtd">
+<!--
+  Usage: admsXml -e getinstance.xml
+  This file prints all instances defined in file <vafile>.
+  Note: will work with adms-2.2.2 only - previous versions had bugs :-( 
+-->
+<!--
+  $Id: getinstance.xml 1102 2008-10-03 14:09:22Z r29173 $
+  $Log$
+  Revision 1.7  2006/03/23 13:46:44  r29173
+  cosmetic changes
+
+  Revision 1.4  2006/03/23 07:51:39  r29173
+  fixed bug in computation of ddx
+-->
+
+<admst version="2.3.0"  xmlns:admst="http://mot-adms.svn.sourceforge.net/viewvc/*checkout*/mot-adms/trunk/adms/admst.dtd">
+  <admst:template match=":instance">
+    <admst:text format="  %(instantiator/name).%(module/name) #("/>
+    <admst:join select="parameterset" separator=", ">
+      <admst:text format=".%(parameter)(value)"/>
+    </admst:join>
+    <admst:text format=") %(name) ("/>
+    <admst:join select="terminal" separator=", ">
+      <admst:text format="%(nodefrominstantiator/name)"/>
+    </admst:join>
+    <admst:text format=");\n"/>
+  </admst:template>
+  <admst:open file="getinstance.va">
+    `include "discipline.h"
+    module c(ct1,ct2);
+      inout      ct1, ct2;
+      electrical ct1, ct2;
+      parameter real cP1 = 10;
+      parameter real cP2 = 10;
+      analog
+        begin
+          I(ct1,ct2)<+V(ct1,ct2)/(cP1+cP2);
+        end
+    endmodule
+    module b(bt1,bt2);
+      inout      bt1, bt2;
+      electrical bt1, bt2;
+      parameter real bP1 = 10;
+      parameter real bP2 = 10;
+      analog
+        begin
+          I(bt1,bt2)<+V(bt1,bt2)/bP1;
+        end
+        c #(.cP1(bP2),.cP2(bP1)) C1(bt1,bt2);
+    endmodule
+    module a(at1, at2);
+      parameter real aP=1.0;
+      inout      at1, at2;
+      electrical at1, at2;
+      electrical topin;
+      analog
+        begin
+          I(at1,at2)<+V(at1,at2)/aP;
+        end
+        b #(.bP1(aP),.bP2(1/aP)) B1(at1,at2);
+        b #(.bP2(aP)) B2(at2,at1);
+    endmodule
+  </admst:open>
+  <admst:read file="getinstance.va"/>
+  <admst:message format="getinstance.va: file created\n"/>
+  <admst:for-each select="/module">
+    <admst:text format="Module: %(name)\n"/>
+    <admst:apply-templates select="instance" match=":instance" required="yes"/>
+    <admst:text format="\n"/>
+  </admst:for-each>
+</admst>
diff --git a/scripts/gettransform.xml b/scripts/gettransform.xml
new file mode 100644
index 0000000..4b2984f
--- /dev/null
+++ b/scripts/gettransform.xml
@@ -0,0 +1,14 @@
+<?xml version="1.0" encoding="ISO-8859-1"?>
+<!DOCTYPE admst PUBLIC "-//adms//DTD admst 2.0//-" "http://mot-adms.sourceforge.net/xml-files/adms/admst.dtd">
+
+<admst version="2.3.0"  xmlns:admst="http://mot-adms.svn.sourceforge.net/viewvc/*checkout*/mot-adms/trunk/adms/admst.dtd">
+  <admst:template match=":transform">
+    %(.)
+  </admst:template>
+  <admst:for-each select="/transform">
+    <admst:apply-templates select="." match=":transform"/>
+  </admst:for-each>
+  <admst:for-each select="/template">
+    <admst:apply-templates select="." match=":transform"/>
+  </admst:for-each>
+</admst>
diff --git a/scripts/sort.xml b/scripts/sort.xml
new file mode 100644
index 0000000..ac9f81e
--- /dev/null
+++ b/scripts/sort.xml
@@ -0,0 +1,137 @@
+<?xml version="1.0" encoding="ISO-8859-1"?>
+<!DOCTYPE admst PUBLIC "-//adms//DTD admst 2.0//-" "http://mot-adms.sourceforge.net/xml-files/adms/admst.dtd">
+<!--
+  Usage: admsXml -e sort.xml
+  Description: create file sort.va, then gives different usages of sort() and reverse()
+-->
+<!--
+  $Id: getinstance.xml 505 2007-01-23 11:17:43Z r29173 $
+  $Log$
+  Revision 1.7  2006/03/23 13:46:44  r29173
+  cosmetic changes
+
+  Revision 1.4  2006/03/23 07:51:39  r29173
+  fixed bug in computation of ddx
+-->
+
+<admst version="2.3.0" xmlns:admst="http://mot-adms.svn.sourceforge.net/viewvc/*checkout*/mot-adms/trunk/adms/admst.dtd">
+  <!-- create file sort.va -->
+  <admst:variable name="module1" select="index"/>
+  <admst:open file="$(module1).va">
+`include "discipline.h"
+module $(module1)(p,n);
+  inout p, n;
+  electrical p, n;
+  parameter real B=1.0;
+  parameter real A=1.0;
+  real b,a;
+  analog a=1;
+endmodule
+  </admst:open>
+  <admst:message format="$(module1).va: file created\n"/>
+  <admst:read file="$(module1).va"/>
+  <admst:apply-templates select="module[name='$(module1)']" match="adms.implicit.xml.module"/>
+  <!-- test sort() and reverse() -->
+  <admst:for-each select="/module">
+  01 ** foreach(variable) text................................ at returns (B,A,b,a)
+    <admst:text format=" "/>
+    <admst:for-each select="variable"><admst:text format="%(.),"/></admst:for-each>
+    <admst:text format=" "/>
+    <admst:for-each select="variable"><admst:text format="%(position(.)).%(index(../variable,.)) "/></admst:for-each>
+  02 ** foreach.sort(variable) text........................... at returns (A,B,a,b)
+    <admst:text format=" "/>
+    <admst:for-each select="sort(variable)"><admst:text format="%(.),"/></admst:for-each>
+    <admst:text format=" "/>
+    <admst:for-each select="sort(variable)"><admst:text format="%(position(.)).%(index(../variable,.)) "/></admst:for-each>
+  03 ** \$p1=variable text(\$p1)................................ at returns (B,A,b,a)
+    <admst:variable name="p1" path="variable"/>
+    <admst:text format=" "/>
+    <admst:text select="$p1" format="%(.),"/>
+    <admst:text format=" "/>
+    <admst:text select="$p1" format="%(position(.)).%(index($p1,.)) "/>
+  04 ** \$p1=sort(variable) text(\$p1).......................... at returns (A,B,a,b)
+    <admst:variable name="p1" path="sort(variable)"/>
+    <admst:text format=" "/>
+    <admst:text select="$p1" format="%(.),"/>
+    <admst:text format=" "/>
+    <admst:text select="$p1" format="%(position(.)).%(index($p1,.)) "/>
+  05 ** text(variable)........................................ at returns (B,A,b,a)
+    <admst:text format=" "/>
+    <admst:text select="variable" format="%(.),"/>
+    <admst:text format=" "/>
+    <admst:text select="variable" format="%(position(.)).%(index(../variable,.)) "/>
+  06 ** text(sort(variable)).................................. at returns (A,B,a,b)
+    <admst:text format=" "/>
+    <admst:text select="sort(variable)" format="%(.),"/>
+    <admst:text format=" "/>
+    <admst:text select="sort(variable)" format="%(position(.)).%(index(../variable,.)) "/>
+  07 ** foreach(reverse(variable))............................ at returns (a,b,A,B)
+    <admst:text format=" "/>
+    <admst:for-each select="reverse(variable)"><admst:text format="%(.),"/></admst:for-each>
+    <admst:text format=" "/>
+    <admst:for-each select="reverse(variable)"><admst:text format="%(position(.)).%(index(../variable,.)) "/></admst:for-each>
+  08 ** \$p1=variable text(reverse(\$p1))....................... at returns (a,b,A,B)
+    <admst:variable name="p1" path="variable"/>
+    <admst:text format=" "/>
+    <admst:text select="reverse($p1)" format="%(.),"/>
+    <admst:text format=" "/>
+    <admst:text select="reverse($p1)" format="%(position(.)).%(index($p1,.)) "/>
+  09 ** \$p1=reverse(variable) text(\$p1)....................... at returns (a,b,A,B)
+    <admst:variable name="p1" path="reverse(variable)"/>
+    <admst:text format=" "/>
+    <admst:text select="$p1" format="%(.),"/>
+    <admst:text format=" "/>
+    <admst:text select="$p1" format="%(position(.)).%(index($p1,.)) "/>
+  10 ** reset(\$p1) push(\$p1,variable[input='no']) text(\$p1)... at returns (a,b)
+    <admst:variable name="p1"/>
+    <admst:push into="$p1" select="variable[input='no']"/>
+    <admst:text format=" "/>
+    <admst:text select="$p1" format="%(.),"/>
+    <admst:text format=" "/>
+    <admst:text select="$p1" format="%(position(.)).%(index($p1,.)) "/>
+  11 ** \$p2=reverse(sort(\$p1)) text(\$p2)...................... at returns (b,a)
+    <admst:variable name="p2" path="reverse(sort($p1))"/>
+    <admst:text format=" "/>
+    <admst:text select="$p2" format="%(.),"/>
+    <admst:text format=" "/>
+    <admst:text select="$p2" format="%(position(.)).%(index($p2,.)) "/>
+  12 ** push(\$p1,variable) text(\$p1).......................... at returns (a,b,A,B,a,b)
+    <admst:push into="$p1" select="variable"/>
+    <admst:text format=" "/>
+    <admst:text select="$p1" format="%(.),"/>
+    <admst:text format=" "/>
+    <admst:text select="$p1" format="%(position(.)).%(index($p1,.)) "/>
+  13 ** text(sort(reverse(\$p1))).............................. at returns (A,B,a,a,b,b)
+    <admst:text format=" "/>
+    <admst:text select="sort(reverse($p1))" format="%(.),"/>
+    <admst:text format=" "/>
+    <admst:text select="sort(reverse($p1))" format="%(position(.)).%(index($p1,.)) "/>
+  14 ** reset(\$p3) push(\$p3,\$p2) push(\$p3,\$p1) text(\$p1)...... at returns (b,a,B,A,b,a,a,b)
+    <admst:variable name="p3"/>
+    <admst:push into="$p3" select="$p2"/>
+    <admst:push into="$p3" select="$p1"/>
+    <admst:text format=" "/>
+    <admst:text select="$p3" format="%(.),"/>
+    <admst:text format=" "/>
+    <admst:text select="$p3" format="%(position(.)).%(index($p1,.)) "/>
+    <admst:text format="\n"/>
+  </admst:for-each>
+  <admst:open file="target">
+  01 ** foreach(variable) text................................ at returns (B,A,b,a) B,A,b,a, 1.0 2.1 3.2 4.3
+  02 ** foreach.sort(variable) text........................... at returns (A,B,a,b) A,B,a,b, 1.1 2.0 3.3 4.2
+  03 ** \$p1=variable text(\$p1)................................ at returns (B,A,b,a) B,A,b,a, 1.0 2.1 3.2 4.3
+  04 ** \$p1=sort(variable) text(\$p1).......................... at returns (A,B,a,b) A,B,a,b, 1.0 2.1 3.2 4.3
+  05 ** text(variable)........................................ at returns (B,A,b,a) B,A,b,a, 1.0 2.1 3.2 4.3
+  06 ** text(sort(variable)).................................. at returns (A,B,a,b) A,B,a,b, 1.1 2.0 3.3 4.2
+  07 ** foreach(reverse(variable))............................ at returns (a,b,A,B) a,b,A,B, 1.3 2.2 3.1 4.0
+  08 ** \$p1=variable text(reverse(\$p1))....................... at returns (a,b,A,B) a,b,A,B, 1.3 2.2 3.1 4.0
+  09 ** \$p1=reverse(variable) text(\$p1)....................... at returns (a,b,A,B) a,b,A,B, 1.0 2.1 3.2 4.3
+  10 ** reset(\$p1) push(\$p1,variable[input='no']) text(\$p1)... at returns (a,b) a,b, 1.0 2.1
+  11 ** \$p2=reverse(sort(\$p1)) text(\$p2)...................... at returns (b,a) b,a, 1.0 2.1
+  12 ** push(\$p1,variable) text(\$p1).......................... at returns (a,b,A,B,a,b) a,b,A,B,a,b, 1.0 2.1 3.2 4.3 5.0 6.1
+  13 ** text(sort(reverse(\$p1))).............................. at returns (A,B,a,a,b,b) A,B,a,a,b,b, 1.2 2.3 3.0 4.0 5.1 6.1
+  14 ** reset(\$p3) push(\$p3,\$p2) push(\$p3,\$p1) text(\$p1)...... at returns (b,a,B,A,b,a,a,b) b,a,B,A,b,a,a,b, 1.1 2.0 3.3 4.2 5.1 6.0 7.0 8.1
+  </admst:open>
+  <admst:message format="target: file created\n"/>
+</admst>
+
diff --git a/scripts/vlatovla.xml b/scripts/vlatovla.xml
new file mode 100644
index 0000000..a4c5796
--- /dev/null
+++ b/scripts/vlatovla.xml
@@ -0,0 +1,309 @@
+<?xml version="1.0" encoding="ISO-8859-1"?>
+<!-- This script is used to test the xml interpreter of adms.
+Please refer to file adms.xml to better understand how this xml script works.
+It is a good starting point/template to learn how to build xml scripts for adms.
+Running the following command 'admsXml <vafile> -e vlatovla.xml' will
+create a new verilog-ams file - say newvafile - equivalent to vafile (same behavioral model).
+If the command is again applied but on file newvafile then the output of the command
+should exactly match the contents of newvafile.
+In other words vlatovla * vlatovla = vlatovla.
+This property is used to test a new release of adms.
+Issues/Suggestions: r29173 AT users.sourceforge.net (Laurent Lemaitre)
+-->
+<!--
+  $Id: vlatovla.xml 1102 2008-10-03 14:09:22Z r29173 $
+  $Log$
+  Revision 1.20  2006/09/04 08:51:05  r29173
+  tons of simplifications
+
+  Revision 1.19  2006/04/05 08:38:31  r29173
+  added support to elements analogfunction and blockvariable
+
+  Revision 1.17  2006/03/21 14:39:16  r29173
+  cosmetic improvements
+
+  Revision 1.16  2006/03/15 10:24:27  r29173
+  improved 'number' template
+
+  Revision 1.15  2006/03/09 13:35:02  r29173
+  updated to adms-2.2.0
+
+  Revision 1.14  2005/11/25 14:15:25  r29173
+  changed admstpath parser mechanisms
+
+  Revision 1.13  2005/09/14 15:41:31  r29173
+  renamed admst:templates to admst:template (same for apply-template)
+
+  Revision 1.12  2005/04/01 14:16:25  r29173
+  migration to adms-2.x.x: updated version to 2.0.0
+
+  Revision 1.11  2005/04/01 08:33:22  r29173
+  migration to adms-2.x.x: removed predicate options
+
+  Revision 1.10  2005/03/31 18:12:02  r29173
+  migration to adms-2.x.x: converted to adms2 syntax
+
+  Revision 1.9  2005/03/11 09:04:53  r29173
+  migration to adms-2.x.x: admst:if requires attribute 'test'
+
+  Revision 1.8  2005/02/28 08:03:31  r29173
+  migration to adms-2.x.x: admst:version required
+
+  Revision 1.7  2005/02/25 14:36:52  r29173
+  migration to adms-2.x.x: fixed test vlatovla
+
+  Revision 1.6  2005/02/20 11:46:07  r29173
+  migration to adms-2.x.x: renamed device to module
+
+  Revision 1.5  2005/02/20 11:01:01  r29173
+  migration to adms-2.x.x: renamed devicenode to node
+
+  Revision 1.4  2005/02/01 09:06:48  r29173
+  added comment
+
+-->
+<!DOCTYPE admst PUBLIC "-//adms//DTD admst 2.0//-" "http://mot-adms.sourceforge.net/xml-files/adms/admst.dtd">
+<admst version="2.3.0"  xmlns:admst="http://mot-adms.svn.sourceforge.net/viewvc/*checkout*/mot-adms/trunk/adms/admst.dtd">
+<admst:open file="vlatovla.va">
+  <!-- handling of nature -->
+  <admst:for-each select="/nature">
+nature %(name)
+ access=%(access);
+ units="%(units)";
+ abstol=%(abstol);
+endnature
+  </admst:for-each>
+
+  <!-- handling of discipline -->
+  <admst:for-each select="/discipline">
+discipline %(name)
+ potential %(potential/name);
+ flow      %(flow/name);
+enddiscipline
+  </admst:for-each>
+
+  <!-- handling of device: starting point -->
+  <admst:for-each select="/module">
+module %(name) <admst:if test="node[location='external']">
+  (<admst:join select="node[location='external']" separator=", ">%(name)</admst:join>)
+    </admst:if>
+    <admst:if test="attribute">(*<admst:apply-templates select="attribute" match="doattribute"/>*)</admst:if>;
+    <!-- nodes -->
+    <admst:if test="node">
+      <admst:if test="node[location='external']">
+inout <admst:join select="node[location='external']" separator=", ">%(name)</admst:join>;
+      </admst:if>
+electrical <admst:join select="node[grounded='no']" separator=", ">%(name)
+        <admst:if test="attribute">(*<admst:apply-templates select="attribute" match="doattribute"/>*)</admst:if>
+      </admst:join>;\n
+    </admst:if>
+    <!-- variables -->
+    <admst:for-each select="variable">
+      <admst:text format="/*insource=%(insource) v=%(static).%(dynamic) v.m=%(static).%(dynamic) scope=%(scope)*/ "/>
+      <admst:if test="[input='yes']">parameter </admst:if> %(type) %(name)
+      <admst:if test="[input='yes']">=<admst:apply-templates select="default" match="doexpression"/></admst:if>
+      <admst:if test="attribute">(* <admst:for-each select="attribute">%(name)="%(value)" </admst:for-each>*)</admst:if>;\n
+    </admst:for-each>
+    <admst:for-each select="analogfunction">
+      <admst:variable name="name" path="name"/>
+      <admst:text format="analog function %(type) $name;\n"/>
+      <admst:for-each select="variable[name!='$name']">
+        <admst:choose>
+          <admst:when test="[input='yes' and output='yes']">
+            <admst:text format="inout %(name);\n"/>
+          </admst:when>
+          <admst:when test="[input='yes']">
+            <admst:text format="input %(name);\n"/>
+          </admst:when>
+          <admst:when test="[output='yes']">
+            <admst:text format="output %(name);\n"/>
+          </admst:when>
+        </admst:choose>
+        <admst:text format="%(type) %(name);\n"/>
+      </admst:for-each>
+      <admst:apply-templates select="tree" match="do%(adms/datatypename)"/>
+      <admst:text format="endfunction\n"/>
+    </admst:for-each>
+    <admst:text format="analog\n"/>
+    <admst:apply-templates select="analog/code" match="do%(adms/datatypename)"/>
+    <admst:text format="endmodule\n"/>
+  </admst:for-each>
+  <!-- handling of expression -->
+  <admst:template match="doexpression">
+    <admst:apply-templates select="tree" match="do%(adms/datatypename)" required="yes"/>
+  </admst:template>
+  <admst:template match="domapply_unary">
+    <admst:text format="("/>
+    <admst:if test="[name='plus']"> <admst:text format="+"/> </admst:if>
+    <admst:if test="[name='minus']"> <admst:text format="-"/> </admst:if>
+    <admst:if test="[name='not']"> <admst:text format="!"/> </admst:if>
+    <admst:if test="[name='bw_not']"> <admst:text format="~"/> </admst:if>
+    <admst:apply-templates select="arg1" match="do%(adms/datatypename)" required="yes"/>
+    <admst:text format=")"/>
+  </admst:template>
+  <admst:template match="domapply_binary">
+    <admst:text format="("/>
+    <admst:apply-templates select="arg1" match="do%(adms/datatypename)" required="yes"/>
+    <admst:if test="[name='bw_or']"> <admst:text format="|"/> </admst:if>
+    <admst:if test="[name='bw_and']"> <admst:text format="&"/> </admst:if>
+    <admst:if test="[name='addp']"> <admst:text format="+"/> </admst:if>
+    <admst:if test="[name='addm']"> <admst:text format="-"/> </admst:if>
+    <admst:if test="[name='multtime']"> <admst:text format="*"/> </admst:if>
+    <admst:if test="[name='multdiv']"> <admst:text format="/"/> </admst:if>
+    <admst:if test="[name='and']"> <admst:text format="&&"/> </admst:if>
+    <admst:if test="[name='equ']"> <admst:text format="=="/> </admst:if>
+    <admst:if test="[name='gt']"> <admst:text format=">"/> </admst:if>
+    <admst:if test="[name='gt_equ']"> <admst:text format=">="/> </admst:if>
+    <admst:if test="[name='lt']"> <admst:text format="<"/> </admst:if>
+    <admst:if test="[name='lt_equ']"> <admst:text format="<="/> </admst:if>
+    <admst:if test="[name='notequ']"> <admst:text format="!="/> </admst:if>
+    <admst:if test="[name='or']"> <admst:text format="||"/> </admst:if>
+    <admst:if test="[name='multmod']"> <admst:text format="%%"/> </admst:if>
+    <admst:apply-templates select="arg2" match="do%(adms/datatypename)" required="yes"/>
+    <admst:text format=")"/>
+  </admst:template>
+  <admst:template match="domapply_ternary">
+    <admst:if test="[name='conditional']">
+      <admst:text format="("/>
+      <admst:apply-templates select="arg1" match="do%(adms/datatypename)" required="yes"/>
+      <admst:text format="?"/>
+      <admst:apply-templates select="arg2" match="do%(adms/datatypename)" required="yes"/>
+      <admst:text format=":"/>
+      <admst:apply-templates select="arg3" match="do%(adms/datatypename)" required="yes"/>
+      <admst:text format=")"/>
+    </admst:if>
+  </admst:template>
+  <admst:template match="dovariable">%(name)</admst:template>
+  <admst:template match="dofunction">
+    <admst:text format="%(name)"/>
+    <admst:if test="arguments">
+      <admst:text format="("/>
+      <admst:join select="arguments" separator=",">
+        <admst:apply-templates select="." match="do%(adms/datatypename)" required="yes"/>
+      </admst:join>
+      <admst:text format=")"/>
+    </admst:if>
+  </admst:template>
+  <admst:template match="dostring">"%(value)"</admst:template>
+  <admst:template match="donumber">
+    <admst:choose>
+      <admst:when test="[scalingunit='1']">%(value)</admst:when>
+      <admst:when test="[scalingunit='E']">(%(value)*1.0e+18)</admst:when>
+      <admst:when test="[scalingunit='P']">(%(value)*1.0e+15)</admst:when>
+      <admst:when test="[scalingunit='T']">(%(value)*1.0e+12)</admst:when>
+      <admst:when test="[scalingunit='G']">(%(value)*1.0e+9)</admst:when>
+      <admst:when test="[scalingunit='M']">(%(value)*1.0e+6)</admst:when>
+      <admst:when test="[scalingunit='k']">(%(value)*1.0e+3)</admst:when>
+      <admst:when test="[scalingunit='h']">(%(value)*1.0e+2)</admst:when>
+      <admst:when test="[scalingunit='D']">(%(value)*1.0e+1)</admst:when>
+      <admst:when test="[scalingunit='d']">(%(value)*1.0e-1)</admst:when>
+      <admst:when test="[scalingunit='c']">(%(value)*1.0e-2)</admst:when>
+      <admst:when test="[scalingunit='m']">(%(value)*1.0e-3)</admst:when>
+      <admst:when test="[scalingunit='u']">(%(value)*1.0e-6)</admst:when>
+      <admst:when test="[scalingunit='n']">(%(value)*1.0e-9)</admst:when>
+      <admst:when test="[scalingunit='A']">(%(value)*1.0e-10)</admst:when>
+      <admst:when test="[scalingunit='p']">(%(value)*1.0e-12)</admst:when>
+      <admst:when test="[scalingunit='f']">(%(value)*1.0e-15)</admst:when>
+      <admst:when test="[scalingunit='a']">(%(value)*1.0e-18)</admst:when>
+      <admst:otherwise><admst:fatal format="%(value)%(scalingunit): scaling unit not supported\n"/></admst:otherwise>
+    </admst:choose>
+  </admst:template>
+  <admst:template match="doprobe">
+    <admst:apply-templates select="." match="doprobe_and_source" required="yes"/>
+  </admst:template>
+  <admst:template match="donilled">;</admst:template>
+
+  <!-- handling of probes and sources -->
+  <admst:template match="doprobe_and_source">
+    <admst:if test="branch/nnode[grounded='yes']">
+      <admst:text format="%(nature/access)(%(branch/pnode/name))"/>
+    </admst:if>
+    <admst:if test="branch/nnode[grounded='no']">
+      <admst:text format="%(nature/access)(%(branch/pnode/name),%(branch/nnode/name))"/>
+    </admst:if>
+  </admst:template>
+
+  <!-- handling of attributes -->
+  <admst:template match="doattribute">
+    <admst:text format="%(name)="%(value)" "/>
+  </admst:template>
+
+  <!-- handling of block -->
+  <admst:template match="doblock">
+    <admst:text format="/*#insource=%(#insource) b=%(static).%(dynamic) {*/"/>
+    <admst:text format="begin"/>
+    <admst:text test="[name!='']" format=": %(name)"/>
+    <admst:text format="\n"/>
+    <admst:apply-templates select="item" match="do%(adms/datatypename)"/>
+    <admst:text format="end /*}*/\n"/>
+  </admst:template>
+
+  <!-- handling of conditional -->
+  <admst:template match="doconditional">
+    <admst:text format="/*#insource=%(#insource) c=%(static).%(dynamic) ite=%(if/static).%(if/dynamic):%(then/static).%(then/dynamic):%([exists(else)]/else/static).%([exists(else)]/else/dynamic)*/ if("/>
+    <admst:apply-templates select="if" match="doexpression"/>
+    <admst:text format=")\n"/>
+    <admst:apply-templates select="then" match="do%(adms/datatypename)"/>
+    <admst:if test="else">
+      <admst:text format="/*#insource=%(else/#insource) e=%(else/static).%(else/dynamic)*/ else\n"/>
+      <admst:apply-templates select="else" match="do%(adms/datatypename)"/>
+    </admst:if>
+  </admst:template>
+
+  <!-- handling of callfunction -->
+  <admst:template match="docallfunction">
+    <admst:text format="/*%(static).%(dynamic)*/ "/>
+    <admst:apply-templates select="function" match="dofunction"/>
+    <admst:text format=";\n"/>
+  </admst:template>
+
+  <!-- handling of whileloop -->
+  <admst:template match="dowhileloop">
+    <admst:text format="/*#insource=%(#insource)*/ while("/>
+    <admst:apply-templates select="while" match="doexpression"/>
+    <admst:text format=")\n"/>
+    <admst:apply-templates select="whileblock" match="do%(adms/datatypename)"/>
+  </admst:template>
+
+  <!-- handling of case -->
+  <admst:template match="docase">
+    <admst:text format="case ("/>
+    <admst:apply-templates select="case/tree" match="do%(tree/adms/datatypename)"/>
+    <admst:text format=")\n"/>
+    <admst:for-each select="caseitem">
+      <admst:text format="  "/>
+      <admst:join select="condition" separator=",">
+        <admst:text format="%(.)"/>
+      </admst:join>
+      <admst:if test="[defaultcase='yes']">
+        <admst:text format="default"/>
+      </admst:if>
+      <admst:text format=": \n"/>
+      <admst:apply-templates select="code" match="do%(adms/datatypename)"/>
+    </admst:for-each>
+    <admst:text format="endcase\n"/>
+  </admst:template>
+
+  <!-- handling of blockvariable -->
+  <admst:template match="doblockvariable">
+    <admst:text format="/*#insource=%(#insource) b.v=%(static).%(dynamic)*/ %(variable[1]/type) "/>
+    <admst:join select="variable" separator=", ">
+      <admst:text format="/*#insource=%(#insource) insource=%(insource) v=%(static).%(dynamic)*/ %(name)"/>
+    </admst:join>
+    <admst:text format=";\n"/>
+  </admst:template>
+
+  <!-- handling of assignment -->
+  <admst:template match="doassignment">
+    <admst:text format="/*#insource=%(#insource) a=%(static).%(dynamic) l.insource=%(lhs/insource) l=%(lhs/static).%(lhs/dynamic) r=%(rhs/static).%(rhs/dynamic)*/ %(lhs/name)=%(doexpression(rhs));\n"/>
+  </admst:template>
+
+  <!-- handling of contribution -->
+  <admst:template match="docontribution">
+    <admst:text format="/*#insource=%(#insource) c=%(static).%(dynamic)*/ "/>
+    <admst:apply-templates select="lhs" match="doprobe_and_source" required="yes"/>
+    <admst:text format="<+%(doexpression(rhs));\n"/>
+  </admst:template>
+</admst:open>
+<admst:message format="vlatovla.va: file created\n"/>
+</admst>

-- 
Alioth's /usr/local/bin/git-commit-notice on /srv/git.debian.org/git/debian-science/packages/adms.git



More information about the debian-science-commits mailing list