[yosys] 11/57: Updated copyright info and manpage

Ruben Undheim rubund-guest at moszumanska.debian.org
Wed Sep 17 16:08:08 UTC 2014


This is an automated email from the git hooks/post-receive script.

rubund-guest pushed a commit to branch master
in repository yosys.

commit 9770cbd51873f96b000359855cc9bc12c802829e
Author: Ruben Undheim <ruben.undheim at gmail.com>
Date:   Mon Apr 14 18:56:39 2014 +0200

    Updated copyright info and manpage
---
 debian/changelog |  2 +-
 debian/copyright | 45 ++++++++++----------------------
 debian/docs      |  1 +
 debian/yosys.1   | 78 ++++++++++++++++++++++++++++++++++++--------------------
 4 files changed, 67 insertions(+), 59 deletions(-)

diff --git a/debian/changelog b/debian/changelog
index 2cd4ca6..59da3e3 100644
--- a/debian/changelog
+++ b/debian/changelog
@@ -1,4 +1,4 @@
-yosys (0.2.0+-6~precise) precise; urgency=low
+yosys (0.2.0+-7gitd18c10d~precise) precise; urgency=low
 
   * First ubuntu package of yosys 
 
diff --git a/debian/copyright b/debian/copyright
index 6c7166b..388eca8 100644
--- a/debian/copyright
+++ b/debian/copyright
@@ -1,36 +1,19 @@
 Format: http://dep.debian.net/deps/dep5
 Upstream-Name: yosys
-Source: <url://example.com>
+Source: http://www.clifford.at/yosys/
 
 Files: *
-Copyright: <years> <put author's name and email here>
-           <years> <likewise for another author>
-License: <special license>
- <Put the license of the package here indented by 1 space>
- <This follows the format of Description: lines in control file>
- .
- <Including paragraphs>
+Copyright: 2012 Clifford Wolf <clifford at clifford.at>
+License: ISC License 
+ Permission to use, copy, modify, and/or distribute this software for any   
+ purpose with or without fee is hereby granted, provided that the above     
+ copyright notice and this permission notice appear in all copies.          
+                                                                            
+ THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES   
+ WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF           
+ MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR    
+ ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES     
+ WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN      
+ ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF    
+ OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.             
 
-# If you want to use GPL v2 or later for the /debian/* files use 
-# the following clauses, or change it to suit. Delete these two lines
-Files: debian/*
-Copyright: 2014 Ruben <ruben at unknown>
-License: GPL-2+
- This package is free software; you can redistribute it and/or modify
- it under the terms of the GNU General Public License as published by
- the Free Software Foundation; either version 2 of the License, or
- (at your option) any later version.
- .
- This package is distributed in the hope that it will be useful,
- but WITHOUT ANY WARRANTY; without even the implied warranty of
- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
- GNU General Public License for more details.
- .
- You should have received a copy of the GNU General Public License
- along with this program. If not, see <http://www.gnu.org/licenses/>
- .
- On Debian systems, the complete text of the GNU General
- Public License version 2 can be found in "/usr/share/common-licenses/GPL-2".
-
-# Please also look if there are files or directories which have a
-# different copyright/license attached and list them here.
diff --git a/debian/docs b/debian/docs
index e845566..41d48f9 100644
--- a/debian/docs
+++ b/debian/docs
@@ -1 +1,2 @@
 README
+CHANGELOG
diff --git a/debian/yosys.1 b/debian/yosys.1
index 07626af..b4babcd 100644
--- a/debian/yosys.1
+++ b/debian/yosys.1
@@ -16,44 +16,68 @@
 .\" .sp <n>    insert n+1 empty lines
 .\" for manpage-specific macros, see man(7)
 .SH NAME
-yosys \- program to do something
+yosys \- Yosys Open SYnthesis Suite 
 .SH SYNOPSIS
 .B yosys
-.RI [ options ] " files" ...
-.br
-.B bar
-.RI [ options ] " files" ...
+.RI [-V]\ [-S]\ [-q]\ [-v\ <level>\ [-t]\ [-l\ <logfile>]\ [-o\ <outfile>]\ [-f\ <frontend>]\ [-h\ cmd]\ [{-s|-c}\ <scriptfile>]\ [-p\ <pass>\ [-p\ ..]]\ [-b\ <backend>]\ [-m\ <module\_file>]\ [<infile>\ [..]] 
 .SH DESCRIPTION
 This manual page documents briefly the
 .B yosys
-and
-.B bar
-commands.
+command.
 .PP
 .\" TeX users may be more comfortable with the \fB<whatever>\fP and
 .\" \fI<whatever>\fP escape sequences to invode bold face and italics,
 .\" respectively.
-\fByosys\fP is a program that...
+\fByosys\fP is a program that synthesizes RTL to gate-level logic.
 .SH OPTIONS
-These programs follow the usual GNU command line syntax, with long
-options starting with two dashes (`-').
 A summary of options is included below.
-For a complete description, see the Info files.
-.TP
-.B \-h, \-\-help
-Show summary of options.
-.TP
-.B \-v, \-\-version
-Show version of program.
-.SH SEE ALSO
-.BR bar (1),
-.BR baz (1).
-.br
-The programs are documented fully by
-.IR "The Rise and Fall of a Fooish Bar" ,
-available via the Info system.
+.TP
+.B \-q
+quiet operation. only write error message to console
+.TP
+.B \-v <level>
+print log headers up to level <level> to the console. (implies -q)
+.TP
+.B \-t
+annotate all log messages with a time stamp
+.TP
+.B \-l logfile
+write log messages to the specified file
+.TP
+.B \-o outfile
+write the design to the specified file on exit
+.TP
+.B \-b backend
+use this backend for the output file specified on the command line
+.TP
+.B \-H
+print the command list
+.TP
+.B \-h command
+print the help message for the specified command
+.TP
+.B \-s scriptfile
+execute the commands in the script file
+.TP
+.B \-c tcl_scriptfile
+execute the commands in the tcl script file (see 'help tcl' for details)
+.TP
+.B \-p command
+execute the commands
+.TP
+.B \-m module_file
+load the specified module (aka plugin)
+.TP
+.B \-V
+print version information and exit
+.TP
+.B \-S
+The option -S is an alias for the following options that perform a simple
+transformation of the input to a gate-level netlist.
+
+    -p hierarchy -p proc -p opt -p memory -p opt -p techmap -p opt
 .SH AUTHOR
-yosys was written by <upstream author>.
+yosys was written by Clifford Wolf <clifford at clifford.at>.
 .PP
-This manual page was written by Ruben <ruben at unknown>,
+This manual page was written by Ruben Undheim <ruben.undheim at gmail.com>,
 for the Debian project (and may be used by others).

-- 
Alioth's /usr/local/bin/git-commit-notice on /srv/git.debian.org/git/debian-science/packages/yosys.git



More information about the debian-science-commits mailing list