[yosys] tag upstream/0.5.0 created (now c3c9fbf)

Ruben Undheim rubund-guest at moszumanska.debian.org
Mon Feb 9 19:36:42 UTC 2015


This is an automated email from the git hooks/post-receive script.

rubund-guest pushed a change to tag upstream/0.5.0
in repository yosys.

        at  c3c9fbf   (commit)
This tag includes the following new commits:

       new  49649d6   Fixed typos found by lintian
       new  893fe87   Improved performance in equiv_simple
       new  6eb3403   Merge pull request #48 from rubund/master
       new  bebbf2e   no support for 6-series xilinx devices
       new  30ec646   Merge branch 'master' of github.com:cliffordwolf/yosys
       new  8514fe7   Added "yosys -L logfile"
       new  5b41470   Skip blackbox modules in design->selected_modules()
       new  a8f4a09   Using design->selected_modules() in opt_*
       new  853e949   Disabled (unused) Xilinx tristate buffers
       new  8805c24   Fixed opt_clean performance bug
       new  a038787   Added onehot attribute
       new  ac7d5e0   Auto-detect TCL version
       new  d5e3097   Added "select -read"
       new  dce1fae   Added cell->known(), cell->input(portname), cell->output(portname)
       new  cc400b2   Added "make uninstall"
       new  743da01   Improved building of plugins
       new  2ef812d   Removed "make mklibyosys"
       new  0da320f   Cleanup in add_share_file make macro
       new  05d4223   Added SigSpec::has_const()
       new  bbfc1bd   Added "yosys-config --build modname.so cppsources.."
       new  fbb1671   fixed typo
       new  c8305e3   Fixed a bug with autowire bit size (removed leftover from when we tried to auto-size the wires)
       new  234a45a   Ignore explicit assignments to constants in HDL code
       new  5170b86   Added equiv_add
       new  c3ce824   Various updates to CodingReadme
       new  b10f008   Added Yosys 0.5 Changelog
       new  6d2f31c   Various ModIndex improvements
       new  0fcc8c1   Minor "make vgtest" changes
       new  09ee65a   Added eval_select_args() and eval_select_op()
       new  bcd8a2f   Fixed eval_select_op() api
       new  183d4f8   Added new APIs to changelog
       new  07afb14   Fixed bug in "show -format .."
       new  1396485   CodingReadme update
       new  f889e3d   Fixed iterator invalidation bug in "rename" command
       new  85887de   Various presentation fixes
       new  b944fef   Updated command reference in manual
       new  8901f40   Bugfix in "make vcxsrc"
       new  c3c9fbf   Yosys 0.5

The 38 revisions listed above as "new" are entirely new to this
repository and will be described in separate emails.  The revisions
listed as "adds" were already present in the repository and have only
been added to this reference.


-- 
Alioth's /usr/local/bin/git-commit-notice on /srv/git.debian.org/git/debian-science/packages/yosys.git



More information about the debian-science-commits mailing list