[icestorm] 13/75: Added ram init support to icebox_vlog

Ruben Undheim rubund-guest at moszumanska.debian.org
Wed Oct 7 15:52:04 UTC 2015


This is an automated email from the git hooks/post-receive script.

rubund-guest pushed a commit to branch master
in repository icestorm.

commit 1abd4d027ef3fcf8a08018bce594173cc8d00244
Author: Clifford Wolf <clifford at clifford.at>
Date:   Tue Jul 21 18:18:33 2015 +0200

    Added ram init support to icebox_vlog
---
 icebox/icebox_vlog.py | 4 +++-
 1 file changed, 3 insertions(+), 1 deletion(-)

diff --git a/icebox/icebox_vlog.py b/icebox/icebox_vlog.py
index 11207f9..28d12e2 100755
--- a/icebox/icebox_vlog.py
+++ b/icebox/icebox_vlog.py
@@ -718,7 +718,9 @@ for tile in ic.ramb_tiles:
             text_func.append("// RAM TILE %d %d" % tile)
         text_func.append("SB_RAM40_4K #(");
         text_func.append("  .READ_MODE(%d)," % ((1 if get_ram_config('CBIT_2') else 0) + (2 if get_ram_config('CBIT_3') else 0)));
-        text_func.append("  .WRITE_MODE(%d)" % ((1 if get_ram_config('CBIT_0') else 0) + (2 if get_ram_config('CBIT_1') else 0)));
+        text_func.append("  .WRITE_MODE(%d)," % ((1 if get_ram_config('CBIT_0') else 0) + (2 if get_ram_config('CBIT_1') else 0)));
+        for i in range(16):
+            text_func.append("  .INIT_%X(256'h%s)%s" % (i, ic.ram_data[tile][i], "," if i < 15 else ""));
         text_func.append(") ram40_%d_%d (" % tile);
         text_func.append("  .WADDR(%s),"  % get_ram_wire('WADDR', 10, 0))
         text_func.append("  .RADDR(%s),"  % get_ram_wire('RADDR', 10, 0))

-- 
Alioth's /usr/local/bin/git-commit-notice on /srv/git.debian.org/git/debian-science/packages/icestorm.git



More information about the debian-science-commits mailing list