[icestorm] 31/75: Bugfix in icebox_colbuf

Ruben Undheim rubund-guest at moszumanska.debian.org
Wed Oct 7 15:52:06 UTC 2015


This is an automated email from the git hooks/post-receive script.

rubund-guest pushed a commit to branch master
in repository icestorm.

commit ad4083a575e65f16abfe70e0b00c9d7e64f0780c
Author: Clifford Wolf <clifford at clifford.at>
Date:   Mon Aug 3 12:56:52 2015 +0200

    Bugfix in icebox_colbuf
---
 icebox/icebox_colbuf.py | 3 ++-
 icefuzz/.gitignore      | 8 ++++++++
 2 files changed, 10 insertions(+), 1 deletion(-)

diff --git a/icebox/icebox_colbuf.py b/icebox/icebox_colbuf.py
index 2e3e4b0..6267bc6 100755
--- a/icebox/icebox_colbuf.py
+++ b/icebox/icebox_colbuf.py
@@ -107,7 +107,8 @@ for tiles in [ic.io_tiles, ic.logic_tiles, ic.ramb_tiles, ic.ramt_tiles]:
         glbs = analyze_tile(ic, cache, tile)
         if len(glbs):
             assert tile in colbuf_map
-            used_glbs_map[colbuf_map[tile]] = glbs
+            s = used_glbs_map.setdefault(colbuf_map[tile], set())
+            used_glbs_map[colbuf_map[tile]] = s.union(glbs)
 
     cache = None
     for tile in tiles:
diff --git a/icefuzz/.gitignore b/icefuzz/.gitignore
new file mode 100644
index 0000000..950fd82
--- /dev/null
+++ b/icefuzz/.gitignore
@@ -0,0 +1,8 @@
+*.bin
+*.glb
+*.psb
+*.tmp/
+*.txt
+*.vsb
+*.pyc
+/work_*/

-- 
Alioth's /usr/local/bin/git-commit-notice on /srv/git.debian.org/git/debian-science/packages/icestorm.git



More information about the debian-science-commits mailing list