[yosys] 03/03: Refreshed patches

Ruben Undheim rubund-guest at moszumanska.debian.org
Fri Sep 23 05:24:18 UTC 2016


This is an automated email from the git hooks/post-receive script.

rubund-guest pushed a commit to branch next
in repository yosys.

commit 2fba240fc8ec65b60c6cba2ffa022ca532a6817e
Author: Ruben Undheim <ruben.undheim at gmail.com>
Date:   Fri Sep 23 07:22:17 2016 +0200

    Refreshed patches
---
 debian/changelog                                   |  1 +
 debian/patches/01_gitrevision.patch                | 14 ++---
 debian/patches/02_removeabc.patch                  |  8 +--
 debian/patches/03_notruntests.patch                |  8 +--
 debian/patches/05_abc_executable.patch             | 18 ++++---
 debian/patches/add-missing-headers.patch           | 10 ++--
 .../patches/fix_ftbfs_cannot_find_infinite.patch   | 10 ++--
 debian/patches/fixup-initalization.patch           | 48 -----------------
 debian/patches/kfreebsd-support.patch              | 26 ++++-----
 debian/patches/series                              |  2 -
 debian/patches/spelling-fixes.patch                | 62 ----------------------
 debian/patches/switch-to-free-font.patch           |  4 +-
 12 files changed, 53 insertions(+), 158 deletions(-)

diff --git a/debian/changelog b/debian/changelog
index b4c6468..ea53016 100644
--- a/debian/changelog
+++ b/debian/changelog
@@ -1,6 +1,7 @@
 yosys (0.6+20160920git0c697b9-1) UNRELEASED; urgency=medium
 
   * New upstream GIT head version
+  * Refreshed patches. Some patches applied upstream and deleted.
 
  -- Ruben Undheim <ruben.undheim at gmail.com>  Fri, 23 Sep 2016 07:12:00 +0200
 
diff --git a/debian/patches/01_gitrevision.patch b/debian/patches/01_gitrevision.patch
index 13c4b25..e9bf864 100644
--- a/debian/patches/01_gitrevision.patch
+++ b/debian/patches/01_gitrevision.patch
@@ -7,19 +7,21 @@ Subject: Compilation from the upstream code depends on being in the git
 
 Forwarded: doesn't make sense upstream
 ---
- Makefile | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
+ Makefile | 4 ++--
+ 1 file changed, 2 insertions(+), 2 deletions(-)
 
 diff --git a/Makefile b/Makefile
-index ce0c737..b8f4ffd 100644
+index fd31776..da69b01 100644
 --- a/Makefile
 +++ b/Makefile
-@@ -69,7 +69,7 @@ else
+@@ -72,8 +72,8 @@ else
+ 	LDLIBS += -lrt
  endif
  
- YOSYS_VER := 0.6
+-YOSYS_VER := 0.6+$(shell test -e .git && { git log --author=clifford at clifford.at --oneline 5869d26da021.. | wc -l; })
 -GIT_REV := $(shell cd $(YOSYS_SRC) && git rev-parse --short HEAD 2> /dev/null || echo UNKNOWN)
-+GIT_REV := 5869d26
++YOSYS_VER := 0.6+20160920git0c697b9
++GIT_REV := 0c697b9
  OBJS = kernel/version_$(GIT_REV).o
  
  # set 'ABCREV = default' to use abc/ as it is
diff --git a/debian/patches/02_removeabc.patch b/debian/patches/02_removeabc.patch
index 2367c87..522d0a5 100644
--- a/debian/patches/02_removeabc.patch
+++ b/debian/patches/02_removeabc.patch
@@ -9,15 +9,15 @@ Forwarded: doesn't make sense upstream
  1 file changed, 1 insertion(+), 1 deletion(-)
 
 diff --git a/Makefile b/Makefile
-index b8f4ffd..eeb6b91 100644
+index da69b01..59a87c3 100644
 --- a/Makefile
 +++ b/Makefile
-@@ -204,7 +204,7 @@ endif
- 
+@@ -225,7 +225,7 @@ endif
  ifeq ($(ENABLE_ABC),1)
  CXXFLAGS += -DYOSYS_ENABLE_ABC
+ ifeq ($(ABCEXTERNAL),)
 -TARGETS += yosys-abc$(EXE)
 +#TARGETS += yosys-abc$(EXE)
  endif
+ endif
  
- ifeq ($(ENABLE_VERIFIC),1)
diff --git a/debian/patches/03_notruntests.patch b/debian/patches/03_notruntests.patch
index a240c4d..3348ddc 100644
--- a/debian/patches/03_notruntests.patch
+++ b/debian/patches/03_notruntests.patch
@@ -8,10 +8,10 @@ Forwarded: doesn't make sense upstream
  1 file changed, 16 insertions(+), 16 deletions(-)
 
 diff --git a/Makefile b/Makefile
-index eeb6b91..7b8f1e1 100644
+index 59a87c3..351a7cc 100644
 --- a/Makefile
 +++ b/Makefile
-@@ -377,21 +377,21 @@ endif
+@@ -404,21 +404,21 @@ endif
  yosys-abc$(EXE): abc/abc-$(ABCREV)$(EXE)
  	$(P) cp abc/abc-$(ABCREV)$(EXE) yosys-abc$(EXE)
  
@@ -48,11 +48,11 @@ index eeb6b91..7b8f1e1 100644
  
  VALGRIND ?= valgrind --error-exitcode=1 --leak-check=full --show-reachable=yes --errors-for-leak-kinds=all
  
-@@ -521,6 +521,6 @@ echo-git-rev:
+@@ -551,6 +551,6 @@ echo-git-rev:
  -include kernel/*.d
  -include techlibs/*/*.d
  
 -.PHONY: all top-all abc test install install-abc manual clean mrproper qtcreator
 +.PHONY: all top-all abc install install-abc manual clean mrproper qtcreator
- .PHONY: config-clean config-clang config-gcc config-gcc-4.6 config-gprof config-sudo
+ .PHONY: config-clean config-clang config-gcc config-gcc-4.8 config-gprof config-sudo
  
diff --git a/debian/patches/05_abc_executable.patch b/debian/patches/05_abc_executable.patch
index a862084..c9bb08d 100644
--- a/debian/patches/05_abc_executable.patch
+++ b/debian/patches/05_abc_executable.patch
@@ -7,19 +7,23 @@ Subject: The dependency abc is built with the name yosys-abc upstream. Since
 
 Forwarded: doesn't make sense upstream
 ---
- passes/techmap/abc.cc | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
+ passes/techmap/abc.cc | 6 +-----
+ 1 file changed, 1 insertion(+), 5 deletions(-)
 
 diff --git a/passes/techmap/abc.cc b/passes/techmap/abc.cc
-index 7da2660..8b35d43 100644
+index cc79296..b215cbc 100644
 --- a/passes/techmap/abc.cc
 +++ b/passes/techmap/abc.cc
-@@ -1289,7 +1289,7 @@ struct AbcPass : public Pass {
- 		log_header("Executing ABC pass (technology mapping using ABC).\n");
+@@ -1327,11 +1327,7 @@ struct AbcPass : public Pass {
+ 		log_header(design, "Executing ABC pass (technology mapping using ABC).\n");
  		log_push();
  
+-#ifdef ABCEXTERNAL
+-		std::string exe_file = ABCEXTERNAL;
+-#else
 -		std::string exe_file = proc_self_dirname() + "yosys-abc";
+-#endif
 +		std::string exe_file = "berkeley-abc";
- 		std::string script_file, liberty_file, constr_file, clk_str, delay_target;
+ 		std::string script_file, liberty_file, constr_file, clk_str;
+ 		std::string delay_target, sop_inputs, sop_products;
  		bool fast_mode = false, dff_mode = false, keepff = false, cleanup = true;
- 		bool show_tempdir = false;
diff --git a/debian/patches/add-missing-headers.patch b/debian/patches/add-missing-headers.patch
index 36104fb..813ad4c 100644
--- a/debian/patches/add-missing-headers.patch
+++ b/debian/patches/add-missing-headers.patch
@@ -8,14 +8,14 @@ Subject: Include all headers needed to build the yosys plugins.
  1 file changed, 1 insertion(+)
 
 diff --git a/Makefile b/Makefile
-index 7b8f1e1..c5d438b 100644
+index 351a7cc..810f0b2 100644
 --- a/Makefile
 +++ b/Makefile
-@@ -268,6 +268,7 @@ $(eval $(call add_include_file,libs/ezsat/ezminisat.h))
- $(eval $(call add_include_file,libs/sha1/sha1.h))
+@@ -292,6 +292,7 @@ $(eval $(call add_include_file,libs/sha1/sha1.h))
  $(eval $(call add_include_file,passes/fsm/fsmdata.h))
+ $(eval $(call add_include_file,frontends/ast/ast.h))
  $(eval $(call add_include_file,backends/ilang/ilang_backend.h))
 +$(eval $(call add_include_file,frontends/ast/ast.h))
  
- OBJS += kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/cellaigs.o
- kernel/log.o: CXXFLAGS += -DYOSYS_SRC='"$(YOSYS_SRC)"'
+ OBJS += kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o
+ OBJS += kernel/cellaigs.o kernel/celledges.o
diff --git a/debian/patches/fix_ftbfs_cannot_find_infinite.patch b/debian/patches/fix_ftbfs_cannot_find_infinite.patch
index 698ea42..9f82f5c 100644
--- a/debian/patches/fix_ftbfs_cannot_find_infinite.patch
+++ b/debian/patches/fix_ftbfs_cannot_find_infinite.patch
@@ -9,10 +9,10 @@ Bug-Debian: https://bugs.debian.org/822408
  2 files changed, 4 insertions(+), 7 deletions(-)
 
 diff --git a/frontends/ast/ast.cc b/frontends/ast/ast.cc
-index 834ee82..48bdfc8 100644
+index fd27240..ef392bd 100644
 --- a/frontends/ast/ast.cc
 +++ b/frontends/ast/ast.cc
-@@ -857,11 +857,7 @@ RTLIL::Const AstNode::realAsConst(int width)
+@@ -873,11 +873,7 @@ RTLIL::Const AstNode::realAsConst(int width)
  {
  	double v = round(realvalue);
  	RTLIL::Const result;
@@ -25,7 +25,7 @@ index 834ee82..48bdfc8 100644
  	} else {
  		bool is_negative = v < 0;
 diff --git a/passes/cmds/qwp.cc b/passes/cmds/qwp.cc
-index 8ec815a..28f3e51 100644
+index 1b800b6..c6e0573 100644
 --- a/passes/cmds/qwp.cc
 +++ b/passes/cmds/qwp.cc
 @@ -19,6 +19,7 @@
@@ -36,7 +36,7 @@ index 8ec815a..28f3e51 100644
  
  #undef LOG_MATRICES
  #undef PYPLOT_EDGES
-@@ -341,7 +342,7 @@ struct QwpWorker
+@@ -364,7 +365,7 @@ struct QwpWorker
  			double c = alt_mode ? alt_midpos : midpos;
  			double r = alt_mode ? alt_radius : radius;
  
@@ -45,7 +45,7 @@ index 8ec815a..28f3e51 100644
  				v = min(v, c+r);
  				v = max(v, c-r);
  			} else {
-@@ -523,14 +524,14 @@ struct QwpWorker
+@@ -546,14 +547,14 @@ struct QwpWorker
  			double rel_pos = node.pos - median;
  			if (rel_pos < 0) {
  				node.pos = midpos + left_scale*rel_pos;
diff --git a/debian/patches/fixup-initalization.patch b/debian/patches/fixup-initalization.patch
deleted file mode 100644
index 84612c6..0000000
--- a/debian/patches/fixup-initalization.patch
+++ /dev/null
@@ -1,48 +0,0 @@
-From: Clifford Wolf <clifford at clifford.at>
-Date: Fri, 22 Apr 2016 12:13:06 +0200
-Subject: More flexible handling of initialization values
-
----
- passes/proc/proc_init.cc | 29 ++++++++++++++++++++++-------
- 1 file changed, 22 insertions(+), 7 deletions(-)
-
-diff --git a/passes/proc/proc_init.cc b/passes/proc/proc_init.cc
-index 633d4e5..f868daa 100644
---- a/passes/proc/proc_init.cc
-+++ b/passes/proc/proc_init.cc
-@@ -61,13 +61,28 @@ void proc_init(RTLIL::Module *mod, RTLIL::Process *proc)
- 					log_cmd_error("Failed to get a constant init value for %s: %s\n", log_signal(lhs), log_signal(rhs));
- 
- 				int offset = 0;
--				for (auto &lhs_c : lhs.chunks()) {
--					if (lhs_c.wire != NULL) {
--						RTLIL::SigSpec value = rhs.extract(offset, lhs_c.width);
--						if (value.size() != lhs_c.wire->width)
--							log_cmd_error("Init value is not for the entire wire: %s = %s\n", log_signal(lhs_c), log_signal(value));
--						log("  Setting init value: %s = %s\n", log_signal(lhs_c.wire), log_signal(value));
--						lhs_c.wire->attributes["\\init"] = value.as_const();
-+				for (auto &lhs_c : lhs.chunks())
-+				{
-+					if (lhs_c.wire != nullptr)
-+					{
-+						SigSpec valuesig = rhs.extract(offset, lhs_c.width);
-+						if (!valuesig.is_fully_const())
-+							log_cmd_error("Non-const initialization value: %s = %s\n", log_signal(lhs_c), log_signal(valuesig));
-+
-+						Const value = valuesig.as_const();
-+						Const &wireinit = lhs_c.wire->attributes["\\init"];
-+
-+						while (GetSize(wireinit.bits) < lhs_c.wire->width)
-+							wireinit.bits.push_back(State::Sx);
-+
-+						for (int i = 0; i < lhs_c.width; i++) {
-+							auto &initbit = wireinit.bits[i + lhs_c.offset];
-+							if (initbit != State::Sx && initbit != value[i])
-+								log_cmd_error("Conflicting initialization values for %s.\n", log_signal(lhs_c));
-+							initbit = value[i];
-+						}
-+
-+						log("  Set init value: %s = %s\n", log_signal(lhs_c.wire), log_signal(wireinit));
- 					}
- 					offset += lhs_c.width;
- 				}
diff --git a/debian/patches/kfreebsd-support.patch b/debian/patches/kfreebsd-support.patch
index 49be947..549bc22 100644
--- a/debian/patches/kfreebsd-support.patch
+++ b/debian/patches/kfreebsd-support.patch
@@ -17,7 +17,7 @@ Subject: Change yosys to look for the #define constant "__unix__" instead of
  7 files changed, 13 insertions(+), 13 deletions(-)
 
 diff --git a/kernel/driver.cc b/kernel/driver.cc
-index 02e332f..dacffb1 100644
+index 5cfc417..dfc7d25 100644
 --- a/kernel/driver.cc
 +++ b/kernel/driver.cc
 @@ -30,7 +30,7 @@
@@ -29,7 +29,7 @@ index 02e332f..dacffb1 100644
  #  include <sys/types.h>
  #  include <unistd.h>
  #endif
-@@ -382,7 +382,7 @@ int main(int argc, char **argv)
+@@ -409,7 +409,7 @@ int main(int argc, char **argv)
  #else
  		std::string meminfo;
  		std::string stats_divider = ", ";
@@ -38,7 +38,7 @@ index 02e332f..dacffb1 100644
  		std::ifstream statm;
  		statm.open(stringf("/proc/%lld/statm", (long long)getpid()));
  		if (statm.is_open()) {
-@@ -436,7 +436,7 @@ int main(int argc, char **argv)
+@@ -463,7 +463,7 @@ int main(int argc, char **argv)
  		}
  	}
  
@@ -48,7 +48,7 @@ index 02e332f..dacffb1 100644
  	{
  		string filename;
 diff --git a/kernel/log.cc b/kernel/log.cc
-index 4f395c7..8e1279f 100644
+index 3f1d888..5d78c08 100644
 --- a/kernel/log.cc
 +++ b/kernel/log.cc
 @@ -25,7 +25,7 @@
@@ -60,7 +60,7 @@ index 4f395c7..8e1279f 100644
  #  include <dlfcn.h>
  #endif
  
-@@ -264,7 +264,7 @@ void log_pop()
+@@ -278,7 +278,7 @@ void log_pop()
  	log_flush();
  }
  
@@ -69,7 +69,7 @@ index 4f395c7..8e1279f 100644
  void log_backtrace(const char *prefix, int levels)
  {
  	if (levels <= 0) return;
-@@ -423,7 +423,7 @@ void log_cell(RTLIL::Cell *cell, std::string indent)
+@@ -462,7 +462,7 @@ void log_cell(RTLIL::Cell *cell, std::string indent)
  // ---------------------------------------------------
  // This is the magic behind the code coverage counters
  // ---------------------------------------------------
@@ -79,10 +79,10 @@ index 4f395c7..8e1279f 100644
  dict<std::string, std::pair<std::string, int>> extra_coverage_data;
  
 diff --git a/kernel/log.h b/kernel/log.h
-index 28baf98..f2f3a5e 100644
+index 53480db..4cf7d00 100644
 --- a/kernel/log.h
 +++ b/kernel/log.h
-@@ -102,7 +102,7 @@ static inline void log_assert_worker(bool cond, const char *expr, const char *fi
+@@ -106,7 +106,7 @@ static inline void log_assert_worker(bool cond, const char *expr, const char *fi
  // This is the magic behind the code coverage counters
  // ---------------------------------------------------
  
@@ -92,10 +92,10 @@ index 28baf98..f2f3a5e 100644
  #define cover(_id) do { \
      static CoverData __d __attribute__((section("yosys_cover_list"), aligned(1), used)) = { __FILE__, __FUNCTION__, _id, __LINE__, 0 }; \
 diff --git a/kernel/yosys.cc b/kernel/yosys.cc
-index eba1aef..cb14c93 100644
+index 08fee97..8b071f0 100644
 --- a/kernel/yosys.cc
 +++ b/kernel/yosys.cc
-@@ -64,7 +64,7 @@ std::vector<void*> memhasher_store;
+@@ -66,7 +66,7 @@ std::vector<void*> memhasher_store;
  
  void memhasher_on()
  {
@@ -104,11 +104,11 @@ index eba1aef..cb14c93 100644
  	memhasher_rng += time(NULL) << 16 ^ getpid();
  #endif
  	memhasher_store.resize(0x10000);
-@@ -622,7 +622,7 @@ struct TclPass : public Pass {
+@@ -647,7 +647,7 @@ struct TclPass : public Pass {
  } TclPass;
  #endif
  
--#if defined(__linux__)
+-#if defined(__linux__) || defined(__CYGWIN__)
 +#if defined(__unix__)
  std::string proc_self_dirname()
  {
@@ -149,7 +149,7 @@ index ee92a6e..8ad9902 100644
  #endif
  
 diff --git a/passes/cmds/cover.cc b/passes/cmds/cover.cc
-index 5644066..e3910eb 100644
+index 1475475..1918b54 100644
 --- a/passes/cmds/cover.cc
 +++ b/passes/cmds/cover.cc
 @@ -128,7 +128,7 @@ struct CoverPass : public Pass {
diff --git a/debian/patches/series b/debian/patches/series
index b4ac4ab..dd921c6 100644
--- a/debian/patches/series
+++ b/debian/patches/series
@@ -2,10 +2,8 @@
 02_removeabc.patch
 03_notruntests.patch
 05_abc_executable.patch
-spelling-fixes.patch
 switch-to-free-font.patch
 manual-build.patch
 add-missing-headers.patch
 kfreebsd-support.patch
-fixup-initalization.patch
 fix_ftbfs_cannot_find_infinite.patch
diff --git a/debian/patches/spelling-fixes.patch b/debian/patches/spelling-fixes.patch
deleted file mode 100644
index 547f46e..0000000
--- a/debian/patches/spelling-fixes.patch
+++ /dev/null
@@ -1,62 +0,0 @@
-From: Sebastian Kuzminsky <seb at highlab.com>
-Date: Sat, 14 May 2016 15:44:13 +0200
-Subject: Fix some spelling mistakes in upstream's strings.
-
-Forwarded: accepted by upstream in PR #119
----
- backends/json/json.cc               | 6 +++---
- manual/command-reference-manual.tex | 6 +++---
- 2 files changed, 6 insertions(+), 6 deletions(-)
-
-diff --git a/backends/json/json.cc b/backends/json/json.cc
-index 9bc936a..7d5ee58 100644
---- a/backends/json/json.cc
-+++ b/backends/json/json.cc
-@@ -411,10 +411,10 @@ struct JsonBackend : public Backend {
- 		log("      - the inverted value of the specified input port bit\n");
- 		log("\n");
- 		log("    [ \"and\", <node-index>, <node-index>, <out-list> ]\n");
--		log("      - the ANDed value of the speciefied nodes\n");
-+		log("      - the ANDed value of the specified nodes\n");
- 		log("\n");
- 		log("    [ \"nand\", <node-index>, <node-index>, <out-list> ]\n");
--		log("      - the inverted ANDed value of the speciefied nodes\n");
-+		log("      - the inverted ANDed value of the specified nodes\n");
- 		log("\n");
- 		log("    [ \"true\", <out-list> ]\n");
- 		log("      - the constant value 1\n");
-@@ -445,7 +445,7 @@ struct JsonBackend : public Backend {
- 		log("    ]\n");
- 		log("\n");
- 		log("Future version of Yosys might add support for additional fields in the JSON\n");
--		log("format. A program processing this format must ignore all unkown fields.\n");
-+		log("format. A program processing this format must ignore all unknown fields.\n");
- 		log("\n");
- 	}
- 	virtual void execute(std::ostream *&f, std::string filename, std::vector<std::string> args, RTLIL::Design *design)
-diff --git a/manual/command-reference-manual.tex b/manual/command-reference-manual.tex
-index 99d4a1f..425d89b 100644
---- a/manual/command-reference-manual.tex
-+++ b/manual/command-reference-manual.tex
-@@ -3783,10 +3783,10 @@ The following node-types may be used:
-       - the inverted value of the specified input port bit
- 
-     [ "and", <node-index>, <node-index>, <out-list> ]
--      - the ANDed value of the speciefied nodes
-+      - the ANDed value of the specified nodes
- 
-     [ "nand", <node-index>, <node-index>, <out-list> ]
--      - the inverted ANDed value of the speciefied nodes
-+      - the inverted ANDed value of the specified nodes
- 
-     [ "true", <out-list> ]
-       - the constant value 1
-@@ -3817,7 +3817,7 @@ inferred by the following code:
-     ]
- 
- Future version of Yosys might add support for additional fields in the JSON
--format. A program processing this format must ignore all unkown fields.
-+format. A program processing this format must ignore all unknown fields.
- \end{lstlisting}
- 
- \section{write\_smt2 -- write design to SMT-LIBv2 file}
diff --git a/debian/patches/switch-to-free-font.patch b/debian/patches/switch-to-free-font.patch
index 5f80cb9..093bbbf 100644
--- a/debian/patches/switch-to-free-font.patch
+++ b/debian/patches/switch-to-free-font.patch
@@ -39,7 +39,7 @@ index 9780c78..4e63e20 100644
  % To install the luximono font files:
  % getnonfreefonts-sys --all        or
 diff --git a/manual/APPNOTE_012_Verilog_to_BTOR.tex b/manual/APPNOTE_012_Verilog_to_BTOR.tex
-index 245a6b0..201086d 100644
+index 1bc2778..c139188 100644
 --- a/manual/APPNOTE_012_Verilog_to_BTOR.tex
 +++ b/manual/APPNOTE_012_Verilog_to_BTOR.tex
 @@ -4,7 +4,7 @@
@@ -52,7 +52,7 @@ index 245a6b0..201086d 100644
  % To install the luximono font files:
  % getnonfreefonts-sys --all        or
 diff --git a/manual/manual.tex b/manual/manual.tex
-index ecc7e4c..5a3d028 100644
+index 67982cb..b274792 100644
 --- a/manual/manual.tex
 +++ b/manual/manual.tex
 @@ -2,7 +2,7 @@

-- 
Alioth's /usr/local/bin/git-commit-notice on /srv/git.debian.org/git/debian-science/packages/yosys.git



More information about the debian-science-commits mailing list