[fpga-icestorm] branch master updated (0533792 -> 61ee2ea)

Ruben Undheim rubund-guest at moszumanska.debian.org
Fri Jul 14 06:58:12 UTC 2017


This is an automated email from the git hooks/post-receive script.

rubund-guest pushed a change to branch master
in repository fpga-icestorm.

      from  0533792   UNRELEASED->unstable
      adds  9ab00ea   Added "icetime -C <chipdb-file>"
      adds  fbd7b6c   Merge branch 'master' of github.com:cliffordwolf/icestorm
      adds  1fb0836   Added a new config variable CHIPDB_SUBDIR. It determines the subdir name used in $(PREFIX)/share/ to install the chip database. This provides a mechanism to choose a directory different than icebox (default). I.e. fpga-icestorm/chipdb for Debian.
      adds  1796d43   Merge pull request #58 from set-soft/master
      adds  3b6e736   Reproducibility improvements
      adds  e046ad3   Improve input parsing of icebram
      adds  9b722cd   Merge pull request #59 from zeldin/icebram_parser
      adds  01b9822   Removed icetime estimate warning
      adds  9f54ad6   Added marex/typhoon link
      adds  7d8337a   Fixed icepll divf range
      adds  47d28bf   Added iCEZUM example
      adds  cd0e6cf   Added icestick rs232 demo
      adds  962b7ce   Added link to icestick pinout
      adds  1eccb02   More OSX notes
      adds  fddc548   Added pcf --warn-no-port support to icebox_vlog
      adds  7d839b4   Added icebox_stat.py
      adds  13e654f   Fixed files with CRLF line endings
      adds  a140056   Improvements in icestick rs232 demo
      adds  ff02cd7   Added icecompr
      adds  f920831   Some cleanups in verilog examples
      adds  f768c15   Fix some typos in icecube.sh comment header
      adds  426d82d   Fix Windows builds
      adds  37d2090   Another fix
      adds  1e9de21   Merge pull request #65 from udif/master
      adds  3d3c833   icecompr: fix missed 'make clean' artifact
      adds  b1c4784   Add icecompr.py
      adds  31cc8a1   Cleanup icecompr code
      adds  29ddae9   icepll: added -q option to suppress output to stdout
      adds  cb38569   icepll: added -f option to export configuration as Verilog module
      adds  14fd3ee   icepll: added -m option to choose between saving Verilog header or module
      adds  b6dcaae   icepll: changes according to cliffordwolf/icestorm#67: * corrected getopt argument * removed PLLOUT_SELECT("GENCLK") from output
      adds  a334197   Merge pull request #67 from matthiasbock/master
      adds  40eb5c0   Update docs index.html
      adds  2c34faa   icecompr/.gitignore: add missing files
      adds  399830d   Merge pull request #70 from lineprinter/gitignore
      adds  ea82010   Clean up Makefiles
      adds  ce4e1bc   Merge branch 'makefiles' of https://github.com/lineprinter/icestorm into lineprinter-makefiles
      adds  7d98cd8   Add "icetime -N"
      adds  bbae9b3   Add icemulti -a<n>, -A<n>
      adds  7ea1726   Merge branch 'master' of github.com:cliffordwolf/icestorm
      adds  fdf863b   LP384 support in icepack (tested on real chip)
      adds  e207307   LP384 support in icepack - log_level back to 0
      adds  4d4738b   Disabling BRAM commands in bitsream for LP384
      adds  0a5d434   Work started for LP384 chipdb.
      adds  d3e3688   LP384 support in icefuzz (Makefile,icebox.sh,etc)
      adds  2281f91   LP384 support in icefuzz (Makefile,icebox.sh,etc)
      adds  2ca9f92   Fixes for LP384 in some make_xx.py, etc
      adds  f8934de   icefuzz make_xxx.py files are ready for LP384.
      adds  873c092   LP384 timings exported too by Makefile
      adds  85cdeeb   tmedges.txt added, icebox-Makefile buildable
      adds  2931984   Fix trailing whitespace in icepack.cc
      adds  de563bd   Merge branch 'hermitsoft-master'
      adds  cdaab84   Merge remote-tracking branch 'upstream/master'
      adds  a2e8c06   Remove some trailing whitespaces
      adds  038bf76   Fix some of the obvious 384 todos in icebox.py
      adds  4a4be48   Merge branch 'hermitsoft'
      adds  d4a3c95   Fix icecube.sh -384
      adds  3099287   Some LP384 data added to icebox.py
      adds  1a50795   filled LP384 fields in icebox.py but data needs refinement
      adds  89fe3ab   Icebox builds chipdb-384, icebox.py data still to refine.
      adds  9efea90   Add icecube.sh support for lp384-cm36 and lp384-cm49, make cm49 default
      adds  1f1f10b   Merge branch 'hermitsoft'
      adds  62b7f71   ieren and pin info for all LP384
      adds  f7334fc   Maximized icefuzz testcases for LP384-CM49
      adds  dacf221   make_mesh.py fix for even pin-distribution
      adds  e832acc   icefuzz data and test scripts for LP384-CM49
      adds  ca19eaf   Merge branch 'master' of https://github.com/hermitsoft/icestorm
      adds  314628f   Disable propagation of LP384 ieren bits into iceboxdb.py
      adds  b2b7985   Add LP384 support to icetime
      adds  efbf784   Add LP384 to list of supported devices
      adds  5bde613   Remove trailing comma in icepll module output.
      adds  3eb2bf1   Merge pull request #75 from joshhead/icepll-comma
      adds  bb42a74   Fix 32c3 video link in docs
      adds  587363b   Add missing .rpt file to iceblink example "make clean"
      adds  73fffc2   Added a call to ftdi_set_latency_timer to make iceprog performance independent of system settings
      adds  05f0f33   Added code to restore the original timer latency. In this way the user can avoid 500 Hz interrupts.
      adds  dc93d58   Merge pull request #79 from set-soft/fix_latency_timer
      adds  08d2c63   Reduced the timer latency to 1 (minimum allowed) some users are reporting better results
      adds  0f64fdf   Merge pull request #80 from set-soft/less_latency
      adds  e787fa2   icetime: never silently truncate asc file lines
      adds  8611d61   Merge pull request #81 from cbiffle/allow-long-sym-lines
      adds  7201da8   Update PLL DIVF range to be [0,127]
      adds  832bcbe   Merge pull request #84 from C-Elegans/pll_divf
      adds  1fefe35   Fix I/O tile documentation
      adds  dbdc65b   iceprog: Give more information about invocation errors
      adds  9038133   iceprog: Check for invalid offset/size arguments
      adds  86af65c   iceprog: Check for non-applicable options
      adds  703a913   iceprog: Add option `--help'
      adds  6741d93   iceprog: Overhaul `--help' text
      adds  47c9cd4   iceprog: Open input/output files before talking to hardware
      adds  0bd8876   iceprog: Allow programming from standard input
      adds  8413b2c   iceprog: Allow programming from pipe
      adds  7b97eb4   iceprog: When reading, don't write more bytes than requested
      adds  eef1731   iceprog: Return a meaningful exit status
      adds  b61cfb8   iceprog: Add manpage
      adds  0a8d98f   iceprog: Fix error messages
      adds  64e129b   iceprog: Fix coding style inconsistencies
      adds  f366526   iceprog: Break overlong lines
      adds  62e7089   iceprog: Remove trailing newline
      adds  53a8bcc   iceprog: Keep name space clean
      adds  82f9fdb   Merge pull request #82 from rlutz/master
      adds  3c42bdb   Fix coding style in iceprog.c (mostly line breaks and indenting)
       new  747b2eb   Merge tag 'upstream/0_20170705git3c42bdb'
       new  61ee2ea   Prepare new version

The 2 revisions listed above as "new" are entirely new to this
repository and will be described in separate emails.  The revisions
listed as "adds" were already present in the repository and have only
been added to this reference.


Summary of changes:
 Makefile                                           |  39 +-
 config.mk                                          |   9 +-
 debian/changelog                                   |   8 +
 debian/control                                     |   2 +-
 debian/copyright                                   |  17 +-
 docs/index.html                                    |  10 +-
 docs/io_tile.html                                  |  10 +-
 docs/notes_osx.html                                |   4 +
 examples/hx8kboard/example.v                       |   2 +-
 examples/hx8kboard/hx8kboard.pcf                   |  18 +-
 examples/iceblink/Makefile                         |   2 +-
 examples/iceblink/example.v                        |   2 +-
 examples/iceblink/iceblink.pcf                     |  10 +-
 examples/icestick/.gitignore                       |   4 +
 examples/icestick/Makefile                         |   2 +
 examples/icestick/example.v                        |   2 +-
 examples/icestick/icestick.pcf                     |  17 +-
 examples/icestick/rs232demo.v                      |  57 +++
 examples/{hx8kboard => icezum}/.gitignore          |   0
 examples/{icestick => icezum}/Makefile             |   2 +-
 examples/{hx8kboard => icezum}/example.v           |   8 +-
 examples/icezum/icezum.pcf                         |   9 +
 icebox/.gitignore                                  |   1 +
 icebox/Makefile                                    |  12 +-
 icebox/icebox.py                                   | 229 ++++++++++-
 icebox/icebox_chipdb.py                            |  19 +-
 icebox/icebox_stat.py                              | 139 +++++++
 icebox/icebox_vlog.py                              |   2 +
 icebram/Makefile                                   |   4 +-
 icebram/icebram.cc                                 |  37 +-
 icecompr/.gitignore                                |   9 +
 icecompr/Makefile                                  |  36 ++
 icecompr/README                                    |  52 +++
 icecompr/example_1k.bin                            | Bin 0 -> 32220 bytes
 icecompr/example_8k.bin                            | Bin 0 -> 135100 bytes
 icecompr/icecompr.cc                               | 304 ++++++++++++++
 icecompr/icecompr.py                               | 135 +++++++
 icecompr/iceuncompr.c                              | 162 ++++++++
 icefuzz/Makefile                                   |  30 +-
 icefuzz/cached_io.txt                              |  54 +++
 icefuzz/database.py                                |   9 +-
 icefuzz/extract.py                                 |   5 +
 icefuzz/fuzzconfig.py                              |  15 +
 icefuzz/icecube.sh                                 |  29 +-
 icefuzz/make_fanout.py                             |  15 +-
 icefuzz/make_fflogic.py                            |   9 +-
 icefuzz/make_gbio.py                               |  32 +-
 icefuzz/make_gbio2.py                              |  26 +-
 icefuzz/make_io.py                                 |  30 +-
 icefuzz/make_logic.py                              |  16 +-
 icefuzz/make_mesh.py                               |  13 +-
 icefuzz/make_prim.py                               |  22 +-
 .../{pinloc-1k-cm36.sh => pinloc-384-cm36.sh}      |  28 +-
 icefuzz/pinloc/pinloc-384-cm49.sh                  |  46 +++
 .../{pinloc-1k-swg16tr.sh => pinloc-384-qn32.sh}   |  23 +-
 icefuzz/tests/bitop.pcf                            |   6 +-
 icefuzz/tests/colbuf_384.py                        |  29 ++
 icefuzz/tests/{colbuf.sh => colbuf_384.sh}         |  33 +-
 icefuzz/tests/{colbuf_io.sh => colbuf_io_384.sh}   |  21 +-
 .../{colbuf_logic_8k.sh => colbuf_logic_384.sh}    |  14 +-
 icefuzz/tests/example_hx8kboard.pcf                |  18 +-
 icefuzz/tests/example_icestick.pcf                 |  12 +-
 icefuzz/tests/{io_latched.sh => io_latched_384.sh} |  19 +-
 icefuzz/tests/{ioctrl.py => ioctrl_384.py}         |   7 +-
 icefuzz/tests/ioctrl_384.sh                        |  33 ++
 icefuzz/timings_lp384.txt                          | 255 ++++++++++++
 icefuzz/tmedges.txt                                |   2 +
 icemulti/Makefile                                  |   4 +-
 icemulti/icemulti.cc                               |  33 +-
 icepack/Makefile                                   |   4 +-
 icepack/icepack.cc                                 |  90 +++--
 icepll/Makefile                                    |   4 +-
 icepll/icepll.cc                                   | 151 ++++++-
 iceprog/Makefile                                   |   5 +-
 iceprog/iceprog.1                                  | 155 ++++++++
 iceprog/iceprog.c                                  | 440 ++++++++++++---------
 icetime/Makefile                                   |   4 +-
 icetime/icetime.cc                                 |  61 ++-
 icetime/timings.py                                 |   2 +-
 79 files changed, 2655 insertions(+), 524 deletions(-)
 create mode 100644 examples/icestick/rs232demo.v
 copy examples/{hx8kboard => icezum}/.gitignore (100%)
 copy examples/{icestick => icezum}/Makefile (95%)
 copy examples/{hx8kboard => icezum}/example.v (63%)
 create mode 100644 examples/icezum/icezum.pcf
 create mode 100755 icebox/icebox_stat.py
 create mode 100644 icecompr/.gitignore
 create mode 100644 icecompr/Makefile
 create mode 100644 icecompr/README
 create mode 100644 icecompr/example_1k.bin
 create mode 100644 icecompr/example_8k.bin
 create mode 100644 icecompr/icecompr.cc
 create mode 100755 icecompr/icecompr.py
 create mode 100644 icecompr/iceuncompr.c
 copy icefuzz/pinloc/{pinloc-1k-cm36.sh => pinloc-384-cm36.sh} (55%)
 create mode 100644 icefuzz/pinloc/pinloc-384-cm49.sh
 copy icefuzz/pinloc/{pinloc-1k-swg16tr.sh => pinloc-384-qn32.sh} (55%)
 create mode 100644 icefuzz/tests/colbuf_384.py
 copy icefuzz/tests/{colbuf.sh => colbuf_384.sh} (65%)
 copy icefuzz/tests/{colbuf_io.sh => colbuf_io_384.sh} (55%)
 copy icefuzz/tests/{colbuf_logic_8k.sh => colbuf_logic_384.sh} (61%)
 copy icefuzz/tests/{io_latched.sh => io_latched_384.sh} (50%)
 copy icefuzz/tests/{ioctrl.py => ioctrl_384.py} (74%)
 create mode 100644 icefuzz/tests/ioctrl_384.sh
 create mode 100644 icefuzz/timings_lp384.txt
 create mode 100644 iceprog/iceprog.1

-- 
Alioth's /usr/local/bin/git-commit-notice on /srv/git.debian.org/git/debian-science/packages/fpga-icestorm.git



More information about the debian-science-commits mailing list