r60087 - in /branches/upstream/libverilog-perl/current: ./ Netlist/ Parser/ Preproc/ t/

gregoa at users.alioth.debian.org gregoa at users.alioth.debian.org
Mon Jul 5 17:56:33 UTC 2010


Author: gregoa
Date: Mon Jul  5 17:56:13 2010
New Revision: 60087

URL: http://svn.debian.org/wsvn/pkg-perl/?sc=1&rev=60087
Log:
[svn-upgrade] new version libverilog-perl (3.251)

Added:
    branches/upstream/libverilog-perl/current/t/80_vppreproc_defines.out
Modified:
    branches/upstream/libverilog-perl/current/Changes
    branches/upstream/libverilog-perl/current/EditFiles.pm
    branches/upstream/libverilog-perl/current/Getopt.pm
    branches/upstream/libverilog-perl/current/Language.pm
    branches/upstream/libverilog-perl/current/MANIFEST
    branches/upstream/libverilog-perl/current/META.yml
    branches/upstream/libverilog-perl/current/Netlist.pm
    branches/upstream/libverilog-perl/current/Netlist/Cell.pm
    branches/upstream/libverilog-perl/current/Netlist/ContAssign.pm
    branches/upstream/libverilog-perl/current/Netlist/Defparam.pm
    branches/upstream/libverilog-perl/current/Netlist/File.pm
    branches/upstream/libverilog-perl/current/Netlist/Interface.pm
    branches/upstream/libverilog-perl/current/Netlist/ModPort.pm
    branches/upstream/libverilog-perl/current/Netlist/Module.pm
    branches/upstream/libverilog-perl/current/Netlist/Net.pm
    branches/upstream/libverilog-perl/current/Netlist/Pin.pm
    branches/upstream/libverilog-perl/current/Netlist/Port.pm
    branches/upstream/libverilog-perl/current/Netlist/Subclass.pm
    branches/upstream/libverilog-perl/current/Parser/Parser.pm
    branches/upstream/libverilog-perl/current/Parser/SigParser.pm
    branches/upstream/libverilog-perl/current/Parser/bisonpre
    branches/upstream/libverilog-perl/current/Parser/callbackgen
    branches/upstream/libverilog-perl/current/Preproc/Preproc.pm
    branches/upstream/libverilog-perl/current/Std.pm
    branches/upstream/libverilog-perl/current/t/04_critic.t
    branches/upstream/libverilog-perl/current/t/80_vppreproc.t
    branches/upstream/libverilog-perl/current/vhier
    branches/upstream/libverilog-perl/current/vpassert
    branches/upstream/libverilog-perl/current/vppreproc
    branches/upstream/libverilog-perl/current/vrename

Modified: branches/upstream/libverilog-perl/current/Changes
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Changes?rev=60087&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Changes (original)
+++ branches/upstream/libverilog-perl/current/Changes Mon Jul  5 17:56:13 2010
@@ -2,6 +2,12 @@
 
 The contributors that suggested a given feature are shown in [].  [by ...]
 indicates the contributor was also the author of the fix; Thanks!
+
+* Verilog::Language 3.251 2010/06/29
+
+***	Add vppreproc --dump-defines option.
+
+****	Fix vpassert "POSIX::BUFSIZ" error on Perl 5.8.8.  [Chitlesh Goorah]
 
 * Verilog::Language 3.250 2010/06/21
 

Modified: branches/upstream/libverilog-perl/current/EditFiles.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/EditFiles.pm?rev=60087&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/EditFiles.pm (original)
+++ branches/upstream/libverilog-perl/current/EditFiles.pm Mon Jul  5 17:56:13 2010
@@ -13,7 +13,7 @@
 ######################################################################
 #### Configuration Section
 
-$VERSION = '3.250';
+$VERSION = '3.251';
 
 #######################################################################
 # CONSTRUCTORS

Modified: branches/upstream/libverilog-perl/current/Getopt.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Getopt.pm?rev=60087&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Getopt.pm (original)
+++ branches/upstream/libverilog-perl/current/Getopt.pm Mon Jul  5 17:56:13 2010
@@ -16,7 +16,7 @@
 ######################################################################
 #### Configuration Section
 
-$VERSION = '3.250';
+$VERSION = '3.251';
 
 # Basenames we should ignore when recursing directories,
 # Because they contain large files of no relevance
@@ -244,7 +244,7 @@
     my %args = (gcc_stlyle => $self->{gcc_style},);
     # Defines
     my @params = ();
-    foreach my $def (sort (keys %{$self->{defines}})) {
+    foreach my $def ($self->define_names_sorted) {
 	my $defvalue = $self->defvalue($def);
 	$defvalue = "=".($defvalue||"") if (defined $defvalue && $defvalue ne "");
 	if ($args{gcc_style}) {
@@ -430,6 +430,11 @@
 #######################################################################
 # Getopt functions
 
+sub define_names_sorted {
+    my $self = shift;
+    return (sort (keys %{$self->{defines}}));
+}
+
 sub defcmdline {
     my $self = shift;
     my $token = shift;
@@ -628,6 +633,10 @@
 argument if true indicates the define was set on the command line and
 should not be removed by `undefineall.
 
+=item $self->define_names_sorted
+
+Return sorted list of all define names that currently exist.
+
 =item $self->defparams ( $token )
 
 This method returns the parameter list of the define.  This will be defined,

Modified: branches/upstream/libverilog-perl/current/Language.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Language.pm?rev=60087&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Language.pm (original)
+++ branches/upstream/libverilog-perl/current/Language.pm Mon Jul  5 17:56:13 2010
@@ -140,7 +140,7 @@
 ######################################################################
 #### Configuration Section
 
-$VERSION = '3.250';
+$VERSION = '3.251';
 
 ######################################################################
 #### Internal Variables

Modified: branches/upstream/libverilog-perl/current/MANIFEST
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/MANIFEST?rev=60087&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/MANIFEST (original)
+++ branches/upstream/libverilog-perl/current/MANIFEST Mon Jul  5 17:56:13 2010
@@ -103,6 +103,7 @@
 t/60_vpassert.t
 t/80_vppreproc.t
 t/80_vppreproc_cmped.out
+t/80_vppreproc_defines.out
 t/80_vppreproc_none.out
 t/80_vppreproc_simple.out
 t/85_vhier.t

Modified: branches/upstream/libverilog-perl/current/META.yml
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/META.yml?rev=60087&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/META.yml (original)
+++ branches/upstream/libverilog-perl/current/META.yml Mon Jul  5 17:56:13 2010
@@ -1,6 +1,6 @@
 --- #YAML:1.0
 name:         Verilog-Perl
-version:      3.250
+version:      3.251
 version_from: Language.pm
 abstract:     Verilog language utilities and parsing
 license:      perl

Modified: branches/upstream/libverilog-perl/current/Netlist.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Netlist.pm?rev=60087&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Netlist.pm (original)
+++ branches/upstream/libverilog-perl/current/Netlist.pm Mon Jul  5 17:56:13 2010
@@ -14,7 +14,7 @@
 use strict;
 use vars qw($Debug $Verbose $VERSION);
 
-$VERSION = '3.250';
+$VERSION = '3.251';
 
 ######################################################################
 #### Error Handling

Modified: branches/upstream/libverilog-perl/current/Netlist/Cell.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Netlist/Cell.pm?rev=60087&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Netlist/Cell.pm (original)
+++ branches/upstream/libverilog-perl/current/Netlist/Cell.pm Mon Jul  5 17:56:13 2010
@@ -11,7 +11,7 @@
 @ISA = qw(Verilog::Netlist::Cell::Struct
 	Verilog::Netlist::Subclass);
 
-$VERSION = '3.250';
+$VERSION = '3.251';
 
 structs('new',
 	'Verilog::Netlist::Cell::Struct'

Modified: branches/upstream/libverilog-perl/current/Netlist/ContAssign.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Netlist/ContAssign.pm?rev=60087&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Netlist/ContAssign.pm (original)
+++ branches/upstream/libverilog-perl/current/Netlist/ContAssign.pm Mon Jul  5 17:56:13 2010
@@ -11,7 +11,7 @@
 @ISA = qw(Verilog::Netlist::ContAssign::Struct
 	Verilog::Netlist::Subclass);
 
-$VERSION = '3.250';
+$VERSION = '3.251';
 
 structs('new',
 	'Verilog::Netlist::ContAssign::Struct'

Modified: branches/upstream/libverilog-perl/current/Netlist/Defparam.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Netlist/Defparam.pm?rev=60087&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Netlist/Defparam.pm (original)
+++ branches/upstream/libverilog-perl/current/Netlist/Defparam.pm Mon Jul  5 17:56:13 2010
@@ -11,7 +11,7 @@
 @ISA = qw(Verilog::Netlist::Defparam::Struct
 	Verilog::Netlist::Subclass);
 
-$VERSION = '3.250';
+$VERSION = '3.251';
 
 structs('new',
 	'Verilog::Netlist::Defparam::Struct'

Modified: branches/upstream/libverilog-perl/current/Netlist/File.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Netlist/File.pm?rev=60087&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Netlist/File.pm (original)
+++ branches/upstream/libverilog-perl/current/Netlist/File.pm Mon Jul  5 17:56:13 2010
@@ -12,7 +12,7 @@
 @ISA = qw(Verilog::Netlist::File::Struct
 	Verilog::Netlist::Subclass);
 
-$VERSION = '3.250';
+$VERSION = '3.251';
 
 structs('new',
 	'Verilog::Netlist::File::Struct'

Modified: branches/upstream/libverilog-perl/current/Netlist/Interface.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Netlist/Interface.pm?rev=60087&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Netlist/Interface.pm (original)
+++ branches/upstream/libverilog-perl/current/Netlist/Interface.pm Mon Jul  5 17:56:13 2010
@@ -14,7 +14,7 @@
 @ISA = qw(Verilog::Netlist::Interface::Struct
 	Verilog::Netlist::Subclass);
 
-$VERSION = '3.250';
+$VERSION = '3.251';
 
 structs('new',
 	'Verilog::Netlist::Interface::Struct'

Modified: branches/upstream/libverilog-perl/current/Netlist/ModPort.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Netlist/ModPort.pm?rev=60087&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Netlist/ModPort.pm (original)
+++ branches/upstream/libverilog-perl/current/Netlist/ModPort.pm Mon Jul  5 17:56:13 2010
@@ -12,7 +12,7 @@
 @ISA = qw(Verilog::Netlist::ModPort::Struct
 	Verilog::Netlist::Subclass);
 
-$VERSION = '3.250';
+$VERSION = '3.251';
 
 structs('new',
 	'Verilog::Netlist::ModPort::Struct'

Modified: branches/upstream/libverilog-perl/current/Netlist/Module.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Netlist/Module.pm?rev=60087&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Netlist/Module.pm (original)
+++ branches/upstream/libverilog-perl/current/Netlist/Module.pm Mon Jul  5 17:56:13 2010
@@ -17,7 +17,7 @@
 @ISA = qw(Verilog::Netlist::Module::Struct
 	Verilog::Netlist::Subclass);
 
-$VERSION = '3.250';
+$VERSION = '3.251';
 
 structs('new',
 	'Verilog::Netlist::Module::Struct'

Modified: branches/upstream/libverilog-perl/current/Netlist/Net.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Netlist/Net.pm?rev=60087&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Netlist/Net.pm (original)
+++ branches/upstream/libverilog-perl/current/Netlist/Net.pm Mon Jul  5 17:56:13 2010
@@ -11,7 +11,7 @@
 @ISA = qw(Verilog::Netlist::Net::Struct
 	Verilog::Netlist::Subclass);
 
-$VERSION = '3.250';
+$VERSION = '3.251';
 
 my %_Type_Widths = (
     'bit'	=> 1,

Modified: branches/upstream/libverilog-perl/current/Netlist/Pin.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Netlist/Pin.pm?rev=60087&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Netlist/Pin.pm (original)
+++ branches/upstream/libverilog-perl/current/Netlist/Pin.pm Mon Jul  5 17:56:13 2010
@@ -16,7 +16,7 @@
 @ISA = qw(Verilog::Netlist::Pin::Struct
 	Verilog::Netlist::Subclass);
 
-$VERSION = '3.250';
+$VERSION = '3.251';
 
 structs('new',
 	'Verilog::Netlist::Pin::Struct'

Modified: branches/upstream/libverilog-perl/current/Netlist/Port.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Netlist/Port.pm?rev=60087&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Netlist/Port.pm (original)
+++ branches/upstream/libverilog-perl/current/Netlist/Port.pm Mon Jul  5 17:56:13 2010
@@ -11,7 +11,7 @@
 @ISA = qw(Verilog::Netlist::Port::Struct
 	Verilog::Netlist::Subclass);
 
-$VERSION = '3.250';
+$VERSION = '3.251';
 
 structs('_new_base',
 	'Verilog::Netlist::Port::Struct'

Modified: branches/upstream/libverilog-perl/current/Netlist/Subclass.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Netlist/Subclass.pm?rev=60087&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Netlist/Subclass.pm (original)
+++ branches/upstream/libverilog-perl/current/Netlist/Subclass.pm Mon Jul  5 17:56:13 2010
@@ -12,7 +12,7 @@
 use vars qw($VERSION @EXPORT);
 use strict;
 
-$VERSION = '3.250';
+$VERSION = '3.251';
 @EXPORT = qw(structs);
 
 # Maybe in the future.  For now all users of this must do it themselves

Modified: branches/upstream/libverilog-perl/current/Parser/Parser.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Parser/Parser.pm?rev=60087&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Parser/Parser.pm (original)
+++ branches/upstream/libverilog-perl/current/Parser/Parser.pm Mon Jul  5 17:56:13 2010
@@ -14,7 +14,7 @@
 use strict;
 use vars qw($VERSION $Debug);
 
-$VERSION = '3.250';
+$VERSION = '3.251';
 
 #$Debug sets the default value for debug.  You're better off with the object method though.
 

Modified: branches/upstream/libverilog-perl/current/Parser/SigParser.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Parser/SigParser.pm?rev=60087&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Parser/SigParser.pm (original)
+++ branches/upstream/libverilog-perl/current/Parser/SigParser.pm Mon Jul  5 17:56:13 2010
@@ -14,7 +14,7 @@
 ######################################################################
 #### Configuration Section
 
-$VERSION = '3.250';
+$VERSION = '3.251';
 
 our @_Callback_Names = qw(
   attribute

Modified: branches/upstream/libverilog-perl/current/Parser/bisonpre
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Parser/bisonpre?rev=60087&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Parser/bisonpre (original)
+++ branches/upstream/libverilog-perl/current/Parser/bisonpre Mon Jul  5 17:56:13 2010
@@ -9,7 +9,7 @@
 use strict;
 use vars qw ($Debug $VERSION);
 
-$VERSION = '3.250';
+$VERSION = '3.251';
 
 our $Self;
 

Modified: branches/upstream/libverilog-perl/current/Parser/callbackgen
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Parser/callbackgen?rev=60087&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Parser/callbackgen (original)
+++ branches/upstream/libverilog-perl/current/Parser/callbackgen Mon Jul  5 17:56:13 2010
@@ -9,7 +9,7 @@
 use strict;
 use vars qw ($Debug $VERSION);
 
-$VERSION = '3.250';
+$VERSION = '3.251';
 
 # xs_manual=>1,   -> The .xs file makes the handler itself
 

Modified: branches/upstream/libverilog-perl/current/Preproc/Preproc.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Preproc/Preproc.pm?rev=60087&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Preproc/Preproc.pm (original)
+++ branches/upstream/libverilog-perl/current/Preproc/Preproc.pm Mon Jul  5 17:56:13 2010
@@ -11,7 +11,7 @@
 use strict;
 use vars qw($VERSION);
 
-$VERSION = '3.250';
+$VERSION = '3.251';
 
 ######################################################################
 #### Configuration Section

Modified: branches/upstream/libverilog-perl/current/Std.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Std.pm?rev=60087&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Std.pm (original)
+++ branches/upstream/libverilog-perl/current/Std.pm Mon Jul  5 17:56:13 2010
@@ -14,7 +14,7 @@
 ######################################################################
 #### Configuration Section
 
-$VERSION = '3.250';
+$VERSION = '3.251';
 
 #######################################################################
 # ACCESSORS

Modified: branches/upstream/libverilog-perl/current/t/04_critic.t
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/t/04_critic.t?rev=60087&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/t/04_critic.t (original)
+++ branches/upstream/libverilog-perl/current/t/04_critic.t Mon Jul  5 17:56:13 2010
@@ -21,6 +21,7 @@
 	#-profile => "t/04_critic.rc"
 	Test::Perl::Critic->import( -verbose=>9,
 				    -exclude=>['ProhibitExplicitReturnUndef',
+					       'ProhibitNoStrict',
 					       'ProhibitStringyEval'],
 	    );
 	all_critic_ok();

Modified: branches/upstream/libverilog-perl/current/t/80_vppreproc.t
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/t/80_vppreproc.t?rev=60087&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/t/80_vppreproc.t (original)
+++ branches/upstream/libverilog-perl/current/t/80_vppreproc.t Mon Jul  5 17:56:13 2010
@@ -9,7 +9,7 @@
 use strict;
 use Test;
 
-BEGIN { plan tests => 6 }
+BEGIN { plan tests => 8 }
 BEGIN { require "t/test_utils.pl"; }
 
 print "Checking vppreproc...\n";
@@ -17,6 +17,7 @@
 vppreproc ("t/80_vppreproc_none.out",   "test_dir/vppreproc_none.v",	"");
 vppreproc ("t/80_vppreproc_cmped.out",  "test_dir/vppreproc_cmped.v",	"--nocomment --pedantic");
 vppreproc ("t/80_vppreproc_simple.out", "test_dir/vppreproc_simple.v", "--simple");
+vppreproc ("t/80_vppreproc_defines.out", "test_dir/vppreproc_defines.v", "--dump-defines");
 
 sub vppreproc {
     my $checkname = shift;

Added: branches/upstream/libverilog-perl/current/t/80_vppreproc_defines.out
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/t/80_vppreproc_defines.out?rev=60087&op=file
==============================================================================
--- branches/upstream/libverilog-perl/current/t/80_vppreproc_defines.out (added)
+++ branches/upstream/libverilog-perl/current/t/80_vppreproc_defines.out Mon Jul  5 17:56:13 2010
@@ -1,0 +1,2 @@
+`define _EMPTY 
+`define _EXAMPLE_INC2_V_ 1

Modified: branches/upstream/libverilog-perl/current/vhier
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/vhier?rev=60087&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/vhier (original)
+++ branches/upstream/libverilog-perl/current/vhier Mon Jul  5 17:56:13 2010
@@ -17,7 +17,7 @@
 use strict;
 use vars qw ($Debug $VERSION);
 
-$VERSION = '3.250';
+$VERSION = '3.251';
 
 ######################################################################
 # main

Modified: branches/upstream/libverilog-perl/current/vpassert
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/vpassert?rev=60087&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/vpassert (original)
+++ branches/upstream/libverilog-perl/current/vpassert Mon Jul  5 17:56:13 2010
@@ -36,7 +36,7 @@
 	     %Files %Files_Read
 	     %File_Dest
 	     );
-$VERSION = '3.250';
+$VERSION = '3.251';
 
 ######################################################################
 # configuration
@@ -1041,10 +1041,12 @@
     $fhw->print("`line 1 \"$srcfile\" 0\n") if $Opt_Line;
     # Unfortunately File::Copy::copy overwrites our line statement.
     my $eof;
+    my $chunk = POSIX::BUFSIZ;  # On 5.8.8 this isn't a number but text
+    $chunk = 8*1024 if $chunk !~ /^\d+$/;
     while (!$eof) {
 	my $data = '';
 	$!=undef;
-	my $rv = $fhr->sysread($data, POSIX::BUFSIZ, 0);
+	my $rv = $fhr->sysread($data, $chunk, 0);
 	#print "RRV=$rv b=$!\n" if $Debug;
 	$eof = 1 if !$rv || (!$fhr || ($! && $! != POSIX::EWOULDBLOCK));
 	$fhw->print($data);

Modified: branches/upstream/libverilog-perl/current/vppreproc
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/vppreproc?rev=60087&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/vppreproc (original)
+++ branches/upstream/libverilog-perl/current/vppreproc Mon Jul  5 17:56:13 2010
@@ -16,7 +16,7 @@
 use strict;
 use vars qw ($Debug $VERSION);
 
-$VERSION = '3.250';
+$VERSION = '3.251';
 
 ######################################################################
 # main
@@ -24,6 +24,7 @@
 $Debug = 0;
 my $opt_output_filename = undef;
 my $opt_blank=1;
+my $opt_dump_defines;
 my @opt_files;
 my @opt_pp_flags;
 
@@ -40,6 +41,7 @@
 		  "o=s"		=> \$opt_output_filename,
 		  "blank!"	=> \$opt_blank,
 		  "comment!"	=> sub { push @opt_pp_flags, (keep_comments=>$_[1]); },
+		  "dump-defines!" => \$opt_dump_defines,
 		  "line!"	=> sub { push @opt_pp_flags, (line_directives=>$_[1]); },
 		  "pedantic!"	=> sub { push @opt_pp_flags, (pedantic=>$_[1]); },
 		  "simple!"	=> sub { if ($_[1]) {
@@ -74,7 +76,15 @@
     # Alternatively, use $vp->getall for better performance
     while (defined (my $line = $vp->getline())) {
 	next if !$opt_blank && $line =~ /^\s*[\n]?$/;
-	print $fh $line;
+	print $fh $line unless $opt_dump_defines;
+    }
+}
+
+if ($opt_dump_defines) {
+    foreach my $name ($Opt->define_names_sorted) {
+	my $par = $Opt->defparams($name);  $par="" if !$par;
+	my $value = $Opt->defvalue($name);
+	printf "`define %s%s %s\n", $name,$par,$value;
     }
 }
 
@@ -174,6 +184,11 @@
 
 Use the given filename for output instead of stdout.
 
+=item --dump-defines
+
+Suppress normal output, and instead print a list of all defines existing at
+the end of processing the input file.
+
 =item --noblank
 
 Removes empty lines from the output.  Should be used with --noline, as if

Modified: branches/upstream/libverilog-perl/current/vrename
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/vrename?rev=60087&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/vrename (original)
+++ branches/upstream/libverilog-perl/current/vrename Mon Jul  5 17:56:13 2010
@@ -23,7 +23,7 @@
 	     $Debug $Opt_Xref $Opt_Crypt $Opt_Crypt_All $Opt_Write $Opt_Keywords
 	     @Files);
 
-$VERSION = '3.250';
+$VERSION = '3.251';
 
 ######################################################################
 




More information about the Pkg-perl-cvs-commits mailing list