[Pkg-sdl-commits] [SCM] Packaging of SDL_net branch, master, updated. debian/1.2.7-3

Manuel A. Fernandez Montecelo manuel.montezelo at gmail.com
Sun Dec 4 19:54:24 UTC 2011


The following commit has been merged in the master branch:
commit 9385ce81ba2f9e2c8f437fe4d8abad6184895389
Author: Manuel A. Fernandez Montecelo <manuel.montezelo at gmail.com>
Date:   Sun Dec 4 17:22:26 2011 +0000

    Convert to quilt, refresh and document (as per DEP-3) endian.patch

diff --git a/debian/patches/arm.patch b/debian/patches/arm.patch
index 51d7f4c..f9a6708 100644
--- a/debian/patches/arm.patch
+++ b/debian/patches/arm.patch
@@ -1,4 +1,7 @@
 Description: bad aligned access considerations on ARM
+ This patch is related with bug report #217221:
+   SDLNet_(Read|Write)(16|32) assume host endianness is always LE)
+ and the endian.patch
 Author: Sam Hocevar <sam at zoy.org>
 Last-Update: 2003-09-24
 Bug-Debian: http://bugs.debian.org/212570
diff --git a/debian/patches/20_endian.dpatch b/debian/patches/endian.patch
similarity index 77%
rename from debian/patches/20_endian.dpatch
rename to debian/patches/endian.patch
index cee1a0c..6a0d3a9 100644
--- a/debian/patches/20_endian.dpatch
+++ b/debian/patches/endian.patch
@@ -1,14 +1,13 @@
-#! /bin/sh /usr/share/dpatch/dpatch-run
-## 20_endian.dpatch by Mike Furr <mfurr at debian.org>
-##
-## All lines beginning with `## DP:' are a description of the patch.
-## DP: patch from Sam Hocevar <sam at zoy.org> debbug: #217221
+Description: SDLNet_(Read|Write)(16|32) assume host endianness is always LE
+ This patch is related with bug report #212570 (bad aligned access
+ considerations on ARM), and the arm.patch
+Author: Sam Hocevar <sam at zoy.org>
+Last-Update: 2003-10-23
+Bug-Debian: http://bugs.debian.org/217221
 
- at DPATCH@
-diff -urNad --exclude=CVS --exclude=.svn ./SDL_net.h /tmp/dpep-work.Ekf6YJ/sdl-net1.2-1.2.5/SDL_net.h
---- ./SDL_net.h	2005-07-02 18:29:08.563522184 -0400
-+++ /tmp/dpep-work.Ekf6YJ/sdl-net1.2-1.2.5/SDL_net.h	2005-07-02 18:29:22.901652469 -0400
-@@ -332,7 +332,6 @@
+--- a/SDL_net.h
++++ b/SDL_net.h
+@@ -356,7 +356,6 @@
  #define SDLNet_Write16(value, areap)	\
  	(*(Uint16 *)(areap) = SDL_SwapBE16(value))
  #else
@@ -16,7 +15,7 @@ diff -urNad --exclude=CVS --exclude=.svn ./SDL_net.h /tmp/dpep-work.Ekf6YJ/sdl-n
  #define SDLNet_Write16(value, areap)	\
  do 					\
  {					\
-@@ -340,15 +339,6 @@
+@@ -364,15 +363,6 @@
  	area[0] = (value >>  8) & 0xFF;	\
  	area[1] =  value        & 0xFF;	\
  } while ( 0 )
@@ -32,7 +31,7 @@ diff -urNad --exclude=CVS --exclude=.svn ./SDL_net.h /tmp/dpep-work.Ekf6YJ/sdl-n
  #endif /* !SDL_DATA_ALIGNED */
  
  /* Write a 32 bit value to network packet buffer */
-@@ -356,7 +346,6 @@
+@@ -380,7 +370,6 @@
  #define SDLNet_Write32(value, areap) 	\
  	*(Uint32 *)(areap) = SDL_SwapBE32(value);
  #else
@@ -40,7 +39,7 @@ diff -urNad --exclude=CVS --exclude=.svn ./SDL_net.h /tmp/dpep-work.Ekf6YJ/sdl-n
  #define SDLNet_Write32(value, areap) 	\
  do					\
  {					\
-@@ -366,17 +355,6 @@
+@@ -390,17 +379,6 @@
  	area[2] = (value >>  8) & 0xFF;	\
  	area[3] =  value       & 0xFF;	\
  } while ( 0 )
@@ -58,7 +57,7 @@ diff -urNad --exclude=CVS --exclude=.svn ./SDL_net.h /tmp/dpep-work.Ekf6YJ/sdl-n
  #endif /* !SDL_DATA_ALIGNED */
  
  /* Read a 16 bit value from network packet buffer */
-@@ -384,13 +362,8 @@
+@@ -408,13 +386,8 @@
  #define SDLNet_Read16(areap) 		\
  	(SDL_SwapBE16(*(Uint16 *)(areap)))
  #else
@@ -72,7 +71,7 @@ diff -urNad --exclude=CVS --exclude=.svn ./SDL_net.h /tmp/dpep-work.Ekf6YJ/sdl-n
  #endif /* !SDL_DATA_ALIGNED */
  
  /* Read a 32 bit value from network packet buffer */
-@@ -398,15 +371,9 @@
+@@ -422,15 +395,9 @@
  #define SDLNet_Read32(areap) 		\
  	(SDL_SwapBE32(*(Uint32 *)(areap)))
  #else
diff --git a/debian/patches/series b/debian/patches/series
index 43bf424..8333b8d 100644
--- a/debian/patches/series
+++ b/debian/patches/series
@@ -1,3 +1,3 @@
 arm.patch
-20_endian.dpatch
+endian.patch
 30_UDP_Bind.dpatch

-- 
Packaging of SDL_net



More information about the pkg-sdl-commits mailing list