[yosys] 42/57: Added man-pages for the two other binaries

Ruben Undheim rubund-guest at moszumanska.debian.org
Wed Sep 17 16:08:12 UTC 2014


This is an automated email from the git hooks/post-receive script.

rubund-guest pushed a commit to branch master
in repository yosys.

commit beabf8558d5d9afc4f9aa241e22a7e709dc0fb94
Author: Ruben Undheim <ruben.undheim at gmail.com>
Date:   Sat Sep 13 11:17:15 2014 +0200

    Added man-pages for the two other binaries
---
 debian/yosys-config.1    | 43 +++++++++++++++++++++++++++++++++++++++++++
 debian/yosys-filterlib.1 | 43 +++++++++++++++++++++++++++++++++++++++++++
 debian/yosys.manpages    |  2 ++
 3 files changed, 88 insertions(+)

diff --git a/debian/yosys-config.1 b/debian/yosys-config.1
new file mode 100644
index 0000000..c1f89a4
--- /dev/null
+++ b/debian/yosys-config.1
@@ -0,0 +1,43 @@
+.\"                                      Hey, EMACS: -*- nroff -*-
+.\" First parameter, NAME, should be all caps
+.\" Second parameter, SECTION, should be 1-8, maybe w/ subsection
+.\" other parameters are allowed: see man(7), man(1)
+.TH YOSYS-CONFIG 1 "September 13, 2014"
+.\" Please adjust this date whenever revising the manpage.
+.\"
+.\" Some roff macros, for reference:
+.\" .nh        disable hyphenation
+.\" .hy        enable hyphenation
+.\" .ad l      left justify
+.\" .ad b      justify to both left and right margins
+.\" .nf        disable filling
+.\" .fi        enable filling
+.\" .br        insert line break
+.\" .sp <n>    insert n+1 empty lines
+.\" for manpage-specific macros, see man(7)
+.SH NAME
+yosys-config \- Yosys Open SYnthesis Suite Config
+.SH SYNOPSIS
+.B yosys-config
+.RI "[ -exec ] [ --prefix pf ]" " args"
+.br
+.SH DESCRIPTION
+This manual page documents briefly the
+.B yosys-config
+command.
+.PP
+.\" TeX users may be more comfortable with the \fB<whatever>\fP and
+.\" \fI<whatever>\fP escape sequences to invode bold face and italics,
+.\" respectively.
+\fByosys-config\fP is a utility delivered together with yosys.
+.SH OPTIONS
+A summary of options is included below.
+.\"#.TP
+.\"a
+.SH SEE ALSO
+yosys(1), yosys-filterlib(1) 
+.SH AUTHOR
+yosys was written by Clifford Wolf <clifford at clifford.at>.
+.PP
+This manual page was written by Ruben Undheim <ruben.undheim at gmail.com>,
+for the Debian project (and may be used by others).
diff --git a/debian/yosys-filterlib.1 b/debian/yosys-filterlib.1
new file mode 100644
index 0000000..41b9d7c
--- /dev/null
+++ b/debian/yosys-filterlib.1
@@ -0,0 +1,43 @@
+.\"                                      Hey, EMACS: -*- nroff -*-
+.\" First parameter, NAME, should be all caps
+.\" Second parameter, SECTION, should be 1-8, maybe w/ subsection
+.\" other parameters are allowed: see man(7), man(1)
+.TH YOSYS-FILTERLIB 1 "September 13, 2014"
+.\" Please adjust this date whenever revising the manpage.
+.\"
+.\" Some roff macros, for reference:
+.\" .nh        disable hyphenation
+.\" .hy        enable hyphenation
+.\" .ad l      left justify
+.\" .ad b      justify to both left and right margins
+.\" .nf        disable filling
+.\" .fi        enable filling
+.\" .br        insert line break
+.\" .sp <n>    insert n+1 empty lines
+.\" for manpage-specific macros, see man(7)
+.SH NAME
+yosys-filterlib \- Yosys Open SYnthesis Suite Filterlib 
+.SH SYNOPSIS
+.B yosys-filterlib
+.RI "[ rules-files [liberty-file]]"
+.br
+.SH DESCRIPTION
+This manual page documents briefly the
+.B yosys-filterlib
+command.
+.PP
+.\" TeX users may be more comfortable with the \fB<whatever>\fP and
+.\" \fI<whatever>\fP escape sequences to invode bold face and italics,
+.\" respectively.
+\fByosys-filterlib\fP is a utility delivered together with yosys.
+.SH OPTIONS
+A summary of options is included below.
+.\"#.TP
+.\"a
+.SH SEE ALSO
+yosys(1), yosys-config(1) 
+.SH AUTHOR
+yosys was written by Clifford Wolf <clifford at clifford.at>.
+.PP
+This manual page was written by Ruben Undheim <ruben.undheim at gmail.com>,
+for the Debian project (and may be used by others).
diff --git a/debian/yosys.manpages b/debian/yosys.manpages
index e6a0628..ec19f5c 100644
--- a/debian/yosys.manpages
+++ b/debian/yosys.manpages
@@ -1 +1,3 @@
 debian/yosys.1
+debian/yosys-config.1
+debian/yosys-filterlib.1

-- 
Alioth's /usr/local/bin/git-commit-notice on /srv/git.debian.org/git/debian-science/packages/yosys.git



More information about the debian-science-commits mailing list