[yosys] 01/02: Merge branch 'upstream'

Ruben Undheim rubund-guest at moszumanska.debian.org
Fri Jul 24 10:18:53 UTC 2015


This is an automated email from the git hooks/post-receive script.

rubund-guest pushed a commit to branch master
in repository yosys.

commit 96fc55dbf9264a9c575dc94e47da136dc242b4fd
Merge: f2a2faf c6ca478
Author: Ruben Undheim <ruben.undheim at gmail.com>
Date:   Fri Jul 24 12:07:08 2015 +0200

    Merge branch 'upstream'

 .gitignore                                         |   6 +-
 CodingReadme                                       |  99 ++-
 Makefile                                           |  46 +-
 README                                             |  17 +-
 backends/blif/blif.cc                              |  58 +-
 backends/btor/README                               |   6 +-
 backends/btor/btor.cc                              | 220 ++++--
 backends/btor/btor.ys                              |  18 -
 backends/btor/verilog2btor.sh                      |  14 +-
 backends/edif/edif.cc                              |   4 +-
 backends/ilang/ilang_backend.cc                    |   6 +-
 backends/ilang/ilang_backend.h                     |   4 +-
 backends/intersynth/intersynth.cc                  |   6 +-
 backends/json/Makefile.inc                         |   3 +
 backends/json/json.cc                              | 538 +++++++++++++
 backends/smt2/smt2.cc                              | 398 +++++++---
 backends/smv/Makefile.inc                          |   3 +
 backends/smv/smv.cc                                | 755 ++++++++++++++++++
 backends/spice/spice.cc                            |   6 +-
 backends/verilog/verilog_backend.cc                | 305 +++++++-
 frontends/ast/ast.cc                               |  18 +-
 frontends/ast/ast.h                                |  14 +-
 frontends/ast/dpicall.cc                           |   4 +-
 frontends/ast/genrtlil.cc                          |  67 +-
 frontends/ast/simplify.cc                          | 115 ++-
 frontends/blif/Makefile.inc                        |   3 +
 {passes/abc => frontends/blif}/blifparse.cc        | 181 ++++-
 {passes/abc => frontends/blif}/blifparse.h         |   6 +-
 frontends/ilang/ilang_frontend.cc                  |   4 +-
 frontends/ilang/ilang_frontend.h                   |   4 +-
 frontends/ilang/ilang_lexer.l                      |   4 +-
 frontends/ilang/ilang_parser.y                     |   4 +-
 frontends/liberty/liberty.cc                       |   6 +-
 frontends/verific/build_amd64.txt                  |   2 +-
 frontends/verific/verific.cc                       |   8 +-
 frontends/verilog/const2ast.cc                     |   4 +-
 frontends/verilog/preproc.cc                       |   8 +-
 frontends/verilog/verilog_frontend.cc              |  35 +-
 frontends/verilog/verilog_frontend.h               |   7 +-
 frontends/verilog/verilog_lexer.l                  |  16 +-
 frontends/verilog/verilog_parser.y                 |  56 +-
 frontends/vhdl2verilog/vhdl2verilog.cc             |   6 +-
 kernel/bitpattern.h                                |   6 +-
 kernel/calc.cc                                     |  39 +-
 kernel/cellaigs.cc                                 | 481 ++++++++++++
 passes/abc/blifparse.h => kernel/cellaigs.h        |  31 +-
 kernel/celltypes.h                                 |  18 +-
 kernel/consteval.h                                 |   4 +-
 kernel/cost.h                                      |   4 +-
 kernel/driver.cc                                   |  53 +-
 kernel/hashlib.h                                   |  73 +-
 kernel/log.cc                                      |  31 +-
 kernel/log.h                                       |   6 +-
 kernel/macc.h                                      |   4 +-
 kernel/modtools.h                                  |   4 +-
 kernel/register.cc                                 |  36 +-
 kernel/register.h                                  |   8 +-
 kernel/rtlil.cc                                    | 122 ++-
 kernel/rtlil.h                                     |  84 +-
 kernel/satgen.h                                    |  66 +-
 kernel/sigtools.h                                  |   4 +-
 kernel/utils.h                                     |   6 +-
 kernel/yosys.cc                                    |  75 +-
 kernel/yosys.h                                     |  23 +-
 libs/ezsat/demo_bit.cc                             |   4 +-
 libs/ezsat/demo_cmp.cc                             |   4 +-
 libs/ezsat/demo_vec.cc                             |   4 +-
 libs/ezsat/ezminisat.cc                            |   4 +-
 libs/ezsat/ezminisat.h                             |   4 +-
 libs/ezsat/ezsat.cc                                |   6 +-
 libs/ezsat/ezsat.h                                 |   4 +-
 libs/ezsat/puzzle3d.cc                             |   6 +-
 libs/ezsat/testbench.cc                            |   8 +-
 libs/subcircuit/README                             |   4 +-
 libs/subcircuit/subcircuit.cc                      |   4 +-
 libs/subcircuit/subcircuit.h                       |   6 +-
 libs/subcircuit/test_large.spl                     |   2 +-
 manual/APPNOTE_010_Verilog_to_BLIF.tex             |  12 +-
 manual/APPNOTE_011_Design_Investigation.tex        |  40 +-
 manual/APPNOTE_012_Verilog_to_BTOR.tex             | 435 +++++++++++
 manual/CHAPTER_Appnotes.tex                        |   2 +-
 manual/CHAPTER_Basics.tex                          |   8 +-
 manual/CHAPTER_CellLib.tex                         |   2 +-
 manual/CHAPTER_Eval/grep-it.sh                     |   2 +-
 manual/CHAPTER_Intro.tex                           |   6 +-
 manual/CHAPTER_Optimize.tex                        |   2 +-
 manual/CHAPTER_Overview.tex                        |  10 +-
 manual/CHAPTER_Prog/stubnets.cc                    |   2 +-
 manual/CHAPTER_StateOfTheArt/simlib_hana.v         | 204 ++---
 manual/CHAPTER_StateOfTheArt/simlib_yosys.v        |   4 +-
 manual/CHAPTER_Verilog.tex                         |   8 +-
 manual/PRESENTATION_ExAdv.tex                      |   6 +-
 manual/PRESENTATION_ExAdv/addshift_map.v           |   8 +-
 manual/PRESENTATION_ExAdv/red_or3x1_map.v          |   6 +-
 manual/PRESENTATION_ExAdv/sym_mul_map.v            |   6 +-
 manual/PRESENTATION_ExOth.tex                      |   4 +-
 manual/PRESENTATION_ExSyn.tex                      |   2 +-
 manual/PRESENTATION_Intro/counter.ys               |   2 +-
 manual/PRESENTATION_Prog.tex                       |   2 +-
 manual/appnotes.sh                                 |   2 +-
 manual/command-reference-manual.tex                |  12 +-
 misc/yosysjs/demo01.html                           | 197 +++++
 misc/yosysjs/demo02.html                           | 103 +++
 misc/yosysjs/demo03.html                           | 103 +++
 misc/yosysjs/yosysjs.js                            | 312 ++++++++
 misc/yosysjs/yosyswrk.js                           |  63 ++
 passes/abc/Makefile.inc                            |   6 -
 passes/cmds/Makefile.inc                           |   1 +
 passes/cmds/add.cc                                 |   6 +-
 passes/cmds/check.cc                               | 154 ++++
 passes/cmds/connect.cc                             |   6 +-
 passes/cmds/connwrappers.cc                        |   6 +-
 passes/cmds/copy.cc                                |   6 +-
 passes/cmds/delete.cc                              |   6 +-
 passes/cmds/design.cc                              |   4 +-
 passes/cmds/rename.cc                              |  31 +-
 passes/cmds/scatter.cc                             |   6 +-
 passes/cmds/scc.cc                                 |  61 +-
 passes/cmds/select.cc                              |  90 ++-
 passes/cmds/setattr.cc                             | 113 ++-
 passes/cmds/setundef.cc                            |   6 +-
 passes/cmds/show.cc                                |  22 +-
 passes/cmds/splice.cc                              |  35 +-
 passes/cmds/splitnets.cc                           |  19 +-
 passes/cmds/stat.cc                                |  21 +-
 passes/equiv/equiv_add.cc                          |   4 +-
 passes/equiv/equiv_induct.cc                       |  39 +-
 passes/equiv/equiv_make.cc                         |   4 +-
 passes/equiv/equiv_miter.cc                        |   4 +-
 passes/equiv/equiv_remove.cc                       |   4 +-
 passes/equiv/equiv_simple.cc                       |  26 +-
 passes/equiv/equiv_status.cc                       |   4 +-
 passes/fsm/fsm.cc                                  |   6 +-
 passes/fsm/fsm_detect.cc                           |   6 +-
 passes/fsm/fsm_expand.cc                           |   6 +-
 passes/fsm/fsm_export.cc                           |   4 +-
 passes/fsm/fsm_extract.cc                          |  31 +-
 passes/fsm/fsm_info.cc                             |   6 +-
 passes/fsm/fsm_map.cc                              |   6 +-
 passes/fsm/fsm_opt.cc                              |  10 +-
 passes/fsm/fsm_recode.cc                           |  10 +-
 passes/fsm/fsmdata.h                               |   4 +-
 passes/hierarchy/hierarchy.cc                      |  85 +-
 passes/hierarchy/submod.cc                         |   6 +-
 passes/memory/memory.cc                            |  17 +-
 passes/memory/memory_bram.cc                       |  75 +-
 passes/memory/memory_collect.cc                    | 135 ++--
 passes/memory/memory_dff.cc                        | 302 +++----
 passes/memory/memory_map.cc                        |  33 +-
 passes/memory/memory_share.cc                      |  23 +-
 passes/memory/memory_unpack.cc                     |  23 +-
 passes/opt/Makefile.inc                            |   4 +-
 passes/opt/opt.cc                                  |  37 +-
 passes/opt/opt_clean.cc                            |  35 +-
 passes/opt/opt_const.cc                            | 114 ++-
 passes/opt/opt_muxtree.cc                          |   6 +-
 passes/opt/opt_reduce.cc                           |   6 +-
 passes/opt/opt_rmdff.cc                            |  61 +-
 passes/opt/opt_share.cc                            |  37 +-
 passes/opt/share.cc                                |  40 +-
 passes/opt/wreduce.cc                              |  38 +-
 passes/proc/Makefile.inc                           |   1 +
 passes/proc/proc.cc                                |  11 +-
 passes/proc/proc_arst.cc                           |  11 +-
 passes/proc/proc_clean.cc                          |   6 +-
 passes/proc/proc_dff.cc                            |   6 +-
 passes/proc/proc_dlatch.cc                         | 308 ++++++++
 passes/proc/proc_init.cc                           |   6 +-
 passes/proc/proc_mux.cc                            |   6 +-
 passes/proc/proc_rmdead.cc                         |   6 +-
 passes/sat/eval.cc                                 |  28 +-
 passes/sat/expose.cc                               |   6 +-
 passes/sat/freduce.cc                              |  71 +-
 passes/sat/miter.cc                                |   8 +-
 passes/sat/sat.cc                                  | 406 +++++++---
 passes/techmap/Makefile.inc                        |  10 +
 passes/{abc => techmap}/abc.cc                     | 112 ++-
 passes/techmap/aigmap.cc                           | 149 ++++
 passes/techmap/alumacc.cc                          |   6 +-
 passes/techmap/dff2dffe.cc                         |  72 +-
 passes/techmap/dffinit.cc                          | 121 +++
 passes/techmap/dfflibmap.cc                        |  14 +-
 passes/techmap/extract.cc                          |  10 +-
 passes/techmap/hilomap.cc                          |   6 +-
 passes/techmap/iopadmap.cc                         |  19 +-
 passes/techmap/libparse.cc                         |  12 +-
 passes/techmap/libparse.h                          |   4 +-
 passes/techmap/maccmap.cc                          |  10 +-
 passes/techmap/muxcover.cc                         | 632 +++++++++++++++
 passes/techmap/pmuxtree.cc                         | 112 +++
 passes/techmap/simplemap.cc                        |  62 +-
 passes/techmap/simplemap.h                         |   5 +-
 passes/techmap/techmap.cc                          | 156 ++--
 passes/tests/test_abcloop.cc                       |  24 +-
 passes/tests/test_autotb.cc                        |   8 +-
 passes/tests/test_cell.cc                          |  17 +-
 techlibs/cmos/cmos_cells.lib                       |   3 +-
 techlibs/cmos/cmos_cells.v                         |  15 +-
 techlibs/cmos/counter.v                            |   2 +-
 techlibs/common/simcells.v                         |  33 +-
 techlibs/common/simlib.v                           |  81 +-
 techlibs/common/synth.cc                           |  67 +-
 techlibs/common/techmap.v                          |  16 +-
 techlibs/ice40/.gitignore                          |   4 +
 techlibs/ice40/Makefile.inc                        |  29 +
 techlibs/{xilinx => ice40}/arith_map.v             |  59 +-
 techlibs/ice40/brams.txt                           |  40 +
 techlibs/ice40/brams_init.py                       |  17 +
 techlibs/ice40/brams_map.v                         | 309 ++++++++
 techlibs/ice40/cells_map.v                         |  57 ++
 techlibs/ice40/cells_sim.v                         | 867 +++++++++++++++++++++
 techlibs/ice40/ice40_ffssr.cc                      | 123 +++
 techlibs/ice40/ice40_opt.cc                        | 170 ++++
 .../synth_xilinx.cc => ice40/synth_ice40.cc}       | 154 +++-
 techlibs/ice40/tests/.gitignore                    |   2 +
 techlibs/ice40/tests/test_arith.v                  |   3 +
 techlibs/ice40/tests/test_arith.ys                 |  10 +
 techlibs/ice40/tests/test_bram.sh                  |  19 +
 techlibs/ice40/tests/test_bram.v                   |  24 +
 .../bram1_tb.v => ice40/tests/test_bram_tb.v}      |  48 +-
 techlibs/ice40/tests/test_ffs.sh                   |  20 +
 techlibs/ice40/tests/test_ffs.v                    |  42 +
 techlibs/xilinx/.gitignore                         |   2 +
 techlibs/xilinx/Makefile.inc                       |  25 +
 techlibs/xilinx/arith_map.v                        |   4 +-
 techlibs/xilinx/brams.txt                          |   6 +-
 techlibs/xilinx/brams_bb.v                         | 319 ++++++++
 techlibs/xilinx/brams_init.py                      |  37 +
 techlibs/xilinx/brams_map.v                        | 232 ++++--
 techlibs/xilinx/drams.txt                          |  36 +
 techlibs/xilinx/drams_bb.v                         |  20 +
 techlibs/xilinx/drams_map.v                        |  63 ++
 techlibs/xilinx/synth_xilinx.cc                    |  47 +-
 techlibs/xilinx/tests/.gitignore                   |   3 +
 techlibs/xilinx/tests/bram1.sh                     |   3 +-
 techlibs/xilinx/tests/bram1.v                      |  17 +
 techlibs/xilinx/tests/bram1_tb.v                   |  52 +-
 techlibs/xilinx/tests/bram2.sh                     |   8 +
 techlibs/xilinx/tests/bram2.v                      |  35 +
 techlibs/xilinx/tests/bram2_tb.v                   |  56 ++
 tests/asicworld/code_hdl_models_dlatch_reset.v     |  30 -
 tests/asicworld/code_hdl_models_ram_sp_ar_sw.v     |  58 --
 tests/asicworld/code_hdl_models_ram_sp_sr_sw.v     |  62 --
 tests/bram/generate.py                             |   8 +-
 tests/fsm/generate.py                              | 148 ++--
 tests/realmath/generate.py                         | 103 +--
 tests/share/generate.py                            |  94 +--
 tests/simple/loops.v                               |   6 +-
 tests/simple/mem2reg.v                             |   2 +-
 tests/simple/memory.v                              |  23 +
 tests/simple/omsp_dbg_uart.v                       |   4 +-
 tests/smv/.gitignore                               |   1 +
 tests/smv/run-single.sh                            |  33 +
 tests/smv/run-test.sh                              |  19 +
 tests/techmap/mem_simple_4x1_map.v                 |   5 +
 tests/tools/autotest.sh                            |   2 +-
 tests/various/muxcover.ys                          |  51 ++
 tests/vloghtb/common.sh                            |  36 +
 tests/vloghtb/test_febe.sh                         |  13 +
 259 files changed, 11797 insertions(+), 2258 deletions(-)

-- 
Alioth's /usr/local/bin/git-commit-notice on /srv/git.debian.org/git/debian-science/packages/yosys.git



More information about the debian-science-commits mailing list