[fpga-icestorm] branch master updated (0882fef -> 8691efe)

Ruben Undheim rubund-guest at moszumanska.debian.org
Thu Feb 25 23:20:25 UTC 2016


This is an automated email from the git hooks/post-receive script.

rubund-guest pushed a change to branch master
in repository fpga-icestorm.

      from  0882fef   Make build reproducible
      adds  11c9592   Added pinloc fuzzers for 1k vq100 and cb132
      adds  f1e0964   Spelling
      adds  1f4e4d7   Started work on icetime
      adds  de5ed1f   Progress in icetime
      adds  9c6e9ef   Progress in icetime
      adds  f961c41   Progress in icetime
      adds  c5862ab   Progress in icetime
      adds  dbe71cd   iceprog: Print status messages into stderr
      adds  33c1a3e   iceprog: Print help with a single fprintf call
      adds  c3617cc   iceprog: Added support for reading/writing data to pipes
      adds  c373976   Merge branch 'iceprog-pipe' of https://github.com/jhol/icestorm
      adds  3e478a4   Revert "iceprog: Print help with a single fprintf call"
      adds  0ff194f   Progress in icetime
      adds  a0446c1   Added link to spanish fpga tutorial
      adds  e517134   fix CRAM command doc
      adds  64db1d0   Merge pull request #12 from alibabashack/fix-cram-doc
      adds  25aa4ce   Progress in icetime
      adds  647eedf   Progress in icetime
      adds  d193e58   Progress in icetime
      adds  b862fd3   Progress in icetime
      adds  45ab628   add 1k package variant vq100 to pinloc_db and ieren_db
      adds  cfb3b65   Merge pull request #13 from alibabashack/1k-vq100
      adds  6d2087c   Indent fix
      adds  f4b7aca   icetime progress
      adds  f266dbe   icetime progress
      adds  2a8c4b7   icetime progress
      adds  e4ed27a   icetime progress
      adds  8447080   Added heuristics to further reduce LUT equations.
      adds  ff83127   Merge pull request #14 from ylm/master
      adds  b53f442   icetime progress
      adds  1a99f95   icetime progress
      adds  7ea81c4   icetime progress
      adds  b8cf8e1   icetime progress
      adds  dc36987   icetime progress
      adds  406c2ad   Revert "Added heuristics to further reduce LUT equations."
      adds  39337b7   Webpage updates
      adds  dcd893c   Bugfix in icebox_vlog.py
      adds  cddf6f9   icetime progress
      adds  9c9983c   Added lutff_i/lout net to model
      adds  5e1dc78   Python3 fixes
      adds  2696346   Fixed very long usleep in iceprog flash_wait()
      adds  f7cb6e8   Added icestick and hx8kboard examples
      adds  da99818   Added mxe-based win32 build
      adds  b0e77ec   Added link
      adds  fbbbff9   Added icebox_diff help message
      adds  8cd8493   Tidy up an unused variable warning
      adds  7852514   Merge pull request #17 from scanlime/master
      adds  d6a60bc   remove trailing tab in icestick example verilog
      adds  39d53a6   Merge pull request #19 from FSMaxB/patch-1
      adds  db5e1a2   remove trailing tab in hx8kboard example verilog
      adds  eb85e29   Merge pull request #20 from FSMaxB/patch-2
      adds  de33788   [examples] Made the example Makefiles easier to reuse.
      adds  3ea5dbe   [examples] Added the project bin file to prog dependencies.
      adds  3975b0a   [examples] Added sudo prog target to prevent compiling the code as root. And made make clean more conservative.
      adds  51067af   Merge pull request #18 from esden/flex_example_make
      adds  cf5515e   notes for installing the toolchain on mac osx
      adds  1e4c798   edited the osx notes to with better readibility
      adds  745d81d   Merge pull request #21 from PedroLopes/master
      adds  8025d02   Added pinloc fuzzers for iCE40-LP1k qn84
      adds  6ffd647   Added pinloc fuzzers for iCE40-LP1k qn84
      adds  82d9187   Added pins for iCE40-LP1k qn84
      adds  6aeb515   Fixed route Icecube2
      adds  2f2abe0   Merge pull request #22 from juan-micuss/master
      adds  eb1d530   website: add installation instructions for archlinux
      adds  264499a   Merge pull request #23 from FSMaxB/arch-package-docs
      adds  2d03b61   Documentation updates (install notes for OSX and Archlinux)
      adds  b9e6d07   Added config.mk, correct DESTDIR/PREFIX usage
      adds  3ee3053   Added link to 32c3 presentation
      adds  ed545ce   icetime progress
      adds  804e47d   Renamed IceBox .txt files to .asc files
      adds  8bc6182   Fixed icefuzz/runloop.sh for git
      adds  75421c0   Updated iCEcube2 version in icecube.sh to 2015.08, switched from Synplify to LSE
      adds  bf66041   Added "icepll" PLL parameters calculator
      adds  062d07e   icetime progress
      adds  22e1f33   icetime progress
      adds  c4e5a5e   icetime progress
      adds  2fe7042   Fuzzed RamCascade bits
      adds  ea77c4c   icetime progress
      adds  986b644   icetime progress
      adds  d939d1b   icetime progress
      adds  0cfb6ee   icetime progress
      adds  8467e95   icetime progress
      adds  fdaac35   icetime progress
      adds  bbfc66f   icefuzz improvements (hacks for sbtimer device info)
      adds  92d3ea0   icefuzz improvements, refuzz timings
      adds  6396243   icetime progress
      adds  c13040c   Bugfix in "icetime -i"
      adds  7b7f75e   added CascadeBuf to icefuzz/tmedges.ys
      adds  7902d3a   Added icetime to examples
      adds  cb78368   icetime docs
      adds  eabb851   Added icefuzz/icecube.sh [-1k|-8k]
      adds  456729a   added icetime video to web page
      adds  7a1e662   added icetime -o/-r options
      adds  0ecaedf   links
      adds  f22f946   icetime: fixed chipdb path issue for Arch Linux
      adds  7ef4cdc   Merge pull request #25 from SebastianBoe/chipdb_path_issue
      adds  b1475b9   Merge branch 'master' of github.com:cliffordwolf/icestorm
      adds  da6ad20   Improved icetime PREFIX handling
      adds  54bf7cf   Fixed gcc 4.7.2 compiler warning in icetime
      adds  93fc358   icetime progress
      adds  cdb0b22   added iceBurn link
      adds  4fb1bfd   icetime progress
      adds  f1592d0   Allow DESTDIR and PREFIX overrides from environment.
      adds  438c0b5   Port example to iceblink40 board.
      adds  6470f00   Merge pull request #26 from kraiskil/master
      adds  c0c06cd   Added 4k cb132 package
      adds  496f456   Improvements in icefuzz/pinloc
      adds  d2fd5f4   Sort pinloc_db entries
      adds  8b61165   Added CodeOfConduct
      adds  81c33a3   Timing models for LP and HX devices
      adds  c0a531e   Website edits
      adds  2551db6   Added IcePLL to web site
      adds  b18dfa9   Added 8k-cm225 package
      adds  375f3ab   Added lp1k-swg16tr package
      adds  d90ec2e   Added lp1k-cb81 package
      adds  ef559ab   Added lp1k-cb121 package
      adds  abb76ab   Added lp1k-cm36 package
      adds  788b101   Added lp1k-cm49 package
      adds  c06f34e   Added lp1k-cm81 package
      adds  8ca5e89   Added lp1k-cm121 package
      adds  da9b971   Added LP4K and LP8K variants to icecube.sh
      adds  b2b4341   Added lp4k-cm225 package
      adds  6c55b7d   Added lp8k-cm121 package
      adds  d9006f1   Added lp8k-cm81 package
      adds  bd113ba   Added lp4k-cm121 package
      adds  b6ce045   Added lp4k-cm81 package
      adds  8906886   Website updates
      adds  b5d38f3   More website edits
      adds  b49d2d3   website typo fix
      adds  873baf7   [Icetime] PREFIX expansion for locating the chipdb files in the user home directory
      adds  04e6143   Cleanups for PREFIX patch
      adds  a519d2a   Added/improved support for mxe-based win32 cross builds
      adds  f2b2549   Added udev rules to docs
       new  996ddb4   Merge remote-tracking branch 'clifford-wolf/master' into update-upstream
       new  243f0f0   debian/changelog: new upstream version
       new  bcacf7c   refresh the python install path patch
       new  09f946e   refresh cxxflags.patch
       new  a6d3804   set PREFIX to /usr when installing
       new  a6a3271   let debian pick CC and CXX
       new  172db03   add manpages for icepll and icetime
       new  0cec224   More in changelog entry
       new  8691efe   Get rid of more lintians

The 9 revisions listed above as "new" are entirely new to this
repository and will be described in separate emails.  The revisions
listed as "adds" were already present in the repository and have only
been added to this reference.


Summary of changes:
 .gitignore                                         |    2 +
 CodeOfConduct                                      |   73 +
 Makefile                                           |   17 +-
 config.mk                                          |    9 +
 debian/changelog                                   |   20 +
 debian/control                                     |    2 +-
 debian/man/genmanpages.sh                          |    2 +
 debian/man/icepll.txt                              |   29 +
 debian/man/icetime.txt                             |   51 +
 debian/patches/01_installpath.patch                |  155 +-
 debian/patches/03_cxxflags.patch                   |   64 +-
 debian/patches/fix_spelling_in_binaries.patch      |   31 +
 debian/patches/override-CXX.patch                  |   14 +
 debian/patches/series                              |    2 +
 debian/rules                                       |    3 +
 docs/format.html                                   |    4 +-
 docs/index.html                                    |  193 +-
 docs/io_tile.html                                  |    2 +-
 docs/logic_tile.html                               |    8 +-
 docs/notes_osx.html                                |   80 +
 examples/hx8kboard/.gitignore                      |    4 +
 examples/hx8kboard/Makefile                        |   30 +
 examples/hx8kboard/example.v                       |   25 +
 .../hx8kboard/hx8kboard.pcf                        |    0
 examples/iceblink/.gitignore                       |    4 +
 examples/iceblink/Makefile                         |   29 +
 examples/iceblink/README                           |   10 +
 examples/iceblink/example.v                        |   24 +
 examples/iceblink/iceblink.pcf                     |    5 +
 examples/icestick/.gitignore                       |    4 +
 examples/icestick/Makefile                         |   30 +
 examples/icestick/example.v                        |   22 +
 .../icestick/icestick.pcf                          |    0
 icebox/Makefile                                    |   52 +-
 icebox/icebox.py                                   | 1871 +++++++++++++++--
 icebox/icebox_chipdb.py                            |    2 +-
 icebox/icebox_colbuf.py                            |    2 +-
 icebox/icebox_diff.py                              |    6 +
 icebox/icebox_explain.py                           |    2 +-
 icebox/icebox_html.py                              |   13 +-
 icebox/icebox_maps.py                              |    2 +-
 icebox/icebox_vlog.py                              |   21 +-
 icebox/iceboxdb.py                                 |   26 +-
 icefuzz/.gitignore                                 |    6 +-
 icefuzz/Makefile                                   |   35 +-
 icefuzz/cached_io.txt                              |    8 +
 icefuzz/cached_ramt.txt                            |   40 +
 icefuzz/cached_ramt_8k.txt                         |   40 +
 icefuzz/check.sh                                   |    4 +-
 icefuzz/database.py                                |    8 +-
 icefuzz/fuzzconfig.py                              |    4 +
 icefuzz/icecube.sh                                 |  229 ++-
 icefuzz/make_aig.py                                |   59 +
 icefuzz/make_gbio.py                               |    6 +-
 icefuzz/make_gbio2.py                              |    6 +-
 icefuzz/make_mem.py                                |   40 +
 icefuzz/make_pll.py                                |   18 +-
 icefuzz/pinloc/.gitignore                          |    8 +
 icefuzz/pinloc/pinloc-1k-cb121.sh                  |   49 +
 icefuzz/pinloc/pinloc-1k-cb132.sh                  |   47 +
 icefuzz/pinloc/pinloc-1k-cb81.sh                   |   47 +
 icefuzz/pinloc/pinloc-1k-cm121.sh                  |   49 +
 icefuzz/pinloc/pinloc-1k-cm36.sh                   |   44 +
 icefuzz/pinloc/pinloc-1k-cm49.sh                   |   45 +
 icefuzz/pinloc/pinloc-1k-cm81.sh                   |   47 +
 icefuzz/pinloc/pinloc-1k-qn84.sh                   |   43 +
 icefuzz/pinloc/pinloc-1k-swg16tr.sh                |   39 +
 icefuzz/pinloc/pinloc-1k-tq144.sh                  |   15 +-
 icefuzz/pinloc/pinloc-1k-vq100.sh                  |   42 +
 icefuzz/pinloc/pinloc-4k-cb132.sh                  |   47 +
 icefuzz/pinloc/pinloc-4k-cm121.sh                  |   49 +
 icefuzz/pinloc/pinloc-4k-cm225.sh                  |   53 +
 icefuzz/pinloc/pinloc-4k-cm81.sh                   |   47 +
 icefuzz/pinloc/pinloc-4k-tq144.sh                  |   42 +
 icefuzz/pinloc/pinloc-8k-cb132.sh                  |   47 +
 icefuzz/pinloc/pinloc-8k-cm121.sh                  |   49 +
 icefuzz/pinloc/pinloc-8k-cm225.sh                  |   55 +
 icefuzz/pinloc/pinloc-8k-cm81.sh                   |   47 +
 icefuzz/pinloc/pinloc-8k-ct256.sh                  |   16 +-
 icefuzz/pinloc/pinlocdb.py                         |    6 +-
 icefuzz/runloop.sh                                 |    2 +-
 icefuzz/tests/colbuf_io.sh                         |    2 +-
 icefuzz/tests/colbuf_io_8k.sh                      |    2 +-
 icefuzz/tests/colbuf_logic.sh                      |    2 +-
 icefuzz/tests/colbuf_logic_8k.sh                   |    2 +-
 icefuzz/tests/colbuf_ram.sh                        |    2 +-
 icefuzz/tests/colbuf_ram_8k.sh                     |    2 +-
 icefuzz/tests/io_latched.sh                        |    2 +-
 icefuzz/tests/ioctrl.sh                            |    2 +-
 icefuzz/tests/test_pio.sh                          |    2 +-
 icefuzz/timings.py                                 |    5 +-
 icefuzz/timings_hx1k.txt                           |  531 +++++
 icefuzz/timings_hx8k.txt                           |  531 +++++
 icefuzz/{timings_1k.txt => timings_lp1k.txt}       |    3 +
 icefuzz/{timings_8k.txt => timings_lp8k.txt}       |    3 +
 icefuzz/{tmedges_8k.txt => tmedges.txt}            |  112 +
 icefuzz/tmedges.ys                                 |    1 +
 icefuzz/tmedges_1k.txt                             |  612 ------
 icemulti/.gitignore                                |    1 +
 icemulti/Makefile                                  |   16 +-
 icemulti/icemulti.cc                               |    2 +-
 icepack/.gitignore                                 |    1 +
 icepack/Makefile                                   |   24 +-
 icepll/.gitignore                                  |    4 +
 icepll/Makefile                                    |   25 +
 icepll/icepll.cc                                   |  174 ++
 iceprog/.gitignore                                 |    1 +
 iceprog/Makefile                                   |   18 +-
 iceprog/iceprog.c                                  |  122 +-
 icetime/.gitignore                                 |    6 +
 icetime/Makefile                                   |   51 +
 icetime/cells.v                                    |  875 ++++++++
 icetime/icetime.cc                                 | 2141 ++++++++++++++++++++
 icetime/mktest.py                                  |  213 ++
 icetime/show.sh                                    |   29 +
 icetime/timings.py                                 |   47 +
 116 files changed, 8830 insertions(+), 1119 deletions(-)
 create mode 100644 .gitignore
 create mode 100644 CodeOfConduct
 create mode 100644 config.mk
 create mode 100644 debian/man/icepll.txt
 create mode 100644 debian/man/icetime.txt
 create mode 100644 debian/patches/fix_spelling_in_binaries.patch
 create mode 100644 debian/patches/override-CXX.patch
 create mode 100644 docs/notes_osx.html
 create mode 100644 examples/hx8kboard/.gitignore
 create mode 100644 examples/hx8kboard/Makefile
 create mode 100644 examples/hx8kboard/example.v
 copy icefuzz/tests/example_hx8kboard.pcf => examples/hx8kboard/hx8kboard.pcf (100%)
 create mode 100644 examples/iceblink/.gitignore
 create mode 100644 examples/iceblink/Makefile
 create mode 100644 examples/iceblink/README
 create mode 100644 examples/iceblink/example.v
 create mode 100644 examples/iceblink/iceblink.pcf
 create mode 100644 examples/icestick/.gitignore
 create mode 100644 examples/icestick/Makefile
 create mode 100644 examples/icestick/example.v
 copy icefuzz/tests/example_icestick.pcf => examples/icestick/icestick.pcf (100%)
 create mode 100644 icefuzz/make_aig.py
 create mode 100644 icefuzz/make_mem.py
 create mode 100644 icefuzz/pinloc/.gitignore
 create mode 100644 icefuzz/pinloc/pinloc-1k-cb121.sh
 create mode 100644 icefuzz/pinloc/pinloc-1k-cb132.sh
 create mode 100644 icefuzz/pinloc/pinloc-1k-cb81.sh
 create mode 100644 icefuzz/pinloc/pinloc-1k-cm121.sh
 create mode 100644 icefuzz/pinloc/pinloc-1k-cm36.sh
 create mode 100644 icefuzz/pinloc/pinloc-1k-cm49.sh
 create mode 100644 icefuzz/pinloc/pinloc-1k-cm81.sh
 create mode 100644 icefuzz/pinloc/pinloc-1k-qn84.sh
 create mode 100644 icefuzz/pinloc/pinloc-1k-swg16tr.sh
 create mode 100644 icefuzz/pinloc/pinloc-1k-vq100.sh
 create mode 100644 icefuzz/pinloc/pinloc-4k-cb132.sh
 create mode 100644 icefuzz/pinloc/pinloc-4k-cm121.sh
 create mode 100644 icefuzz/pinloc/pinloc-4k-cm225.sh
 create mode 100644 icefuzz/pinloc/pinloc-4k-cm81.sh
 create mode 100644 icefuzz/pinloc/pinloc-4k-tq144.sh
 create mode 100644 icefuzz/pinloc/pinloc-8k-cb132.sh
 create mode 100644 icefuzz/pinloc/pinloc-8k-cm121.sh
 create mode 100644 icefuzz/pinloc/pinloc-8k-cm225.sh
 create mode 100644 icefuzz/pinloc/pinloc-8k-cm81.sh
 create mode 100644 icefuzz/timings_hx1k.txt
 create mode 100644 icefuzz/timings_hx8k.txt
 rename icefuzz/{timings_1k.txt => timings_lp1k.txt} (99%)
 rename icefuzz/{timings_8k.txt => timings_lp8k.txt} (99%)
 rename icefuzz/{tmedges_8k.txt => tmedges.txt} (83%)
 delete mode 100644 icefuzz/tmedges_1k.txt
 create mode 100644 icepll/.gitignore
 create mode 100644 icepll/Makefile
 create mode 100644 icepll/icepll.cc
 create mode 100644 icetime/.gitignore
 create mode 100644 icetime/Makefile
 create mode 100644 icetime/cells.v
 create mode 100644 icetime/icetime.cc
 create mode 100644 icetime/mktest.py
 create mode 100644 icetime/show.sh
 create mode 100644 icetime/timings.py

-- 
Alioth's /usr/local/bin/git-commit-notice on /srv/git.debian.org/git/debian-science/packages/fpga-icestorm.git



More information about the debian-science-commits mailing list